KR20230153920A - 후면 파워 레일을 포함하는 집적 회로 소자 및 이의 형성 방법 - Google Patents

후면 파워 레일을 포함하는 집적 회로 소자 및 이의 형성 방법 Download PDF

Info

Publication number
KR20230153920A
KR20230153920A KR1020230024581A KR20230024581A KR20230153920A KR 20230153920 A KR20230153920 A KR 20230153920A KR 1020230024581 A KR1020230024581 A KR 1020230024581A KR 20230024581 A KR20230024581 A KR 20230024581A KR 20230153920 A KR20230153920 A KR 20230153920A
Authority
KR
South Korea
Prior art keywords
forming
etch stop
stop layer
separator
insulator
Prior art date
Application number
KR1020230024581A
Other languages
English (en)
Inventor
정명훈
홍원혁
조건호
황인찬
서강일
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to TW112115230A priority Critical patent/TW202407966A/zh
Priority to EP23169854.9A priority patent/EP4273914B1/en
Publication of KR20230153920A publication Critical patent/KR20230153920A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Geometry (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

후면 파워 레일을 포함하는 집적 회로 소자 및 그 형성 방법이 제공된다. 집적 회로 소자 형성 방법은 전면 및 상기 전면에 반대되는 후면을 포함하는 기판을 제공하는 것을 포함할 수 있다. 상기 기판의 전면에 제1 및 제2 활성 영역들, 분리막, 및 제1 및 제2 희생 적층 구조물들이 제공될 수 있고, 상기 분리막은 상기 제1 및 제2 활성 영역들 사이에 있을 수 있고, 상기 제1 및 제2 희생 적층 구조물들은 각각 상기 제1 및 제2 활성 영역들의 상면에 접촉하고, 및 상기 제1 및 제2 희생 적층 구조물들은 각각 채널 층 및 희생 층을 포함할 수 있다. 상기 방법은 또한, 상기 분리막의 상면 상에 식각 정지층을 형성하고, 상기 제1 및 제2 희생 적층 구조물들 각각의 부분을 제1 및 제2 소스/드레인 영역들로 대체하고, 상기 제1 소스/드레인 영역에 접촉하는 전면 컨택을 형성하고, 상기 전면 컨택은 상기 제1 및 제2 소스/드레인 영역들의 사이에 위치하는 전면 컨택 플러그를 포함하고, 상기 분리막의 하면에 후면 절연체를 형성하고, 상기 분리막 및 상기 후면 절연체에 위치하고, 상기 전면 컨택 플러그의 하면에 접촉하는 후면 컨택 플러그를 형성하는 것을 포함할 수 있다. 상기 전면 컨택 플러그의 일부 및 상기 후면 컨택 플러그의 일부 중 적어도 하나는 상기 식각 정지층에 위치할 수 있다.

Description

후면 파워 레일을 포함하는 집적 회로 소자 및 이의 형성 방법{INTEGRATED CIRCUIT DEVICES INCLUDING BACKSIDE POWER RAIL AND METHODS OF FORMING THE SAME}
본 개시는 일반적으로 전자 분야에 관한 것으로, 특히 후면 파워 레일을 포함하는 집적 회로 소자에 관한 것이다.
<관련 출원의 상호 참조>
본 출원은 2022년 04월 29일 미국특허상표청에 제출된 리버스(reverse) 비아 후면 파워 레일을 포함하는 집적 회로 소자 및 이의 형성 방법의 제목의 미국 임시특허출원 제 63/336,335호의 우선권을 주장하며, 상기 출원의 내용은 그 전체가 여기에 인용되어 포함된다.
소자 제작의 MOL(Middle-of-Line) 부분 또는 BEOL(Back-end-of-Line) 부분을 간소화하여 장치의 집적 밀도를 높일 수 있도록 집적 회로 소자의 다양한 구조 및 그 형성 방법이 제안되고 있다. 예를 들어, 후면 파워 레일은 소자 제작의 BEOL 부분을 단순화할 수 있다. 그러나, 집적 회로 소자가 후면 파워 레일을 포함하는 경우, 기판의 전면과 후면의 소자들을 전기적으로 연결하는 고종횡비(high aspect ratio) 컨택을 포함할 수 있다.
본 개시의 기술적 사상이 이루고자 하는 과제는, 전기적 신뢰성이 향상된 후면 파워 레일을 포함하는 집적 회로 소자 및 이의 형성 방법을 제공하는 것이다.
본 발명의 일부 실시예들에 따르면, 집적 회로 소자 형성 방법은 전면 및 상기 전면에 반대되는 후면을 포함하는 기판을 제공하는 것을 포함할 수 있다. 상기 기판의 전면에 제1 및 제2 활성 영역들, 분리막, 및 제1 및 제2 희생 적층 구조물들이 제공될 수 있고, 상기 분리막은 상기 제1 및 제2 활성 영역들 사이에 있을 수 있고, 상기 제1 및 제2 희생 적층 구조물들은 각각 상기 제1 및 제2 활성 영역들의 상면에 접촉하고, 및 상기 제1 및 제2 희생 적층 구조물들은 각각 채널 층 및 희생 층을 포함할 수 있다. 상기 방법은 또한, 상기 분리막의 상면 상에 식각 정지층을 형성하고, 상기 제1 및 제2 희생 적층 구조물들 각각의 부분을 제1 및 제2 소스/드레인 영역들로 대체하고, 상기 제1 소스/드레인 영역에 접촉하는 전면 컨택을 형성하고, 상기 전면 컨택은 상기 제1 및 제2 소스/드레인 영역들의 사이에 위치하는 전면 컨택 플러그를 포함하고, 상기 분리막의 하면에 후면 절연체를 형성하고, 상기 분리막 및 상기 후면 절연체에 위치하고, 상기 전면 컨택 플러그의 하면에 접촉하는 후면 컨택 플러그를 형성하는 것을 포함할 수 있다. 상기 전면 컨택 플러그의 일부 및 상기 후면 컨택 플러그의 일부 중 적어도 하나는 상기 식각 정지층에 위치할 수 있다.
본 발명의 일부 실시예들에 따르면, 집적 회로 소자 형성 방법은 전면 구조가 제공되는 기판을 제공하는 것을 포함할 수 있다. 상기 기판은 전면 및 상기 전면에 반대되는 후면을 포함할 수 있고, 상기 전면 구조는 상기 기판의 전면에서 돌출된 제1 및 제2 활성 영역들, 상기 제1 및 제2 활성 영역들 사이에 위치하는 분리막, 상기 분리막 상에 식각 정지충, 상기 제1 및 제2 활성 영역 상에 각각 위치하는 제1 및 제2 채널 층들, 상기 제1 및 제2 활성 영역들 상에 각각 위치하고 상기 제1 및 제2 채널 층들에 각각 접촉하는 제1 및 제2 소스/드레인 영역들, 및 상기 제1 및 제2 채널 층들 및 상기 분리막을 가로지르는 게이트 구조물을 포함할 수 있다. 상기 방법은 또한, 상기 제1 소스/드레인 영역에 접촉하는 전면 컨택을 형성하고, 상기 전면 컨택을 형성한 후에, 후면 절연체를 형성하며, 상기 분리막은 상기 식각 정지층 및 상기 후면 절연체 사이에 위치할 수 있고, 및 상기 분리막 및 상기 후면 절연체에, 상기 전면 컨택과 접촉하는 후면 컨택 플러그를 형성하는 것을 포함할 수 있다.
본 발명의 일부 실시예들에 따르면, 집적 회로 소자는 제1 수평 방향으로 서로 이격된 제1 및 제2 활성 영역들, 상기 제1 및 제2 활성 영역들과 각각 중첩되는 제1 및 제2 소스/드레인 영역들, 상기 제1 및 제2 활성 영역들 사이의 분리막, 상기 분리막 상에 상기 제1 및 제2 소스/드레인 영역들 사이의 제1 절연체, 상기 분리막 및 상기 제1 절연체 사이의 식각 정지층, 상기 제1 절연체에 있고, 상기 제1 소스/드레인 영역에 접촉하는 전면 컨택, 상기 전면 컨택은 상기 제1 및 제2 소스/드레인 영역들 사이의 전면 컨택 플러그를 포함하고, 후면 절연체, 상기 분리막은 상기 식각 정지층 및 상기 후면 절연체 사이에 위치하고, 및 상기 후면 절연체 및 상기 분리막에 있고, 상기 전면 컨택 플러그와 접촉하는 후면 컨택 플러그를 포함할 수 있다. 상기 전면 컨택의 일부 및 상기 후면 컨택 플러그의 일부 중 적어도 하나는 상기 식각 정지층에 있을 수 있다.
도 1은 본 개시의 일부 실시예들에 따른 집적 회로 소자를 나타내는 레이아웃이다.
도 2a 및 도 2b는 본 개시의 일부 실시예들에 따른 도 1의 A-A선 및 B-B선 각각에 따른 단면도들이다.
도 3은 본 개시의 일부 실시예들에 따른 집적 회로 소자를 형성하는 방법을 나타내는 순서도이다.
도 4 내지 도 12, 도 13a, 도 13b, 및 도 14 내지 도 21은 본 개시의 일부 실시예들에 따른 집적 회로 소자의 형성 방법을 설명하기 위한 도면들이다.
도 22 및 도 23은 각각 본 개시의 일부 실시예들에 따른 도 1의 A-A선에 따른 단면도들이다.
도 24a 및 도 24b는 본 개시의 일부 실시예들에 따른 도 2a의 IR 영역을 설명하기 위한 도면들이다.
고종횡비를 갖는 컨택을 형성하는 것은 절연체에 깊고 좁은 오프닝을 형성하기 위한 식각 공정 및 상기 깊고 좁은 오프닝의 내부에 도전층을 형성하기 위한 증착 공정을 수반할 수 있다. 이러한 과정에서 다양한 결함이 발생할 수 있다. 예를 들어, 상기 오프닝의 바닥 부분은 바람직하지 않게 좁을 수 있거나, 하부 도전체를 노출시키지 않을 수 있어, 상기 오프닝에서 후속하여 형성되는 컨택과 하부 도전체 사이의 불량한 전기 연결을 야기할 수 있다. 또한, 깊고 좁은 오프닝을 도전층으로 완전히 채우는 것이 어려울 수 있고, 고종횡비 컨택 내부에 공동(cavity)이 형성될 수 있다. 그 공동은 고종횡비 컨택의 저항을 증가시킬 수 있다.
본 발명의 일부 실시예들에 따르면, 단일의 고종횡비 컨택 대신에, 각각이 상대적으로 낮은 종횡비를 갖는 2개의 컨택을 별로도 형성하고, 서로를 전기적으로 연결하여, 단일의 컨택 역할을 수행할 수 있다. 따라서, 고종횡비 컨택의 형성과 관련된 결함이 감소될 수 있다.
도 1은 본 개시의 일부 실시예들에 따른 집적 회로 소자를 나타내는 레이아웃이고, 도 2a 및 도 2b는 본 개시의 일부 실시예들에 따른 도 1의 A-A선 및 B-B선 각각에 따른 단면도들이다. 도 1에는 도면의 단순화를 위해 도 2a 및 도 2b의 여러 소자들(예를 들어, 후면 구조물(back-end structure: BES, 48))이 도시되지 않는다.
도 1, 도 2a 및 도 2b를 참조하면, 집적 회로 소자는 활성 영역들(12)(예를 들어, 서로 직접 인접한 제1 활성 영역(12_1) 및 제2 활성 영역(12_2))을 포함할 수 있다. 활성 영역들(12)은 제1 방향(X)(제1 수평 방향으로도 지칭됨)를 따라 서로 이격되며, 제2 방향(Y)(제2 수평 방향으로도 지칭됨)를 따라 종방향으로 연장될 수 있다. 본 명세서에서 사용된 바와 같이,"서로 직접 인접한 두 요소 A(또는 유사한 언어)"는 두 요소 A 사이에 다른 요소 A가 위치하지 않음을 의미한다. 활성 영역들(12)은 하나 이상의 반도체 재료, 예를 들어, 예를 들어 Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC 및/또는 InP를 포함할 수 있다. 일부 실시 예에서, 활성 영역(12)은 Si를 포함할 수 있다.
분리막(11)은 도 1에 도시된 바와 같이 활성 영역들(12)평면적으로 둘러쌀 수 있고, 각각이 바로 인접한 활성 영역들(12) 사이에 위치하고, 이들 활성 영역들(12)을 서로 분리할 수 있는 부분을 포함할 수 있다. 제1 방향(X)과 제2 방향(Y)은 서로 수직할 수 있고, 분리막(11)의 상면과 평행할 수 있다. 본 명세서에서 사용된 바와 같이 "요소 A의 상면"은 BES(48)에 대향하는 요소 A의 면(surface)을 의미할 수 있다.
채널 층들(13)(예를 들어, 제1 채널 층들(13_1) 및 제2 채널 층들(13_2)이 제공될 수 있다. 일부 실시예들에서, 제3 방향(Z)(수직 방향으로도 지칭됨)으로 적층된 다수의 채널 층들(13)은 하나의 활성 영역(12) 상에 제공되며, 하나의 활성 영역(12)과 수직으로 중첩될 수 있다. 예를 들어, 도 2b에 도시한 것과 같이 3개의 제1 채널 층들(13_1)은 제1 활성 영역(12_1) 상에 배치되고, 제1 활성 영역(12_1)과 수직으로 중첩될 수 있다. 다양한 수(예를 들어, 1개, 2개, 또는 3개 초과)의 채널 층들(13)은 하나의 활성 영역(12) 상에 적층될 수 있다. 제3 방향(Z)은 제1 방향(X) 및 제2 방향(Y)에 수직할 수 있다.
예를 들어, 각각의 채널 층들(13)은 반도체 물질(들)(예를 들어, Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC 및/또는 InP)을 포함할 수 있다. 일부 실시예들에서, 각각의 채널 층들(13)은 제3 방향(Z)으로 약 1nm 내지 약 100nm 범위의 두께를 가지는 나노시트일 수 있고, 직경이 약 1nm 내지 약 100nm 범위의 원형 단면을 갖는 나노와이어일 수 있다.
제2 방향(Y)으로 이격된 한 쌍의 소스/드레인 영역들(14)이 하나의 활성 영역(12) 상에 제공되며, 활성 영역(12)과 접촉할 수 있다. 제1 및 제3 소스/드레인 영역들(14_1, 14_3)이 제1 활성 영역(12_1) 상에 제공되며, 제1 활성 영역(12_1)과 접촉할 수 있다. 소스/드레인 영역들(14)은 예를 들어, 반도체 물질(예를 들어, Si, Ge, SiGe, GaP, GaAs, SiC, SiGeC 및/또는 InP)을 포함할 수 있고, 선택적으로 불순물(예를 들어, B, P 또는 As)을 포함할 수 있다.
활성 영역들(12) 상에는 게이트 구조물(18)이 제공될 수 있다. 게이트 구조물(18)은 활성 영역들(12)을 가로질러 채널 층들(13) 상에 제공될 수 있다. 게이트 구조물(18)은 도 2b에 도시된 바와 같이, 채널 층들(13)을 둘러쌀 수 있다. 비록 게이트 구조물(18)이 단일 층으로 도시되어 있으나, 게이트 구조물(18)은 다중 층을 포함할 수 있다. 예를 들어, 게이트 구조물(18)은 게이트 전극 및 게이트 절연체를 포함할 수 있다. 게이트 절연체 각각은 게이트 전극과 채널 층들(13) 사이에 제공될 수 있다. 예를 들어, 게이트 전극은 반도체 층(예를 들어, 폴리 실리콘 층), 일 함수 층(예를 들어, TiC 층, TiAl 층, TiAlC 층 또는 TiN 층) 및/또는 금속 층(예를 들어, 텅스텐 층, 알루미늄 층 또는 구리 층)을 포함할 수 있고, 게이트 절연체는 실리콘 산화막 및/또는 고유전율 물질(예를 들어, Al2O3, HfO2, ZrO2, HfZrO4, TiO2, Sc2O3 Y2O3, La2O3, Lu2O3, Nb2O5 또는 Ta2O5)을 포함할 수 있다.
제1 활성 영역(12_1), 제1 채널 층들(13_1), 제1 및 제3 소스/드레인 영역들(14_1, 14_3) 및 제1 및 제3 소스/드레인 영역들(14_1, 14_3) 사이에 개재된 게이트 구조물(18)의 일부는 제1 트랜지스터를 구성할 수 있고, 제2 활성 영역(12_2), 제2 채널 층들(13_2) 제2 및 제4 소스/드레인 영역들(14_2, 14_4) 및 제2 채널 층(13_2) 제2 및 제4 소스/드레인 영역들(14_2, 14_4) 사이에 개재된 게이트 구조물(18)의 일부는 제2 트랜지스터를 구성할 수 있다.
분리막(11) 및 활성 영역들(12) 상에는 제1 절연체(10)가 제공될 수 있고, 제1 절연체(10)에 소스/드레인 영역들(14)이 제공될 수 있다. 제1 절연체(10)는 인접하는 소스/드레인 영역들(14)을 전기적으로 절연시키고, 소스/드레인 영역들(14)으로부터 게이트 구조물(18)을 전기적으로 절연시킬 수 있다.
직접 인접한 활성 영역들(12)(예를 들어, 제1 및 제2 활성 영역(12_1, 12_2)) 사이 및 이들 활성 영역들(12) 사이의 분리막(11) 부분 상에 식각 정지층(16)이 제공될 수 있다. 식각 정지층(16)은 분리막(11)의 상면에 접촉하고 및 직접 인접하는 활성 영역들(12)에 접촉할 수 있다. 일부 실시예들에서, 도 1에 도시한 바와 같이, 식각 정지층(16)의 제2 방향(Y) 길이는 활성 영역(12)의 제2 방향(Y) 길이와 유사하거나 동일할 수 있다. 이에 따라, 도 2b에 도시된 바와 같이, 식각 정지층(16)은 인접한 활성 영역들(12) 사이에 있는 분리막(11)의 상부 표면 전체 상에 제공되고, 분리막(11) 상부 표면 전체에 접촉할 수 있으며, 식각 정지층(16)은 게이트 구조물(18)에 의해 중첩되는 부분을 포함할 수 있다. 일부 실시예들에서, 도 2b에 도시된 바와 같이, 식각 정지층(16)은 게이트 구조물(18)(예를 들어, 게이트 구조물(18)의 하면)과 접촉할 수 있다. 예를 들어, 식각 정지층(16)은 실리콘 질화물 및/또는 실리콘 산질화물을 포함할 수 있고, 제3 방향(Z)으로 약 0.5nm 내지 약 15nm 범위의 두께를 가질 수 있다.
도 2a를 참조하면, 식각 정지층(16)의 최상단부(uppermost end, 16U)은 소스/드레인 영역(14)의 하면보다 위로 돌출되지 않을 수 있다. 따라서, 식각 정지층(16)은 직접 인접하는 소스/드레인 영역들(14) 사이에 개재된 부분을 포함하지 않을 수 있다. 식각 정지층(16)이 직접 인접한 소스/드레인 영역들(14) 사이에 개재된 부분을 포함할 때, 식각 정지층(16)이 제1 절연체(10)의 유전 상수보다 높은 유전 상수를 갖는 경우, 인접하는 소스/드레인 영역들(14)의 사이의 기생 커패시턴스가 증가할 수 있다.
도 2a 및 도 2b를 참조하면, 식각 정지층(16)의 상면은 리세스(16R)를 포함할 수 있다. 일부 실시예들에서, 제1 방향(X)의 리세스(16R)의 중심(16C)은 활성 영역들(12) 사이에 있는 분리막(11)의 중심(11C)과 정렬될 수 있다.
전면 컨택(22) 및 소스/드레인 컨택들(24)은 제1 절연체(10)에 제공될 수 있다. 전면 컨택(22)은 제1 소스/드레인 영역(14_1)과 접촉할 수 있으며, 제1 및 제2 소스/드레인 영역들(14_1, 14_2) 사이에 위치하는 부분(전면 컨택 플러그(22P)라고도 함)을 포함할 수 있다. 전면 컨택(22)은 제1 소스/드레인 영역(12_1)을 후면 배전 네트워크(back side power distribution network: BSPDN, 60)에 전기적으로 연결할 수 있다. 전면 컨택(22)은 후면 배전 네트워크(60)를 통해 전압(예를 들어, 양전압, 영(zero) 전압 또는 접지 전압)을 갖는 전원과 전기적으로 연결될 수 있고, 제1 소스/드레인 영역(12_1)은 전면 컨택을 통해 전원과 전기적으로 연결될 수 있다.
소스/드레인 컨택(24)은 소스/드레인 영역(14)과 접촉할 수 있다. 소스/드레인 컨택(24)은 소스/드레인 영역(14)을 BES(48)의 소자(예를 들어, 제1 도전체(42))에 전기적으로 연결할 수 있다.
BES(48)는 제1 절연체(10) 상에 제공될 수 있다. BES(48)는 디바이스 제조 공정 및/또는 패시베이션 공정의 BEOL 부분에 의해 형성될 수 있다. BES(48)는 제2 절연체(40) 및 제2 절연체(40) 내의 제1 도전체들(42)을 포함할 수 있다. 예를 들어, 제1 도전체들(42)은 비아 컨택 또는 와이어(예를 들어, 금속 와이어)일 수 있다. 제2 도전체(44)는 제1 도전체(42) 상에 제공될 수 있다. 예를 들어, 제2 도전체(44)는 와이어(예를 들어, 금속 와이어)일 수 있다. 상부층(46)은 제2 도전체(44) 상에 제공될 수 있다. 상부층(46)은 절연층, 도전성 요소(예를 들어, 비아 컨택 및 와이어) 및/또는 패시베이션 층(예를 들어, 폴리이미드)을 포함할 수 있다.
집적 회로 소자는 또한 후면 절연체(50), 및 후면 컨택 플러그(52) 및 후면 파워 레일(54)을 포함할 수 있는 후면 컨택을 포함할 수 있다. 후면 절연체(50)는 활성 영역(12) 및 분리막(11) 각각의 하면 상에 형성될 수 있다. 후면 컨택 플러그(52) 및 후면 파워 레일(54)은 후면 절연체(50)에 제공될 수 있다. 후면 컨택 플러그(52)는 후면 파워 레일(54)과 접할 수 있다.
후면 컨택 플러그(52)의 상부는 제1 및 제2 활성 영역들(12_1, 12_2) 사이에 위치할 수 있다. 후면 컨택 플러그(52)의 제1 방향(X) 폭은 제1 방향(X)에서 제1 및 제2 활성 영역들(12_1, 12_2) 사이의 거리보다 좁을 수 있다. 이에 따라, 후면 컨택 플러그(52)는 제1 및 제2 활성 영역들(12_1, 12_2)과 이격될 수 있다. 후면 컨택 플러그(52)의 상부는 분리막(11) 내에 위치하며, 분리막(11)은 후면 컨택 플러그(52)와 제1 활성 영역(12_1) 사이 및 후면 컨택 플러그(52)와 제2 활성 영역(12_2) 사이에 개재될 수 있다.
전면 컨택 플러그(22P)(예를 들어, 전면 컨택 플러그(22P)의 하면)은 후면 컨택 플러그(52)(예를 들어, 후면 컨택 플러그(52)의 상면)에 접할 수 있다. 일부 실시예들에서, 도 2a에 도시된 바와 같이, 전면 컨택 플러그(22P)와 후면 컨택 플러그(52) 사이의 계면은 식각 정지층(16) 내에 있을 수 있고, 전면 컨택 플러그(22P)의 일부(예를 들어, 하부) 및 후면 컨택 플러그(52)의 일부(예를 들어, 상부)은 식각 정지층(16) 내에 있을 수 있다. 일부 실시예들에서, 전면 컨택 플러그(22P)의 제1 방향(X) 폭은 제3 방향(Z) 방향을 따라 일정하지 않고, 후면 컨택 플러그(52)로부터 제3 방향(Z)으로 멀어질수록 증가할 수 있다. 후면 컨택 플러그(52)의 제1 방향(X) 폭은 제3 방향(Z) 방향을 따라 일정하지 않고, 전면 컨택 플러그(22P)로부터 제3 방향(Z)으로 멀어질수록 증가할 수 있다.
다시 도 1을 참조하면, 후면 파워 레일(54)의 제2 방향(Y)으로의 최대 폭은 후면 컨택 플러그(52)의 제2 방향(Y)으로의 최대 폭보다 더 넓을 수 있다. 일부 실시예들에서, 후면 파워 레일(54)은 제2 방향(Y)을 따라 종방향으로 연장되고, 후면 파워 레일(54)의 제2 방향(Y) 폭은 제1 활성 영역(12_1)의 제2 방향(Y) 폭보다 넓어질 수 있다.
집적 회로 소자는 BSPDN(60)에 전기적으로 연결된 다수의 후면 파워 레일들(54)을 포함할 수 있다. BSPDN(60)은 절연층 및 도전성 소자(예를 들어, 비아 컨택 및 와이어)를 포함할 수 있다.
분리막(11), 제1 절연체(10), 제2 절연체(40) 및 후면 절연체(50) 각각은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 옥시나이트라이드(silicon oxynitride) 및/또는 저유전 물질(low-k)을 포함할 수 있다. 저유전 물질은 예를 들어, 불소 도핑된 이산화규소, 유기실리케이트 유리(organosilicate glass), 탄소 도핑된 산화물, 다공성 이산화규소, 다공성 유기실리케이트 유리(porous organosilicate glass), 스핀온 고분자 유전체(spin-on organic polymeric dielectric), 또는 스핀온 실리콘 기반 고분자 유전체를 포함할 수 있다.
전면 컨택(22), 소스/드레인 컨택(24), 제1 도전체(42), 제2 도전체(44), 후면 컨택 플러그(52) 및 후면 파워 레일(54) 각각은 예를 들어, 알루미늄(Al), 텅스텐(W), 코발트(Co), 루테늄(Ru) 및/또는 몰리브렌(Mo)을 포함할 수 있다.
도 3은 본 개시의 일부 실시예들에 따른 집적 회로 소자 형성 방법들을 나타내는 순서도이다. 도 4 내지 도 12, 도 13a, 도 13b, 및 도 14 내지 도 21은 본 개시의 일부 실시예들에 따른 집적 회로 소자의 형성 방법을 설명하기 위한 도면들이다. 특히, 도 4 내지 도 9, 도 11, 도 13a 및 도 14 내지 도 21은 도 1의 A-A선에 따른 단면도들이고, 도 13b는 도 1의 B-B선에 따른 단면도이며, 도 10 및 도 12는 평면도들이다.
도 3 내지 도 5를 참조하면, 집적 회로 소자 형성 방법들은 희생 스택 구조물들이 제공되는 단계를 포함할 수 있다(블록 1100). 도 4를 참조하면, 예비 채널 층들(13L) 및 예비 희생 층들(4L)이 기판(2) 상에 형성될 수 있다. 예비 채널 층들(13L) 및 예비 희생 층들(4L)은 기판(2) 상에 교대로 적층된다. 예비 희생 층들(4L) 상에 마스크 패턴들(6)이 형성될 수 있다. 예비 희생 층들(4L)은 예비 채널 층들 (13L)과 상이한 물질을 포함할 수 있으며, 예비 채널 층들 (13L)과 식각 선택비(selectivity)를 가질 수 있다. 예를 들어, 예비 희생 층들(4L)은 SiGe를 포함할 수 있다. 마스크 패턴들(6)은 예를 들어, 포토레지스트 물질 및/또는 하드 마스크 물질(예를 들어 실리콘 질화물)을 포함할 수 있다.
도 5를 참조하면, 마스크 패턴들(6)을 식각 마스크로 하여, 예비 채널 층들(13L), 예비 희생 층들(4L) 및 기판(2)이 식각되어 기판(2)의 전면(FS)에서 돌출되는 활성 영역들(12)을 형성하고, 채널 층들(13) 및 희생 층들(4)을 포함하는 희생 적층 구조물들(15)을 형성할 수 있다. 분리막(11)은 바로 인접하는 활성 영역들(12)의 사이에 형성될 수 있다. 기판(2)은 전면(FS)에 대향하는 후면(BS)을 포함할 수 있다. 희생 적층 구조물들(15)은 각각 활성 영역들(12)의 상면에 접촉할 수 있다.
도 3 및 도 6 내지 도 9를 참조하면, 식각 정지층이 형성될 수 있다(블록 1200). 도 6을 참조하면, 예비 식각 정지층(16L)이 도 5에 도시된 구조 상에 형성될 수 있다. 예비 식각 정지층(16L)은 희생 적층 구조물들(15)의 표면(예를 들어, 상면 및 대향하는 측면) 및 분리막(11)의 상면에 형성될 수 있다. 일부 실시예들에서, 예비 식각 정지층(16L)은 희생 적층 구조물들(15)의 표면과 분리막(11)의 상면을 따라 균일한 두께를 가질 수 있다. 예비 식각 정지층(16L)은 희생 적층 구조물들(15)의 표면 및 분리막(11)의 상면에 접촉할 수 있다. 예비 식각 정지층(16L)은 희생 적층 구조물들(15) 사이의 공간을 완전히 채우지 못하고, 희생 적층 구조물들(15) 사이의 공간을 정의할 수 있다.
예비 식각 정지층(16L) 상에 예비 필러 층(8L)이 형성될 수 있다. 예비 필러 층(8L)은 예비 식각 정지층(16L)에 의해 정의되는 희생 적층 구조물들(15) 사이의 공간을 채울 수 있다. 예비 필러 층(8L)은 상기 공간이 좁은 경우에도, 예비 필러 층(8L)이 상기 공간을 채울 수 있도록, 코팅 공정(예를 들어, 스핀 코팅 공정)에 의해 형성될 수 있는 물질일 수 있다. 예를 들어, 예비 필러 층(8L)은 탄소를 포함하는 물질을 포함할 수 있고, 예비 식각 정지층(16L), 채널 층들(13) 및 희생 층들(4)에 대해 식각 선택비를 가질 수 있다.
도 7을 참조하면, 예비 필러 층(8L)의 상부는 공정(예를 들어, 건식 에칭 공정, 습식 에칭 공정, 및/또는 화학적 기계적 연마(CMP) 공정)에 의해 제거되어 필러 층(8)이 형성될 수 있다. 필러 층(8)의 상면은 예비 식각 정지층(16L)의 최상부 및 희생 적층 구조물들(15)의 상면보다 낮을 수 있다. 따라서, 예비 식각 정지층(16)의 일부와 희생 적층 구조물들(15)의 일부가 필러 층(8)의 상면보다 위쪽으로 돌출될 수 있다.
도 8을 참조하면, 예비 식각 정지층(16L)이 식각되어 식각 정지층(16)이 형성될 수 있다. 필러 층(8)의 하부의 예비 식각 정지층(16L) 부분은 식각되지 않을 수 있다. 식각 정지층(16)은 희생 적층 구조물들(15)의 양 측면을 노출시킬 수 있다. 일부 실시예들에서, 예비 식각 정지층(16L)은 희생 적층 구조물들(15)의 양 측면이 모두 노출될 때까지 식각될 수 있다.
도 9를 참조하면, 필러 층(8)이 제거될 수 있다. 일부 실시예들에 있어서, 예비 식각 정지층(16L)의 최상면이 필러 층(8)의 하면보다 높은 상태에서 예비 식각 정지층(16L)에 대한 식각 공정이 중단될 수 있다. 따라서, 도 8에 도시된 바와 같이, 식각 정지층(16)의 최상면은 필러 층(8)의 하면 보다 높을 수 있다. 이에 따라, 식각 정지층(16)의 상면은 리세스(16R)를 포함할 수 있다. 식각 정지층(16)은 기판(2)으로부터 활성 영역들(12)의 상면보다 멀지 않은 최상단부(16U)를 포함할 수 있으므로, 식각 정지층(16)은 소스/드레인 영역들(14) 사이에 개재되는 부분을 포함하지 않을 수 있다.
도 3 및 도 10 내지 도 12, 도 13a 및 도 13b를 참조하면, 소스/드레인 영역들 및 게이트 구조물이 형성될 수 있다(블록 1300). 도 10을 참조하면, 마스크 층(17)이 희생 적층 구조물들(15) 상에 형성될 수 있다. 마스크 층(17)은 희생 적층 구조물들(15)의 제1 부분들(15_1)을 노출시키고, 희생 적층 구조물들(15)의 제2 부분들(15_2)을 덮을 수 있다. 일부 실시예들에서, 도 10에 도시된 바와 같이, 희생 적층 구조물들(15) 및 식각 정지층(16)은 제2 방향(Y)으로 유사하거나 동일한 폭을 가질 수 있다.
도 11을 참조하면, 희생 적층 구조물들(15)의 제1 부분들(15_1)은 소스/드레인 영역들(14)으로 대체될 수 있다. 예를 들어, 희생 적층 구조물들(15)의 제1 부분들(15_1)은 희생 적층 구조물들(15)의 제2 부분들(15_2)(예를 들어, 제2 부분들(15_2)의 측벽)을 노출하기 위해 식각될 수 있고, 소스/드레인 영역들(14)은 희생 적층 구조물들(15)의 제2 부분들(15_2)의 채널 층들(13)을 이용한 에피택셜 성장 공정에 의해 형성될 수 있다.
도 12, 도 13a 및 도 13b를 참조하면, 제1 절연체(10)는 소스/드레인 영역들(14) 상에 형성될 수 있다. 제1 절연체(10)는 희생 적층 구조물들(15)의 제2 부분들(15_2)의 일부를 노출시키는 게이트 오프닝(10op)을 포함할 수 있다. 도 13b에 도시된 바와 같이, 희생 적층 구조물들(15)의 제2 부분들(15_2)의 희생 층들(4)은, 희생 적층 구조물들(15)의 제2 부분들(15_2)의 채널 층들(13) 및 식각 정지층(16)이 게이트 오프닝(10op)에 노출되도록 게이트 오프닝(10op)을 통해 제거될 수 있다. 다시 도 2b를 참조하면, 게이트 오프닝(10op)에 게이트 구조물(18)이 형성될 수 있다.
도 3 및 도 14 내지 도 16을 참조하면, 전면 컨택이 형성될 수 있다(블록 1400). 도 14를 참조하면, 오프닝들(OP)은 제1 절연체(10) 내에 형성될 수 있다. 오프닝들(OP)은 제1 절연체(10)와 식각 정지층(16)의 일부가 식각되어 형성될 수 있다. 오프닝들(OP)은 제1 소스/드레인 영역들(14_1)을 노출하는 제1 오프닝(OP1) 및 제2 소스/드레인 영역들(14_2)을 노출하는 제2 오프닝(OP2)을 포함할 수 있다. 제1 오프닝(OP1)은 식각 정지층(16)이 노출될 때까지 제1 절연체(10)를 식각하여 형성한 후, 식각 정지층(16)의 일부를 식각하여 형성될 수 있다. 따라서, 식각 정지층(16)은 제1 오프닝(OP1)을 형성할 때, 식각 정지층으로 기능할 수 있다. 일부 실시예들에서, 도 14에 도시된 바와 같이, 제1 오프닝(OP1)은 식각 정지층(16)을 노출할 수 있고, 식각 정지층(16)은 제1 오프닝(OP1)의 하부를 정의할 수 있다. 비록 도 14에는 도시되지 않았지만, 오프닝(OP)이 형성될 때, 제1 절연층(10)의 일부를 덮는 식각 마스크 패턴들이 제1 절연체(10) 상에 형성될 수 있고, 오프닝들(OP)이 형성된 후, 식각 마스크 패턴들이 제거될 수 있다. 소스/드레인 영역들(14)에 대해 제1 절연체(10)를 선택적으로 식각할 수 있는 에천트(들)(etchant) 및 공정 조건들은 오프닝들(OP)을 형성하는 동안 소스/드레인 영역들(14)이 식각되지 않도록 사용될 수 있다.
도 15를 참조하면, 도전층(21)이 오프닝들(OP)의 내부 및 제1 절연체(10) 상에 형성될 수 있다. 비록 도전층(21)이 단일 층으로 도시되어 있으나, 일부 실시예들에서, 도전층(21)은 제1 절연체(10) 상에 순차적으로 형성된 다중 층을 포함할 수 있다. 예를 들어, 도전층(21)은 제1 절연체(10) 상에 순차적으로 형성된 접착 층(예를 들어, W, Cr, Ti 및/또는 Ni을 포함하는 도전층), 배리어 층(예를 들어, TiN, TaN 및/또는 AlN을 포함하는 도전층) 및/또는 금속 층을 포함할 수 있다.
도 16을 참조하면, 제1 절연체(10)가 노출될 때까지 도전층(21)을 제거하여 전면 컨택(22) 및 소스/드레인 컨택들(24)이 형성될 수 있다. 도전층(21)은 식각 공정 및/또는 CMP 공정에 의해 제거될 수 있다. 일부 실시예들에서, 전면 컨택(22) 및 소스/드레인 컨택들(24) 각각의 상면은 서로 공면(coplanar)을 이룰 수 있다. 전면 컨택(22) 및 소스/드레인 컨택들(24)은 상이한 공정에 의해 형성되는 것이 아닌, 도 14 내지 도 16에 도시한 바와 같이, 전면 컨택(22) 및 소스/드레인 컨택들(24)은 동일한 공정에 의해 형성될 수 있다. 따라서, 집적 회로 소자의 MOL 부분은 비교적 단순할 수 있다.
도 17을 참조하면, BES(48) 구조물은 전면 컨택(22) 및 소스/드레인 컨택들(24) 상에 형성될 수 있다.
도 3 및 도 18을 참조하면, 기판(도 17의 2)의 하부는 제거될 수 있다(블록 1500). 도 17에 도시된 구조는 회전(예를 들어, 플립)될 수 있으며, 하면(도 17의 BS)에 예를 들어, 그라인딩 공정, 식각 공정 및/또는 CMP 공정을 수행하여 기판(2)의 하부가 제거될 수 있다. 기판(2)의 하부는 분리막(11)이 노출될때까지 제거될 수 있다.
도 19를 참조하면, 일부 실시예들에서, 활성 영역(12)은 분리막(11)에 대해 오목하게 식각될 수 있다.
도 3, 도 20 및 도 21을 참조하면, 후면 컨택이 형성될 수 있다(블록 1600). 도 20을 참조하면, 후면 절연체(50)가 분리막(11) 상에 형성될 수 있고, 후면 오프닝(BOP1) 및 라인형 오프닝(BOP2)이 후면 절연체(50) 및 분리막(11)에 형성될 수 있다. 후면 오프닝(BOP1)은 라인형 오프닝(BOP2)과 연결될 수 있고, 전면 컨택(22)과 라인형 오프닝(BOP2) 사이에 위치할 수 있다. 후면 오프닝(BOP1)은 후면 절연체(50) 및 분리막(11)을 식각 정지층(16)이 노출될 때까지 식각한 후, 전면 컨택 플러그(22P)가 노출될 때까지 식각 정지층(16)의 일부를 식각하여 형성될 수 있다. 따라서, 식각 정지층(16)은 후면 오프닝(BOP1)을 형성하는 동안, 식각 정지층으로 기능할 수 있다. 일부 실시예들에서, 후면 오프닝(BOP1)은 식각 정지층(16)을 노출할 수 있고, 식각 정지층(16)은 후면 오프닝(BOP1)의 일부(예를 들어, 상부)를 정의할 수 있다.
도 21을 참조하면, 후면 오프닝(BOP1)에 후면 컨택 플러그(52)가 형성되고, 라인형 오프닝(BOP2)에 후면 파워 레일(54)이 형성될 수 있다. 후면 오프닝(BOP1) 및 라인형 오프닝(BOP2)에 도전층을 형성하여 후면 컨택 플러그(52) 및 후면 파워 레일(54)이 형성될 수 있다. 후면 컨택 플러그(52) 및 후면 파워 레일(54)이 단일 층으로 도시되어 있으나, 일부 실시예들에서, 후면 컨택 플러그(52) 및 후면 파워 레일(54)은 후면 절연체(50) 상에 순차적으로 형성된 다중 층을 포함할 수 있다. 예를 들어, 후면 컨택 플러그(52) 및 후면 파워 레일(54)은 후면 절연체(50) 상에 순차적으로 형성된 접착 층(예를 들어, W, Cr, Ti 및/또는 Ni을 포함하는 도전층), 배리어 층(예를 들어, TiN, TaN 및/또는 AlN을 포함하는 도전층) 및/또는 금속 층을 포함할 수 있다. 다시 도 2a를 참조하면, BSPDN(60)이 후면 파워 레일(54) 상에 형성될 수 있다.
도 22 및 도 23은 각각 본 개시의 일부 실시예들에 따른 도 1의 A-A선에 따른 단면도들이다. 도 22 및 도 23에 도시된 구조물들은 식각 정지층(16)의 형상을 제외하고는, 도 2a의 구조물과 유사할 수 있다.
도 22를 참조하면, 식각 정지층(16)의 상면은 평평(flat)하고 리세스(예를 들어, 도 2a의 16R)를 포함하지 않을 수 있다. 도 22에 도시된 구조는, 도 8을 참조하여 설명한 공정에서, 식각 정지층(16)의 상면이 필러 층(8)의 하면과 공면을 이룰때까지 식각 정지층(16)을 식각하여 형성될 수 있다.
도 23을 참조하면, 식각 정지층(16)의 상면은 제1 절연체(10)로 돌출한 돌출부(16P)를 가질 수 있다. 도 23에 도시된 구조는, 도 8을 참조하여 설명한 공정에서, 식각 정지층(16)의 필러 층(8)이 덮이지 않는 부분의 상면이 필러 층(8)의 하면보다 낮아질 때까지 식각 정지층(16)을 식각하여 형성될 수 있다.
도 24a 및 도 24b는 본 개시의 일부 실시예들에 따른 도 2a의 IR 영역을 설명하기 위한 도면들이다. 도 24a를 참조하면, 후면 컨택 플러그(52)의 일부만이 식각 정지층(16)에 위치할 수 있고, 전면 컨택 플러그(22P)는 식각 정지층(16)에 위치하지 않을 수 있다. 따라서, 전면 컨택 플러그(22P)와 후면 컨택 플러그(52) 사이의 계면은 식각 정지층(16)에 없을 수 있다. 도 24a에 도시된 구조는, 도 14를 참조하여 설명한 공정에서, 제1 절연체(10)에 대한 식각 공정 중 식각 정지층(16)이 노출되면 식각 공정을 중단하여, 식각 정지층(16)에 제1 오프닝(OP1)이 형성되지 않도록 하여 형성될 수 있다.
도 24b를 참조하면, 전면 컨택 플러그(22P)의 일부만이 식각 정지층(16)에 위치할 수 있고, 후면 컨택 플러그(52)는 식각 정지층(16)에 위치하지 않을 수 있다. 따라서, 전면 컨택 플러그(22P)와 후면 컨택 플러그(52) 사이의 계면은 식각 정지층(16)에 없을 수 있다. 도 24b에 도시된 구조는, 도 14를 참조하여 설명한 공정에서, 제1 오프닝(OP1)이 식각 정지층(16)을 관통하여 연장될 수 있도록, 분리막(11)이 노출될 때까지 제1 절연체(10) 및 식각 정지층(16)을 식각하여 형성될 수 있다.
본 명세서에서 설명된 실시예들에 따른 집적 회로 소자들은 다양한 이점을 제공할 수 있다. 예를 들어, 기판의 전면에 형성된 소자(예를 들어, 도 2a의 소스/드레인 영역(14)) 및 기판의 후면에 형성된 소자(예를 들어, 도 2a의 후면 파워 레일(54))을 연결하는 두 개의 컨택은 상대적으로 낮은 종횡비를 가지며, 별도의 공정을 통해 형성될 수 있다. 따라서, 고종횡비 컨택 구조와 관련된 결함이 감소될 수 있다. 또한, 2개의 별도의 식각 정지층이 아닌, 단일의 식각 정지층(예를 들어, 도 2a의 식각 정지층(16))이 두 번의 식각 공정(예를 들어, 하나는 도 14의 오프닝들(OP)을 형성하는 공정, 다른 하나는 도 20의 후면 오프닝(BOP1)을 형성하는 공정)에서 식각 정지층으로 이용될 수 있다. 더 나아가, 식각 정지층(예를 들어, 도 2a의 식각 정지층(16))은 인접하는 소스/드레인 영역들에 개재되는 부분을 포함하지 않을 수 있으므로, 식각 정지층은 소스/드레인 영역들 사이의 기생 커패시턴스를 증가시키지 않을 수 있다.
본 명세서에서는 첨부된 도면들을 참조하여 실시예들이 설명된다. 본 개시의 교시에서 벗어나지 않으면서, 많은 상이한 형태 및 실시예들이 가능하므로, 본 개시가 본 개시에 규정된 실시예들에 한정되는 것으로 해석되어서는 안된다. 오히려, 이러한 실시예들은 본 개시가 충실하고 완전하게 하며 당업자에게 본 발명의 사상을 전달하기 위해서 제공되는 것이다. 도면에서, 층(layer) 및 영역의 크기 및 상대적 크기는 명확성을 위해 과장될 수 있다. 동일한 참조 번호는 전체적으로 유사한 요소를 가리킨다.
예시적인 실시예들은 이상적인 실시예들 및 중간 구조의 개략적 예시인 단면도들을 참조하여 본 명세서에서 설명된다. 따라서, 예를 들어, 제조 기술 및/또는 공차의 결과로 도면의 형태로부터 변화가 예상된다. 따라서, 본 명세서의 실시예는 본 명세서에 도시된 특정 형상으로 제한되는 것으로 해석되어서는 안 되며, 예를 들어 제조 과정에서 발생하는 형상의 편차를 포함할 수 있다.
일부 대안적인 구현에서, 여기서 순서도 블록에 명시된 기능/작업는 순서도에 언급된 순서와 상이하게 발생할 수 있음에 유의해야 한다. 예를 들어, 연속적으로 표시된 두 개의 블록은 실제로 실질적으로 동시에 실행되거나 관련된 기능/작업에 따라 때때로 역순으로 실행될 수 있다. 더욱이, 순서도 및/또는 블록 다이어그램의 주어진 블록의 기능성은 다수의 블록으로 분리될 수 있고, 순서도 및/또는 블록 다이어그램의 2개 이상의 블록의 기능성은 적어도 부분적으로 통합될 수 있다. 마지막으로, 도시된 블록들 사이에 다른 블록들이 추가/삽입될 수 있으며 및/또는 블록들/동작들은 본 발명의 범위를 벗어나지 않는 범위 내에서 생략될 수 있다.
다르게 정의되지 않는 한, 본 명세서에서 사용되는 모든 용어(기술 및 과학 용어 포함)들은 본 개시가 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 갖는다. 일반적으로 사용되는 사전에 정의된 것과 같은 용어는 관련 기술의 맥락에서 그 의미와 일치하는 의미를 갖는 것으로 해석되어야 하며 본 명세서에 명시적으로 정의되지 않는 한 이상화되거나 지나치게 형식적인 의미로 해석되지 않을 것이라는 점을 이해할 수 있을 것이다.
본 명세서에서 사용한 용어는 단지 특정한 실시예들을 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 본 명세서에 사용된 바와 같이, 단수 형태 "하나의" 및"상기"는 문맥이 명백하게 달리 나타내지 않는 한 복수 형태도 포함하는 것으로 의도된다. 본 명세서에서 사용될 때 "포함한다" 및/또는 "포함하는"이라는 용어는 언급된 특징, 단계, 동작, 요소 및/또는 구성요소의 존재를 지정하는 것으로 추가로 이해되고, 하나 이상의 다른 특징, 단계, 동작, 요소, 구성요소 및/또는 이들의 그룹의 존재 또는 추가를 배제하지 않는다.
어떤 요소가 다른 요소에 "결합된", "연결된" 또는 "반응하는" 또는 "상에" 있는 것으로 언급될 때, 그것은 다른 요소 또는 중간 요소에 직접적으로 결합되거나, 연결되거나, 반응하거나, 또는 위에 있을 수 있음을 이해할 수 있을 것이다. 대조적으로, 어떤 요소가 다른 요소에 "직접 결합된", "직접 연결된" 또는 "직접 반응하는" 또는 "직접 위의" 라고 언급될 때, 중간 요소가 존재하지 않습니다. 본 명세서에서 사용되는 바와 같이, "및/또는"이라는 용어는 연관된 나열된 항목 중 하나 이상의 임의의 및 모든 조합을 포함한다. 또한, 기호 "/"(예를 들어, "소스/드레인"이라는 용어에 사용될 때)는 용어 "및/또는"과 동등한 것으로 이해될 것이다.
본 명세서에서 다양한 요소를 설명하기 위해 "제1", "제2 " 등의 용어가 사용될 수 있지만, 이러한 요소들이 이러한 용어들에 의해 제한되어서는 안 된다는 것을 이해할 수 있을 것이다. 이러한 용어는 한 요소를 다른 요소와 구별하는 데만 사용된다. 따라서, 제1 요소는 본 실시예들의 교시에서 벗어나지 않고 제2 요소라고 불릴 수 있다.
"아래", "하부", "위", "상부" 등과 같은 공간적으로 상대적인 용어는 도면에 도시된 하나의 요소 또는 다른 요소(들)에 대한 특징의 관계를 설명하기 위한 설명의 용이성을 위해 여기에 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 묘사된 방향에 더하여 사용 또는 작동 중인 장치의 다른 방향을 포함하도록 의도된 것으로 이해될 수 있다. 예를 들어, 도면의 장치가 뒤집힌 경우, 다른 요소 또는 특징 "아래" 또는 "아래"로 설명된 요소는 다른 요소 또는 특징 "위"로 향할 것이다. 따라서 "아래"라는 용어는 위 및 아래의 방향을 모두 포함할 수 있다. 장치는 다른 방향으로 향할 수 있으며(예: 90도 회전 또는 다른 방향으로) 본 명세서에서 사용되는 공간적으로 상대적인 기술어구는 그에 따라 해석될 수 있다.
상기 설명 및 도면과 관련하여 많은 상이한 실시예들이 본 명세서에 개시되었다. 이러한 실시예들의 모든 조합 및 하위 조합을 문자 그대로 설명하고 예시하는 것은 지나치게 반복적이고 난독화될 것이 이해될 것이다. 따라서, 도면을 포함하는 본 명세서는 본 명세서에 기술된 실시예의 모든 조합 및 하위 조합, 및 이들을 제조 및 사용하는 방법 및 과정에 대한 완전한 서면 설명을 구성하는 것으로 해석되어야 하며, 이러한 조합 또는 하위 조합에 대한 청구를 뒷받침할 것이다.
상기 개시된 주제는 예시적인 것으로 간주되어야 하고 제한적이지 않은 것으로 간주되어야 하며, 첨부된 청구범위는 본 발명의 범위 내에 속하는 그러한 모든 수정, 개선 및 기타 실시예들을 포함하도록 의도된다. 따라서, 법률이 허용하는 최대 범위 내에서, 권리 범위는 다음 청구항 및 그 등가물에 대한 가장 광범위하게 허용되는 해석에 의해 결정되어야 하며 전술한 상세한 설명에 의해 제한되거나 제한되지 않는다.

Claims (20)

  1. 전면 및 상기 전면에 반대되는 후면을 포함하는 기판을 제공하는 단계; 상기 기판의 전면에 제1 및 제2 활성 영역들, 분리막, 및 제1 및 제2 희생 적층 구조물들이 제공되고, 상기 분리막은 상기 제1 및 제2 활성 영역들 사이에 위치하며, 상기 제1 및 제2 희생 적층 구조물들은 각각 상기 제1 및 제2 활성 영역들의 상면들에 접촉하고, 및 상기 제1 및 제2 희생 적층 구조물들은 각각 채널 층 및 희생 층을 포함하며,
    상기 분리막의 상면 상에 식각 정지층을 형성하는 단계;
    상기 제1 및 제2 희생 적층 구조물들 각각의 부분을 제1 및 제2 소스/드레인 영역들로 대체하는 단계;
    상기 제1 소스/드레인 영역에 접촉하는 전면 컨택을 형성하는 단계; 상기 전면 컨택은 상기 제1 및 제2 소스/드레인 영역들의 사이에 위치하는 전면 컨택 플러그를 포함하고,
    상기 분리막의 하면에 후면 절연체를 형성하는 단계; 및
    상기 분리막 및 상기 후면 절연체에 위치하고, 상기 전면 컨택 플러그의 하면에 접촉하는 후면 컨택 플러그를 형성하는 단계;를 포함하고,
    상기 전면 컨택 플러그의 일부 및 상기 후면 컨택 플러그의 일부 중 적어도 하나는 상기 식각 정지층에 위치하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  2. 제1 항에 있어서,
    상기 식각 정지층을 형성하는 단계는,
    상기 제1 및 제2 희생 적층 구조층들 및 상기 분리막 상에 예비 식각 정지층을 형성하는 단계;
    상기 예비 식각 정지층 상에 예비 필러 층을 형성하는 단계;
    상기 예비 필러 층을 식각하여, 상기 예비 식각 정지층 상의 상기 제1 및 제2 희생 적층 구조물들 사이의 필러 층을 형성하는 단계; 상기 예비 식각 정지층의 일부 및 상기 제1 및 제2 희생 적층 구조물들의 일부는 상기 필러 층의 상면을 넘어 위쪽으로 돌출되고, 및
    상기 예비 식각 정지층을 식각하여, 상기 제1 및 제2 희생 적층 구조물들의 측면들을 노출시키는 상기 식각 정지층을 형성하는 단계;를 포함하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  3. 제1 항에 있어서,
    상기 전면 컨택을 형성하는 단계는,
    상기 제1 및 제2 소스/드레인 영역들 및 상기 식각 정지층 상에 제1 절연체를 형성하는 단계;
    상기 제1 절연체 및 상기 식각 정지층을 식각하여, 상기 제1 절연체에 제1 오프닝을 형성하는 단계; 상기 제1 오프닝은 상기 식각 정지층을 노출하고, 및
    상기 제1 오프닝에 상기 전면 컨택 플러그를 형성하는 단계;를 포함하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  4. 제1 항에 있어서,
    상기 제2 소스/드레인 영역과 접촉하는 소스/드레인 컨택을 형성하는 단계;를 더 포함하고,
    상기 전면 컨택 및 상기 소스/드레인 컨택을 형성하는 단계는,
    상기 제1 및 제2 소스/드레인 영역들 및 상기 식각 정지층 상에 제1 절연체를 형성하는 단계;
    상기 제1 절연체 및 상기 식각 정지층을 식각하여, 상기 제1 절연체에 제1 및 제2 오프닝들을 형성하는 단계; 상기 제1 및 제2 오프닝들은 상기 제1 및 제2 소스/드레인 영역들을 각각 노출하고, 상기 제1 오프닝은 상기 식각 정지층을 더 노출하며,
    상기 제1 및 제2 오프닝들의 내부 및 상기 제1 절연체 상에 도전층을 형성하는 단계; 및
    상기 제1 절연체가 노출될때까지 상기 도전층을 제거하여, 상기 제1 오프닝에 상기 전면 컨택을 형성하고, 상기 제2 오프닝 상에 상기 소스/드레인 컨택을 형성하는 단계;를 포함하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  5. 제1 항에 있어서,
    상기 후면 컨택 플러그를 형성하는 단계는,
    상기 후면 절연체 및 상기 분리막을 식각하여, 상기 후면 절연체 및 상기 분리막에 후면 오프닝을 형성하는 단계; 및
    상기 후면 오프닝에 상기 후면 컨택 플러그를 형성하는 단계;를 포함하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  6. 제5 항에 있어서,
    상기 후면 오프닝을 형성하는 단계는, 상기 식각 정지층의 일부를 식각하는 단계;를 포함하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  7. 제1 항에 있어서,
    후면 파워 레일을 형성하는 단계;를 더 포함하고,
    상기 후면 컨택 플러그 및 상기 후면 파워 레일을 형성하는 단계는,
    상기 후면 절연체 및 상기 분리막을 식각하여, 상기 후면 절연체에 라인형 오프닝을 형성하고, 상기 후면 절연체 및 상기 분리막에 상기 전면 컨택 플러그 및 상기 라인형 오프닝 사이에 위치하는 후면 오프닝을 형성하는 단계; 및
    상기 후면 오프닝 및 상기 라인형 오프닝에 후면 도전층을 형성하여, 상기 후면 오프닝에 상기 후면 컨택 플러그를 형성하고, 상기 라인형 오프닝에 후면 파워 레일을 형성하는 단계;를 포함하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  8. 제1 항에 있어서,
    상기 전면 컨택 플러그를 형성한 후 및 상기 후면 절연체를 형성하기 전에, 상기 분리막의 하면들이 노출될때까지 상기 기판의 하부를 제거하는 단계;를 더 포함하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  9. 제1 항에 있어서,
    상기 제1 및 제2 희생 적층 구조물들의 일부들은 제1 부분들이고, 상기 제1 및 제2 희생 구조물은 각각 제2 부분들을 더 포함하고,
    상기 제1 및 제2 활성 영역들 및 상기 식각 정지층 위에 교차하는 게이트 구조물을 형성하는 단계;를 더 포함하고,
    상기 게이트 구조물을 형성하는 단계는.
    상기 제1 및 제2 희생 적층 구조물들의 상기 제2 부분들의 상기 희생 층을 상기 게이트 구조물의 일부들로 대체하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  10. 제1 항에 있어서,
    상기 식각 정지층의 최상단부(uppermost end)는 상기 기판으로부터 상기 제1 및 제2 활성 영역들의 상부 표면보다 멀지 않은 것을 특징으로 하는 집적 회로 소자 형성 방법.
  11. 제1 항에 있어서,
    상기 전면 컨택 플러그의 일부 및 상기 후면 컨택 플러그의 일부는 상기 식각 정지층에 있는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  12. 제1 항에 있어서,
    상기 후면 컨택 플러그의 폭은 상기 전면 컨택 플러그와의 거리가 증가함에 따라 증가하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  13. 전면 구조가 제공되는 기판을 제공하는 단계; 상기 기판은 전면 및 상기 전면에 반대되는 후면을 포함하며, 상기 전면 구조는 상기 기판의 전면에서 돌출된 제1 및 제2 활성 영역들, 상기 제1 및 제2 활성 영역들 사이에 위치하는 분리막, 상기 분리막 상에 식각 정지층, 상기 제1 및 제2 활성 영역들 상에 각각 위치하는 제1 및 제2 채널 층들, 상기 제1 및 제2 활성 영역들 상에 각각 위치하고 상기 제1 및 제2 채널 층들에 각각 접촉하는 제1 및 제2 소스/드레인 영역들, 및 상기 제1 및 제2 채널 층들 및 상기 분리막을 가로지르는 게이트 구조물을 포함하고,
    상기 제1 소스/드레인 영역에 접촉하는 전면 컨택을 형성하는 단계;
    상기 전면 컨택을 형성한 후에, 후면 절연체를 형성하는 단계; 상기 분리막은 상기 식각 정지층 및 상기 후면 절연체 사이에 위치하고, 및
    상기 분리막 및 상기 후면 절연체에, 상기 전면 컨택과 접촉하는 후면 컨택 플러그를 형성하는 단계;를 포함하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  14. 제13 항에 있어서,
    상기 후면 컨택 플러그를 형성하는 단계는,
    상기 후면 절연체 및 상기 분리막을 식각하여, 상기 후면 절연체 및 상기 분리막에 후면 오프닝을 형성하는 단계; 및
    상기 후면 오프닝에 후면 컨택 플러그를 형성하는 단계;를 포함하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  15. 제13 항에 있어서,
    상기 전면 컨택의 일부 및 상기 후면 컨택 플러그의 일부 중 적어도 하나는 상기 식각 정지층에 있는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  16. 제15 항에 있어서,
    상기 식각 정지층은 상기 게이트 구조물 및 상기 분리막 사이에 위치하는 부분을 포함하는 것을 특징으로 하는 집적 회로 소자 형성 방법.
  17. 제1 수평 방향으로 서로 이격된 제1 및 제2 활성 영역들;
    상기 제1 및 제2 활성 영역들과 각각 중첩되는 제1 및 제2 소스/드레인 영역들;
    상기 제1 및 제2 활성 영역들 사이의 분리막;
    상기 분리막 상에 상기 제1 및 제2 소스/드레인 영역들 사이의 제1 절연체;
    상기 분리막 및 상기 제1 절연체 사이의 식각 정지층;
    상기 제1 절연체에 있고, 상기 제1 소스/드레인 영역에 접촉하는 전면 컨택; 상기 전면 컨택은 상기 제1 및 제2 소스/드레인 영역들 사이의 전면 컨택 플러그를 포함하고,
    후면 절연체; 상기 분리막은 상기 식각 정지층 및 상기 후면 절연체 사이에 위치하고, 및
    상기 후면 절연체 및 상기 분리막에 있고, 상기 전면 컨택 플러그와 접촉하는 후면 컨택 플러그;를 포함하고,
    상기 전면 컨택의 일부 및 상기 후면 컨택 플러그의 일부 중 적어도 하나는 상기 식각 정지층에 있는 것을 특징으로 하는 집적 회로 소자.
  18. 제17 항에 있어서,
    상기 전면 컨택 플러그와 상기 후면 컨택 플러그의 계면은 상기 식각 정지층에 있는 것을 특징으로 하는 집적 회로 소자.
  19. 제17 항에 있어서,
    상기 제1 및 제2 활성 영역들 상에 각각 위치하고, 상기 제1 및 제2 소스/드레인 영역들과 각각 접촉하는 제1 및 제2 채널 층들; 및
    상기 제1 및 제2 채널 층들 및 상기 분리막을 가로지르는 게이트 구조물;을 더 포함하고,
    상기 식각 정지층은 상기 게이트 구조물 및 상기 분리막 사이에 위치하는 부분을 포함하는 것을 특징으로 하는 집적 회로 소자.
  20. 제17 항에 있어서,
    상기 제1 수평 방향으로의 상기 후면 컨택 플러그의 폭은 상기 전면 컨택 플러그와의 거리가 증가함에 따라 증가하는 것을 특징으로 하는 집적 회로 소자.
KR1020230024581A 2022-04-29 2023-02-23 후면 파워 레일을 포함하는 집적 회로 소자 및 이의 형성 방법 KR20230153920A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW112115230A TW202407966A (zh) 2022-04-29 2023-04-25 包括背面電力軌的積體電路裝置及其形成方法
EP23169854.9A EP4273914B1 (en) 2022-04-29 2023-04-25 Integrated circuit devices including backside power rail and methods of forming the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263336335P 2022-04-29 2022-04-29
US63/336,335 2022-04-29
US17/936,106 2022-09-28
US17/936,106 US20230352408A1 (en) 2022-04-29 2022-09-28 Integrated circuit devices including backside power rail and methods of forming the same

Publications (1)

Publication Number Publication Date
KR20230153920A true KR20230153920A (ko) 2023-11-07

Family

ID=88512619

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230024581A KR20230153920A (ko) 2022-04-29 2023-02-23 후면 파워 레일을 포함하는 집적 회로 소자 및 이의 형성 방법

Country Status (2)

Country Link
US (1) US20230352408A1 (ko)
KR (1) KR20230153920A (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115376994A (zh) * 2021-05-19 2022-11-22 邱志威 晶体管下具有电源连接结构的半导体结构及其制造方法

Also Published As

Publication number Publication date
US20230352408A1 (en) 2023-11-02

Similar Documents

Publication Publication Date Title
KR102439554B1 (ko) 3 차원 메모리 디바이스의 조인트 개구부 구조 및 그 제조 방법
KR101933269B1 (ko) Rram 구조물을 위한 하단 전극
CN106711042B (zh) 用于半导体中段制程(meol)工艺的方法和结构
US20180190809A1 (en) Semiconductor device and a method for fabricating the same
US10103253B2 (en) Structure and method for vertical tunneling field effect transistor with leveled source and drain
KR20210040475A (ko) 3차원 메모리 소자를 위한 트렌치 구조
US7250334B2 (en) Metal insulator metal (MIM) capacitor fabrication with sidewall spacers and aluminum cap (ALCAP) top electrode
CN111415904A (zh) 用于半导体器件的结构和方法
TW201606995A (zh) 用於積體電路之柱狀電阻結構
US20220077180A1 (en) Three-dimensional memory devices having dummy channel structures and methods for forming the same
CN110571333B (zh) 一种无掺杂晶体管器件制作方法
KR20230153920A (ko) 후면 파워 레일을 포함하는 집적 회로 소자 및 이의 형성 방법
US10998227B2 (en) Metal insulator metal capacitor with extended capacitor plates
CN112242377A (zh) 半导体器件
CN114975270A (zh) 半导体装置
KR102611247B1 (ko) 패턴 게이트를 갖는 반도체 금속 산화물 트랜지스터 및 이를 형성하는 방법
KR101867697B1 (ko) 반도체 디바이스 및 방법
EP4273914B1 (en) Integrated circuit devices including backside power rail and methods of forming the same
CN115458524A (zh) 衬底、电子装置及其制造方法
KR20150081738A (ko) 에어 스페이서를 구비한 반도체 소자
CN114512444A (zh) 半导体结构与其形成方法
US20080203476A1 (en) Semiconductor Device Having Strip-Shaped Channel And Method For Manufacturing Such A Device
US11984444B2 (en) Semiconductor device and method of manufacturing the same
CN116978860A (zh) 包括背面电源轨的集成电路器件及其形成方法
US11257926B2 (en) Self-aligned contact structures