KR20220131486A - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
KR20220131486A
KR20220131486A KR1020210052673A KR20210052673A KR20220131486A KR 20220131486 A KR20220131486 A KR 20220131486A KR 1020210052673 A KR1020210052673 A KR 1020210052673A KR 20210052673 A KR20210052673 A KR 20210052673A KR 20220131486 A KR20220131486 A KR 20220131486A
Authority
KR
South Korea
Prior art keywords
pattern
gate
spacer
active
gate electrode
Prior art date
Application number
KR1020210052673A
Other languages
Korean (ko)
Inventor
김주연
이형종
윤슬기
홍세기
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to TW110135815A priority Critical patent/TW202301631A/en
Priority to CN202210059362.2A priority patent/CN115116953A/en
Priority to US17/580,847 priority patent/US11978779B2/en
Publication of KR20220131486A publication Critical patent/KR20220131486A/en
Priority to US18/649,646 priority patent/US20240282835A1/en
Priority to US18/649,553 priority patent/US20240282834A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Bipolar Transistors (AREA)

Abstract

The present invention relates to a semiconductor device with improved reliability and electrical characteristics and a method for manufacturing the same. The semiconductor device includes: a first active pattern on a substrate, the first active pattern comprising a pair of first source/drain patterns and a first channel pattern between the first source/drain patterns; a gate electrode on the first channel pattern; a first gate spacer on the first channel on a sidewall of the gate electrode, the first gate spacer comprising a first spacer and a second spacer, wherein an upper surface of the first spacer is lower than an upper surface of the second spacer; a first blocking pattern on the first spacer; and a gate contact connected to the gate electrode. The first blocking pattern is positioned between the gate contact and the second spacer.

Description

반도체 소자 및 그의 제조 방법{Semiconductor device and method for manufacturing the same}Semiconductor device and method for manufacturing the same

본 발명은 반도체 소자 및 그의 제조 방법에 관한 것으로, 더욱 상세하게는 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그의 제조 방법에 관한 것이다.The present invention relates to a semiconductor device and a method for manufacturing the same, and more particularly, to a semiconductor device including a field effect transistor and a method for manufacturing the same.

반도체 소자는 모스 전계 효과 트랜지스터들(MOS(Metal Oxide Semiconductor) FET)로 구성된 집적회로를 포함한다. 반도체 소자의 크기 및 디자인 룰(Design rule)이 점차 축소됨에 따라, 모스 전계 효과 트랜지스터들의 크기 축소(scale down)도 점점 가속화되고 있다. 모스 전계 효과 트랜지스터들의 크기 축소에 따라 반도체 소자의 동작 특성이 저하될 수 있다. 이에 따라, 반도체 소자의 고집적화에 따른 한계를 극복하면서 보다 우수한 성능을 반도체 소자를 형성하기 위한 다양한 방법이 연구되고 있다.A semiconductor device includes an integrated circuit including MOS field effect transistors (MOS (Metal Oxide Semiconductor) FETs). As the size and design rule of semiconductor devices are gradually reduced, the scale down of the MOS field effect transistors is also accelerating. As the size of the MOS field effect transistors is reduced, the operating characteristics of the semiconductor device may be deteriorated. Accordingly, various methods for forming semiconductor devices with superior performance while overcoming limitations due to high integration of semiconductor devices are being studied.

본 발명이 해결하고자 하는 과제는, 신뢰성 및 전기적 특성이 향상된 반도체 소자를 제공하는데 있다.An object of the present invention is to provide a semiconductor device having improved reliability and electrical characteristics.

본 발명이 해결하고자 하는 과제는, 신뢰성 및 전기적 특성이 향상된 반도체 소자의 제조 방법을 제공하는데 있다.An object of the present invention is to provide a method of manufacturing a semiconductor device having improved reliability and electrical characteristics.

본 발명의 개념에 따른, 반도체 소자는, 기판 상의 제1 활성 패턴, 상기 제1 활성 패턴은 한 쌍의 제1 소스/드레인 패턴들 및 이들 사이의 제1 채널 패턴을 포함하고; 상기 제1 채널 패턴 상의 게이트 전극; 상기 게이트 전극의 측벽 상의 제1 게이트 스페이서, 상기 제1 게이트 스페이서는 제1 스페이서 및 제2 스페이서를 포함하며, 상기 제1 스페이서의 상면은 상기 제2 스페이서의 상면보다 낮고; 상기 제1 스페이서 상의 제1 블로킹 패턴; 및 상기 게이트 전극에 접속하는 게이트 콘택을 포함할 수 있다. 상기 제1 블로킹 패턴은 상기 게이트 콘택과 상기 제2 스페이서 사이에 개재될 수 있다. According to a concept of the present invention, a semiconductor device includes: a first active pattern on a substrate, the first active pattern including a pair of first source/drain patterns and a first channel pattern therebetween; a gate electrode on the first channel pattern; a first gate spacer on a sidewall of the gate electrode, the first gate spacer comprising a first spacer and a second spacer, wherein an upper surface of the first spacer is lower than an upper surface of the second spacer; a first blocking pattern on the first spacer; and a gate contact connected to the gate electrode. The first blocking pattern may be interposed between the gate contact and the second spacer.

본 발명의 다른 개념에 따른, 반도체 소자는, 기판 상의 활성 패턴, 상기 활성 패턴은 한 쌍의 소스/드레인 패턴들 및 이들 사이의 채널 패턴을 포함하고; 상기 채널 패턴 상의 게이트 전극; 상기 게이트 전극의 측벽 상의 게이트 스페이서; 상기 게이트 콘택 상의 게이트 캐핑 패턴; 상기 게이트 캐핑 패턴을 관통하여 상기 게이트 전극에 접속하는 게이트 콘택; 및 상기 게이트 콘택과 상기 게이트 스페이서 사이의 블로킹 패턴을 포함할 수 있다. 상기 블로킹 패턴은 상기 게이트 콘택의 측벽을 따라 연장되면서 상기 게이트 콘택이 상기 게이트 스페이서를 넘어가지 못하도록 가이드하고, 상기 블로킹 패턴은, 상기 게이트 스페이서와 식각 선택비를 갖는 물질을 포함할 수 있다.According to another concept of the present invention, a semiconductor device includes an active pattern on a substrate, the active pattern including a pair of source/drain patterns and a channel pattern therebetween; a gate electrode on the channel pattern; a gate spacer on a sidewall of the gate electrode; a gate capping pattern on the gate contact; a gate contact passing through the gate capping pattern and connecting to the gate electrode; and a blocking pattern between the gate contact and the gate spacer. The blocking pattern may extend along a sidewall of the gate contact and guide the gate contact not to pass over the gate spacer, and the blocking pattern may include a material having an etch selectivity to the gate spacer.

본 발명의 또 다른 개념에 따른, 반도체 소자는, 제1 방향으로 서로 이격된 PMOSFET 영역 및 NMOSFET 영역을 포함하는 기판; 상기 PMOSFET 영역 상의 제1 활성 패턴 및 상기 NMOSFET 영역 상의 제2 활성 패턴; 상기 제1 및 제2 활성 패턴들의 하부 측벽들을 덮는 소자 분리막, 상기 제1 및 제2 활성 패턴들 각각의 상부는 상기 소자 분리막 위로 돌출되고; 상기 제1 및 제2 활성 패턴들을 가로지르며 상기 제1 방향으로 연장되는 게이트 전극; 상기 제1 및 제2 활성 패턴들의 상기 상부들에 각각 제공된 제1 소스/드레인 패턴 및 제2 소스/드레인 패턴, 상기 제1 및 제2 소스/드레인 패턴들 각각은 상기 게이트 전극의 일 측에 인접하고; 상기 게이트 전극과 상기 제1 및 제2 활성 패턴들 사이에 개재된 게이트 절연막; 상기 게이트 전극의 측벽 상의 게이트 스페이서; 상기 게이트 전극의 상면 상의 게이트 캐핑 패턴; 상기 게이트 전극을 관통하는 게이트 커팅 패턴; 상기 게이트 캐핑 패턴 및 상기 게이트 커팅 패턴 상의 층간 절연막; 상기 층간 절연막을 관통하여 상기 제1 및 제2 소스/드레인 패턴들 중 적어도 하나와 전기적으로 연결되는 활성 콘택; 상기 층간 절연막 및 상기 게이트 캐핑 패턴을 관통하여, 상기 게이트 전극과 전기적으로 연결되는 게이트 콘택; 상기 게이트 콘택과 인접하는 상기 활성 콘택의 상부에 제공된 상부 절연 패턴; 상기 게이트 콘택과 상기 게이트 스페이서 사이의 블로킹 패턴; 상기 층간 절연막 상의 제1 금속 층, 상기 제1 금속 층은 상기 게이트 커팅 패턴과 수직적으로 중첩되는 파워 배선, 및 상기 활성 콘택 및 상기 게이트 콘택에 각각 전기적으로 연결되는 제1 배선들을 포함하고; 및 상기 제1 금속 층 상의 제2 금속 층을 포함할 수 있다. 상기 제2 금속 층은 상기 제1 금속 층과 전기적으로 연결되는 제2 배선들을 포함하고, 상기 블로킹 패턴은 상기 게이트 콘택과 상기 상부 절연 패턴 사이에 위치하고, 상기 게이트 콘택은, 상기 블로킹 패턴 및 상기 상부 절연 패턴을 사이에 두고 상기 활성 콘택으로부터 이격될 수 있다.According to another concept of the present invention, a semiconductor device includes: a substrate including a PMOSFET region and an NMOSFET region spaced apart from each other in a first direction; a first active pattern on the PMOSFET region and a second active pattern on the NMOSFET region; a device isolation layer covering lower sidewalls of the first and second active patterns, an upper portion of each of the first and second active patterns protrude above the device isolation layer; a gate electrode crossing the first and second active patterns and extending in the first direction; A first source/drain pattern and a second source/drain pattern provided on the upper portions of the first and second active patterns, respectively, and each of the first and second source/drain patterns are adjacent to one side of the gate electrode do; a gate insulating layer interposed between the gate electrode and the first and second active patterns; a gate spacer on a sidewall of the gate electrode; a gate capping pattern on an upper surface of the gate electrode; a gate cutting pattern passing through the gate electrode; an interlayer insulating layer on the gate capping pattern and the gate cutting pattern; an active contact electrically connected to at least one of the first and second source/drain patterns through the interlayer insulating layer; a gate contact passing through the interlayer insulating layer and the gate capping pattern and electrically connected to the gate electrode; an upper insulating pattern provided on the active contact adjacent to the gate contact; a blocking pattern between the gate contact and the gate spacer; a first metal layer on the interlayer insulating layer, the first metal layer including a power wiring vertically overlapping the gate cutting pattern, and first wirings electrically connected to the active contact and the gate contact, respectively; and a second metal layer on the first metal layer. The second metal layer includes second wirings electrically connected to the first metal layer, the blocking pattern is positioned between the gate contact and the upper insulating pattern, and the gate contact includes the blocking pattern and the upper insulating pattern. It may be spaced apart from the active contact with an insulating pattern interposed therebetween.

본 발명의 또 다른 개념에 따른, 반도체 소자의 제조 방법은, 기판 상에 활성 패턴을 형성하는 것; 상기 활성 패턴을 가로지르는 희생 패턴을 형성하는 것; 상기 희생 패턴의 측벽 상에 제1 스페이서 및 제2 스페이서를 포함하는 게이트 스페이서를 형성하는 것; 상기 활성 패턴 상에 상기 희생 패턴에 인접하는 소스/드레인 패턴을 형성하는 것; 상기 희생 패턴을 제거하여 빈 공간을 형성하는 것; 상기 빈 공간 내에 게이트 절연막 및 게이트 전극을 형성하는 것; 상기 제1 스페이서를 리세스하는 것; 리세스된 상기 제1 스페이서 상에 블로킹 패턴을 형성하는 것; 상기 게이트 전극 상에 상기 블로킹 패턴을 덮는 게이트 캐핑 패턴을 형성하는 것; 및 상기 게이트 캐핑 패턴을 관통하여 상기 게이트 전극에 접속하는 게이트 콘택을 형성하는 것을 포함할 수 있다.According to another concept of the present invention, a method of manufacturing a semiconductor device includes: forming an active pattern on a substrate; forming a sacrificial pattern crossing the active pattern; forming a gate spacer including a first spacer and a second spacer on a sidewall of the sacrificial pattern; forming a source/drain pattern adjacent to the sacrificial pattern on the active pattern; removing the sacrificial pattern to form an empty space; forming a gate insulating layer and a gate electrode in the empty space; recessing the first spacer; forming a blocking pattern on the recessed first spacer; forming a gate capping pattern covering the blocking pattern on the gate electrode; and forming a gate contact connected to the gate electrode through the gate capping pattern.

본 발명에 따른 반도체 소자는, 게이트 콘택과 게이트 스페이서 사이에 게이트 콘택을 가이드하는 블로킹 패턴을 포함할 수 있다. 블로킹 패턴은 게이트 콘택에 확장부의 생성을 방지하여, 게이트 콘택과 그에 인접하는 활성 콘택 또는 소스/드레인 패턴간의 쇼트 발생을 방지할 수 있다. 결과적으로, 반도체 소자의 신뢰성이 향상될 수 있다. The semiconductor device according to the present invention may include a blocking pattern between the gate contact and the gate spacer for guiding the gate contact. The blocking pattern may prevent generation of an extension in the gate contact, thereby preventing a short circuit between the gate contact and an active contact adjacent thereto or a source/drain pattern. As a result, the reliability of the semiconductor device can be improved.

도 1 내지 도 3는 본 발명의 실시예들에 따른 반도체 소자의 로직 셀들을 설명하기 위한 개념도들이다.
도 4는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 5a 내지 도 5e는 각각 도 4의 A-A'선, B-B'선, C-C'선, D-D'선 및 E-E'선에 따른 단면도들이다.
도 6은 도 5a의 M 영역을 확대한 단면도이다.
도 7은 도 5e의 N 영역을 확대한 단면도이다.
도 8은 본 발명의 비교예에 따른 도 5a의 M 영역을 확대한 단면도이다.
도 9a 및 도 9b 각각은 본 발명의 다른 실시예에 따른 도 5a의 M 영역을 확대한 단면도이다.
도 10, 도 12, 도 14 및 도 16은 본 발명의 실시예들에 따른 반도체 소자의 제조방법을 설명하기 위한 평면도들이다.
도 11a, 도 13a, 도 15a 및 도 17a는 각각 도 10, 도 12, 도 14 및 도 16의 A-A'선에 따른 단면도들이다.
도 11b, 도 13b, 도 15b 및 도 17b는 각각 도 10, 도 12, 도 14 및 도 16의 B-B'선에 따른 단면도들이다.
도 11c, 도 13c, 도 15c 및 도 17c는 각각 도 10, 도 12, 도 14 및 도 16의 C-C'선에 따른 단면도들이다.
도 11d, 도 13d, 도 15d 및 도 17d는 각각 도 10, 도 12, 도 14 및 도 16의 D-D'선에 따른 단면도들이다.
도 13e, 도 15e 및 도 17e는 각각 도 12, 도 14 및 도 16의 E-E'선에 따른 단면도들이다.
도 18a, 19a, 20a, 21a, 22a 및 23a는 도 17a의 M 영역이 형성되는 방법을 설명하기 위한 확대도들이다.
도 18b, 19b, 20b, 21b, 22b 및 23b는 도 17e의 N 영역이 형성되는 방법을 설명하기 위한 확대도들이다.
도 24a 내지 도 24e는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 것으로, 각각 도 4의 A-A'선, B-B'선, C-C'선, D-D'선 및 E-E'선에 따른 단면도들이다.
1 to 3 are conceptual views for explaining logic cells of a semiconductor device according to embodiments of the present invention.
4 is a plan view illustrating a semiconductor device according to embodiments of the present invention.
5A to 5E are cross-sectional views taken along line A-A', line B-B', line C-C', line D-D', and line E-E' of FIG. 4, respectively.
FIG. 6 is an enlarged cross-sectional view of region M of FIG. 5A .
FIG. 7 is an enlarged cross-sectional view of region N of FIG. 5E .
8 is an enlarged cross-sectional view of region M of FIG. 5A according to a comparative example of the present invention.
9A and 9B are enlarged cross-sectional views of region M of FIG. 5A according to another exemplary embodiment of the present invention.
10, 12, 14, and 16 are plan views for explaining a method of manufacturing a semiconductor device according to embodiments of the present invention.
11A, 13A, 15A, and 17A are cross-sectional views taken along line A-A' of FIGS. 10, 12, 14 and 16, respectively.
11B, 13B, 15B, and 17B are cross-sectional views taken along line B-B' of FIGS. 10, 12, 14 and 16, respectively.
11C, 13C, 15C, and 17C are cross-sectional views taken along line C-C' of FIGS. 10, 12, 14 and 16, respectively.
11D, 13D, 15D, and 17D are cross-sectional views taken along line D-D' of FIGS. 10, 12, 14 and 16, respectively.
13E, 15E, and 17E are cross-sectional views taken along line E-E' of FIGS. 12, 14, and 16, respectively.
18A, 19A, 20A, 21A, 22A, and 23A are enlarged views for explaining a method in which region M of FIG. 17A is formed.
18B, 19B, 20B, 21B, 22B, and 23B are enlarged views for explaining a method in which the N region of FIG. 17E is formed.
24A to 24E are for explaining a semiconductor device according to embodiments of the present invention, and are, respectively, lines A-A', B-B', C-C', D-D' and They are cross-sectional views taken along line E-E'.

도 1 내지 도 3는 본 발명의 실시예들에 따른 반도체 소자의 로직 셀들을 설명하기 위한 개념도들이다. 1 to 3 are conceptual views for explaining logic cells of a semiconductor device according to embodiments of the present invention.

도 1을 참조하면, 싱글 하이트 셀(Single Height Cell, SHC)이 제공될 수 있다. 구체적으로, 기판(100) 상에 제1 파워 배선(M1_R1) 및 제2 파워 배선(M1_R2)이 제공될 수 있다. 제1 파워 배선(M1_R1)은 드레인 전압(VDD), 일 예로 파워 전압이 제공되는 통로일 수 있다. 제2 파워 배선(M1_R2)은 소스 전압(VSS), 일 예로 접지 전압이 제공되는 통로일 수 있다. Referring to FIG. 1 , a single height cell (SHC) may be provided. Specifically, the first power line M1_R1 and the second power line M1_R2 may be provided on the substrate 100 . The first power line M1_R1 may be a passage through which a drain voltage VDD, for example, a power voltage is provided. The second power line M1_R2 may be a path through which a source voltage VSS, for example, a ground voltage is provided.

제1 파워 배선(M1_R1) 및 제2 파워 배선(M1_R2) 사이에 싱글 하이트 셀(SHC)이 정의될 수 있다. 싱글 하이트 셀(SHC)은 하나의 PMOSFET 영역(PR) 및 하나의 NMOSFET 영역(NR)을 포함할 수 있다. 다시 말하면, 싱글 하이트 셀(SHC)은 제1 파워 배선(M1_R1) 및 제2 파워 배선(M1_R2) 사이에 제공된 CMOS 구조를 가질 수 있다. A single height cell SHC may be defined between the first power line M1_R1 and the second power line M1_R2 . The single height cell SHC may include one PMOSFET region PR and one NMOSFET region NR. In other words, the single height cell SHC may have a CMOS structure provided between the first power line M1_R1 and the second power line M1_R2 .

PMOSFET 영역(PR) 및 NMOSFET 영역(NR) 각각은 제1 방향(D1)으로 제1 폭(W1)을 가질 수 있다. 싱글 하이트 셀(SHC)의 제1 방향(D1)으로의 길이는 제1 높이(HE1)로 정의될 수 있다. 제1 높이(HE1)는, 제1 파워 배선(M1_R1)과 제2 파워 배선(M1_R2) 사이의 거리(예를 들어, 피치)와 실질적으로 동일할 수 있다. Each of the PMOSFET region PR and the NMOSFET region NR may have a first width W1 in the first direction D1 . A length of the single height cell SHC in the first direction D1 may be defined as the first height HE1 . The first height HE1 may be substantially equal to a distance (eg, a pitch) between the first power line M1_R1 and the second power line M1_R2 .

싱글 하이트 셀(SHC)은 하나의 로직 셀을 구성할 수 있다. 본 명세서에서 로직 셀은 특정 기능을 수행하는 논리 소자(예를 들어, AND, OR, XOR, XNOR, inverter 등)를 의미할 수 있다. 즉, 로직 셀은 논리 소자를 구성하기 위한 트랜지스터들 및 상기 트랜지스터들을 서로 연결하는 배선들을 포함할 수 있다.A single height cell (SHC) may constitute one logic cell. In this specification, a logic cell may mean a logic element (eg, AND, OR, XOR, XNOR, inverter, etc.) that performs a specific function. That is, the logic cell may include transistors constituting a logic element and wirings connecting the transistors to each other.

도 2를 참조하면, 더블 하이트 셀(Double Height Cell, DHC)이 제공될 수 있다. 구체적으로, 기판(100) 상에 제1 파워 배선(M1_R1), 제2 파워 배선(M1_R2) 및 제3 파워 배선(M1_R3)이 제공될 수 있다. 제1 파워 배선(M1_R1)은, 제2 파워 배선(M1_R2)과 제3 파워 배선(M1_R3) 사이에 배치될 수 있다. 제3 파워 배선(M1_R3)은 드레인 전압(VDD)이 제공되는 통로일 수 있다.Referring to FIG. 2 , a double height cell (DHC) may be provided. Specifically, a first power line M1_R1 , a second power line M1_R2 , and a third power line M1_R3 may be provided on the substrate 100 . The first power line M1_R1 may be disposed between the second power line M1_R2 and the third power line M1_R3 . The third power line M1_R3 may be a passage through which the drain voltage VDD is provided.

제2 파워 배선(M1_R2)과 제3 파워 배선(M1_R3) 사이에 더블 하이트 셀(DHC)이 정의될 수 있다. 더블 하이트 셀(DHC)은 제1 PMOSFET 영역(PR1), 제2 PMOSFET 영역(PR2), 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2)을 포함할 수 있다.A double height cell DHC may be defined between the second power line M1_R2 and the third power line M1_R3 . The double height cell DHC may include a first PMOSFET region PR1 , a second PMOSFET region PR2 , a first NMOSFET region NR1 , and a second NMOSFET region NR2 .

제1 NMOSFET 영역(NR1)은 제2 파워 배선(M1_R2)에 인접할 수 있다. 제2 NMOSFET 영역(NR2)은 제3 파워 배선(M1_R3)에 인접할 수 있다. 제1 및 제2 PMOSFET 영역들(PR1, PR2)은 제1 파워 배선(M1_R1)에 인접할 수 있다. 평면적 관점에서, 제1 파워 배선(M1_R1)은 제1 및 제2 PMOSFET 영역들(PR1, PR2) 사이에 배치될 수 있다.The first NMOSFET region NR1 may be adjacent to the second power line M1_R2 . The second NMOSFET region NR2 may be adjacent to the third power line M1_R3 . The first and second PMOSFET regions PR1 and PR2 may be adjacent to the first power line M1_R1 . In a plan view, the first power line M1_R1 may be disposed between the first and second PMOSFET regions PR1 and PR2 .

더블 하이트 셀(DHC)의 제1 방향(D1)으로의 길이는 제2 높이(HE2)로 정의될 수 있다. 제2 높이(HE2)는 도 1의 제1 높이(HE1)의 약 두 배일 수 있다. 더블 하이트 셀(DHC)의 제1 및 제2 PMOSFET 영역들(PR1, PR2)은 묶여서 하나의 PMOSFET 영역으로 동작할 수 있다. A length of the double height cell DHC in the first direction D1 may be defined as a second height HE2 . The second height HE2 may be about twice the first height HE1 of FIG. 1 . The first and second PMOSFET regions PR1 and PR2 of the double height cell DHC may be bundled to operate as one PMOSFET region.

따라서, 더블 하이트 셀(DHC)의 PMOS 트랜지스터의 채널의 크기는, 앞서 도 1의 싱글 하이트 셀(SHC)의 PMOS 트랜지스터의 채널의 크기보다 클 수 있다. 예를 들어, 더블 하이트 셀(DHC)의 PMOS 트랜지스터의 채널의 크기는 싱글 하이트 셀(SHC)의 PMOS 트랜지스터의 채널의 크기의 약 두 배일 수 있다. 결과적으로, 더블 하이트 셀(DHC)은 싱글 하이트 셀(SHC)에 비해 더 고속으로 동작할 수 있다. 본 발명에 있어서, 도 2에 나타난 더블 하이트 셀(DHC)은 멀티 하이트 셀로 정의될 수 있다. 도시되진 않았지만, 멀티 하이트 셀은, 셀 높이가 싱글 하이트 셀(SHC)의 약 세 배인 트리플 하이트 셀을 포함할 수 있다.Accordingly, the channel size of the PMOS transistor of the double height cell DHC may be larger than the channel size of the PMOS transistor of the single height cell SHC of FIG. 1 . For example, the channel size of the PMOS transistor of the double height cell DHC may be about twice the size of the channel size of the PMOS transistor of the single height cell SHC. As a result, the double height cell DHC may operate at a higher speed than the single height cell SHC. In the present invention, the double height cell (DHC) shown in FIG. 2 may be defined as a multi-height cell. Although not shown, the multi-height cell may include a triple-height cell whose cell height is about three times that of a single height cell (SHC).

도 3을 참조하면, 기판(100) 상에 제1 싱글 하이트 셀(SHC1), 제2 싱글 하이트 셀(SHC2) 및 더블 하이트 셀(DHC)이 이차원 적으로 배치될 수 있다. 제1 싱글 하이트 셀(SHC1)은 제1 및 제2 파워 배선들(M1_R1, M1_R2) 사이에 배치될 수 있다. 제2 싱글 하이트 셀(SHC2)은 제1 및 제3 파워 배선들(M1_R1, M1_R3) 사이에 배치될 수 있다. 제2 싱글 하이트 셀(SHC2)은 제1 싱글 하이트 셀(SHC1)과 제1 방향(D1)으로 인접할 수 있다.Referring to FIG. 3 , a first single height cell SHC1 , a second single height cell SHC2 , and a double height cell DHC may be two-dimensionally disposed on a substrate 100 . The first single height cell SHC1 may be disposed between the first and second power lines M1_R1 and M1_R2 . The second single height cell SHC2 may be disposed between the first and third power lines M1_R1 and M1_R3 . The second single height cell SHC2 may be adjacent to the first single height cell SHC1 in the first direction D1 .

더블 하이트 셀(DHC)은 제2 및 제3 파워 배선들(M1_R2, M1_R3) 사이에 배치될 수 있다. 더블 하이트 셀(DHC)은 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2)과 제2 방향(D2)으로 인접할 수 있다. The double height cell DHC may be disposed between the second and third power lines M1_R2 and M1_R3. The double height cell DHC may be adjacent to the first and second single height cells SHC1 and SHC2 in the second direction D2 .

제1 싱글 하이트 셀(SHC1)과 더블 하이트 셀(DHC) 사이, 및 제2 싱글 하이트 셀(SHC2)과 더블 하이트 셀(DHC) 사이에 분리 구조체(DB)가 제공될 수 있다. 분리 구조체(DB)에 의해, 더블 하이트 셀(DHC)의 활성 영역은, 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 각각의 활성 영역으로부터 전기적으로 분리될 수 있다. A separation structure DB may be provided between the first single height cell SHC1 and the double height cell DHC and between the second single height cell SHC2 and the double height cell DHC. The active region of the double height cell DHC may be electrically separated from the active region of each of the first and second single height cells SHC1 and SHC2 by the isolation structure DB.

도 4는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 5a 내지 도 5e는 각각 도 4의 A-A'선, B-B'선, C-C'선, D-D'선 및 E-E'선에 따른 단면도들이다. 도 6은 도 5a의 M 영역을 확대한 단면도이다. 도 7은 도 5e의 N 영역을 확대한 단면도이다.4 is a plan view illustrating a semiconductor device according to embodiments of the present invention. 5A to 5E are cross-sectional views taken along line A-A', line B-B', line C-C', line D-D', and line E-E' of FIG. 4, respectively. FIG. 6 is an enlarged cross-sectional view of region M of FIG. 5A . FIG. 7 is an enlarged cross-sectional view of region N of FIG. 5E .

도 4 및 도 5a 내지 도 5e를 참조하면, 제1 영역(RG1) 및 제2 영역(RG2)을 포함하는 기판(100)이 제공될 수 있다. 기판(100)은 실리콘, 게르마늄, 실리콘-게르마늄 등을 포함하는 반도체 기판이거나 화합물 반도체 기판일 수 있다. 일 예로, 기판(100)은 실리콘 기판일 수 있다.4 and 5A to 5E , a substrate 100 including a first region RG1 and a second region RG2 may be provided. The substrate 100 may be a semiconductor substrate including silicon, germanium, silicon-germanium, or the like, or a compound semiconductor substrate. For example, the substrate 100 may be a silicon substrate.

제1 영역(RG1)은 로직 셀 영역일 수 있다. 제1 영역(RG1) 상에 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2)이 제공될 수 있다. 각각의 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 상에는 로직 회로를 구성하는 로직 트랜지스터들이 배치될 수 있다. 본 실시예에 따른 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2)은, 도 3의 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2)을 보다 구체적으로 나타낸 일 예이다.The first region RG1 may be a logic cell region. First and second single height cells SHC1 and SHC2 may be provided on the first region RG1 . Logic transistors constituting a logic circuit may be disposed on each of the first and second single height cells SHC1 and SHC2 . The first and second single height cells SHC1 and SHC2 according to the present embodiment are an example of the first and second single height cells SHC1 and SHC2 of FIG. 3 in more detail.

제2 영역(RG2)은 주변 영역일 수 있다. 제2 영역(RG2)은 게이트 길이(즉, 채널 길이)가 상대적으로 긴 롱 게이트 트랜지스터를 포함할 수 있다. 제2 영역(RG2)의 트랜지스터는, 제1 영역(RG1)의 트랜지스터에 비해 고전력으로 작동될 수 있다. 이하, 도 4 및 도 5a 내지 도 5d를 참조하여 제1 영역(RG1)의 트랜지스터에 대해 먼저 상세히 설명한다.The second region RG2 may be a peripheral region. The second region RG2 may include a long gate transistor having a relatively long gate length (ie, a channel length). The transistor of the second region RG2 may be operated with a higher power than the transistor of the first region RG1 . Hereinafter, the transistor of the first region RG1 will be described in detail with reference to FIGS. 4 and 5A to 5D .

제1 영역(RG1)은 제1 PMOSFET 영역(PR1), 제2 PMOSFET 영역(PR2), 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2)을 포함할 수 있다. 제1 PMOSFET 영역(PR1), 제2 PMOSFET 영역(PR2), 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2) 각각은, 제2 방향(D2)으로 연장될 수 있다. The first region RG1 may include a first PMOSFET region PR1 , a second PMOSFET region PR2 , a first NMOSFET region NR1 , and a second NMOSFET region NR2 . Each of the first PMOSFET region PR1 , the second PMOSFET region PR2 , the first NMOSFET region NR1 , and the second NMOSFET region NR2 may extend in the second direction D2 .

기판(100)의 상부에 형성된 제2 트렌치(TR2)에 의해 제1 PMOSFET 영역(PR1), 제2 PMOSFET 영역(PR2), 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2)이 정의될 수 있다. 예를 들어, 제1 NMOSFET 영역(NR1)과 제1 PMOSFET 영역(PR1) 사이에 제2 트렌치(TR2)가 위치할 수 있다. 제1 PMOSFET 영역(PR1)과 제2 PMOSFET 영역(PR2) 사이에 제2 트렌치(TR2)가 위치할 수 있다. 제2 PMOSFET 영역(PR2)과 제2 NMOSFET 영역(NR2) 사이에 제2 트렌치(TR2)가 위치할 수 있다.A first PMOSFET region PR1 , a second PMOSFET region PR2 , a first NMOSFET region NR1 , and a second NMOSFET region NR2 may be defined by the second trench TR2 formed on the substrate 100 . can For example, the second trench TR2 may be positioned between the first NMOSFET region NR1 and the first PMOSFET region PR1 . A second trench TR2 may be positioned between the first PMOSFET region PR1 and the second PMOSFET region PR2 . A second trench TR2 may be positioned between the second PMOSFET region PR2 and the second NMOSFET region NR2 .

각각의 제1 및 제2 PMOSFET 영역들(PR1, PR2) 상에 제1 활성 패턴들(AP1)이 제공될 수 있다. 각각의 제1 및 제2 NMOSFET 영역들(NR1, NR2) 상에 제2 활성 패턴들(AP2)이 제공될 수 있다. First active patterns AP1 may be provided on each of the first and second PMOSFET regions PR1 and PR2 . Second active patterns AP2 may be provided on each of the first and second NMOSFET regions NR1 and NR2 .

제1 및 제2 활성 패턴들(AP1, AP2)은 제2 방향(D2)으로 서로 평행하게 연장될 수 있다. 제1 및 제2 활성 패턴들(AP1, AP2)은 기판(100)의 일부로써, 수직하게 돌출된 부분들일 수 있다. 서로 인접하는 제1 활성 패턴들(AP1) 사이 및 서로 인접하는 제2 활성 패턴들(AP2) 사이에 제1 트렌치(TR1)가 정의될 수 있다. 제1 트렌치(TR1)는 제2 트렌치(TR2)보다 얕을 수 있다.The first and second active patterns AP1 and AP2 may extend parallel to each other in the second direction D2 . The first and second active patterns AP1 and AP2 are a part of the substrate 100 and may be vertically protruding portions. A first trench TR1 may be defined between the first active patterns AP1 adjacent to each other and between the second active patterns AP2 adjacent to each other. The first trench TR1 may be shallower than the second trench TR2 .

소자 분리막(ST)이 제1 및 제2 트렌치들(TR1, TR2)을 채울 수 있다. 소자 분리막(ST)은 실리콘 산화막을 포함할 수 있다. 제1 및 제2 활성 패턴들(AP1, AP2) 각각의 상부는 소자 분리막(ST) 위로 수직하게 돌출될 수 있다 (도 5d 참조). 제1 및 제2 활성 패턴들(AP1, AP2) 각각의 상부는 핀(Fin) 형태를 가질 수 있다. 소자 분리막(ST)은 제1 및 제2 활성 패턴들(AP1, AP2) 각각의 상부를 덮지 않을 수 있다. 소자 분리막(ST)은 제1 및 제2 활성 패턴들(AP1, AP2) 각각의 하부 측벽을 덮을 수 있다.The device isolation layer ST may fill the first and second trenches TR1 and TR2. The device isolation layer ST may include a silicon oxide layer. An upper portion of each of the first and second active patterns AP1 and AP2 may protrude vertically above the device isolation layer ST (refer to FIG. 5D ). An upper portion of each of the first and second active patterns AP1 and AP2 may have a fin shape. The device isolation layer ST may not cover an upper portion of each of the first and second active patterns AP1 and AP2 . The device isolation layer ST may cover lower sidewalls of each of the first and second active patterns AP1 and AP2 .

각각의 제1 및 제2 PMOSFET 영역들(PR1, PR2) 상에 제1 소스/드레인 패턴들(SD1)이 제공될 수 있다. 제1 활성 패턴들(AP1) 각각의 상부에 제1 소스/드레인 패턴들(SD1)이 제공될 수 있다. 제1 소스/드레인 패턴들(SD1)은 제1 도전형(예를 들어, p형)의 불순물 영역들일 수 있다. 제2 방향(D2)으로 인접하는 한 쌍의 제1 소스/드레인 패턴들(SD1) 사이에 제1 채널 패턴(CH1)이 개재될 수 있다. First source/drain patterns SD1 may be provided on each of the first and second PMOSFET regions PR1 and PR2 . First source/drain patterns SD1 may be provided on each of the first active patterns AP1 . The first source/drain patterns SD1 may be impurity regions of the first conductivity type (eg, p-type). A first channel pattern CH1 may be interposed between a pair of first source/drain patterns SD1 adjacent in the second direction D2 .

각각의 제1 및 제2 NMOSFET 영역들(NR1, NR2) 상에 제2 소스/드레인 패턴들(SD2)이 제공될 수 있다. 제2 활성 패턴들(AP2) 각각의 상부에 제2 소스/드레인 패턴들(SD2)이 제공될 수 있다. 제2 소스/드레인 패턴들(SD2)은 제2 도전형(예를 들어, n형)의 불순물 영역들일 수 있다. 제2 방향(D2)으로 인접하는 한 쌍의 제2 소스/드레인 패턴들(SD2) 사이에 제2 채널 패턴(CH2)이 개재될 수 있다.Second source/drain patterns SD2 may be provided on each of the first and second NMOSFET regions NR1 and NR2 . Second source/drain patterns SD2 may be provided on each of the second active patterns AP2 . The second source/drain patterns SD2 may be impurity regions of the second conductivity type (eg, n-type). A second channel pattern CH2 may be interposed between a pair of second source/drain patterns SD2 adjacent in the second direction D2 .

제1 및 제2 소스/드레인 패턴들(SD1, SD2)은 선택적 에피택시얼 성장 공정으로 형성된 에피택시얼 패턴들일 수 있다. 일 예로, 제1 및 제2 소스/드레인 패턴들(SD1, SD2)의 상면들은 제1 및 제2 채널 패턴들(CH1, CH2)의 상면들과 공면을 이룰 수 있다. 다른 예로, 제1 및 제2 소스/드레인 패턴들(SD1, SD2)의 상면들은 제1 및 제2 채널 패턴들(CH1, CH2)의 상면들보다 더 높을 수 있다. The first and second source/drain patterns SD1 and SD2 may be epitaxial patterns formed by a selective epitaxial growth process. For example, top surfaces of the first and second source/drain patterns SD1 and SD2 may be coplanar with top surfaces of the first and second channel patterns CH1 and CH2. As another example, upper surfaces of the first and second source/drain patterns SD1 and SD2 may be higher than upper surfaces of the first and second channel patterns CH1 and CH2.

제1 소스/드레인 패턴(SD1)은 기판(100)의 반도체 원소의 격자 상수보다 큰 격자 상수를 갖는 반도체 원소(예를 들어, SiGe)를 포함할 수 있다. 이로써, 한 쌍의 제1 소스/드레인 패턴들(SD1)은 그들 사이의 제1 채널 패턴(CH1)에 압축 응력(compressive stress)을 제공할 수 있다. 일 예로, 제2 소스/드레인 패턴(SD2)은 기판(100)과 동일한 반도체 원소(예를 들어, Si)를 포함할 수 있다.The first source/drain pattern SD1 may include a semiconductor element (eg, SiGe) having a lattice constant greater than a lattice constant of the semiconductor element of the substrate 100 . Accordingly, the pair of first source/drain patterns SD1 may provide compressive stress to the first channel pattern CH1 therebetween. For example, the second source/drain pattern SD2 may include the same semiconductor element (eg, Si) as the substrate 100 .

제1 및 제2 활성 패턴들(AP1, AP2)을 가로지르며 제1 방향(D1)으로 연장되는 게이트 전극들(GE)이 제공될 수 있다. 게이트 전극들(GE)은 제1 피치로 제2 방향(D2)을 따라 배열될 수 있다. 게이트 전극들(GE)은 제1 및 제2 채널 패턴들(CH1, CH2)과 수직적으로 중첩될 수 있다. 각각의 게이트 전극들(GE)은, 제1 및 제2 채널 패턴들(CH1, CH2) 각각의 상면 및 양 측벽들을 둘러쌀 수 있다.Gate electrodes GE crossing the first and second active patterns AP1 and AP2 and extending in the first direction D1 may be provided. The gate electrodes GE may be arranged in the second direction D2 at the first pitch. The gate electrodes GE may vertically overlap the first and second channel patterns CH1 and CH2. Each of the gate electrodes GE may surround a top surface and both sidewalls of each of the first and second channel patterns CH1 and CH2 .

도 5d를 다시 참조하면, 게이트 전극(GE)은 채널 패턴(CH1 또는 CH2)의 제1 상면(TS1) 및 채널 패턴(CH1 또는 CH2)의 적어도 하나의 제1 측벽(SW1) 상에 제공될 수 있다. 다시 말하면, 본 실시예에 따른 트랜지스터는, 게이트 전극(GE)이 채널(CH1, CH2)을 3차원적으로 둘러싸는 3차원 전계 효과 트랜지스터(예를 들어, FinFET)일 수 있다.Referring back to FIG. 5D , the gate electrode GE may be provided on the first upper surface TS1 of the channel pattern CH1 or CH2 and at least one first sidewall SW1 of the channel pattern CH1 or CH2. have. In other words, the transistor according to the present embodiment may be a three-dimensional field effect transistor (eg, FinFET) in which the gate electrode GE surrounds the channels CH1 and CH2 three-dimensionally.

도 4 및 도 5a 내지 도 5d를 다시 참조하면, 제1 싱글 하이트 셀(SHC1)은 제2 방향(D2)으로 서로 대향하는 제1 경계(BD1) 및 제2 경계(BD2)를 가질 수 있다. 제1 및 제2 경계들(BD1, BD2)은 제1 방향(D1)으로 연장될 수 있다. 제1 싱글 하이트 셀(SHC1)은 제1 방향(D1)으로 서로 대향하는 제3 경계(BD3) 및 제4 경계(BD4)를 가질 수 있다. 제3 및 제4 경계들(BD3, BD4)은 제2 방향(D2)으로 연장될 수 있다.Referring back to FIGS. 4 and 5A to 5D , the first single height cell SHC1 may have a first boundary BD1 and a second boundary BD2 facing each other in the second direction D2 . The first and second boundaries BD1 and BD2 may extend in the first direction D1 . The first single height cell SHC1 may have a third boundary BD3 and a fourth boundary BD4 facing each other in the first direction D1 . The third and fourth boundaries BD3 and BD4 may extend in the second direction D2 .

게이트 커팅 패턴들(CT)이 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 각각의 제2 방향(D2)에 평행한 경계 상에 배치될 수 있다. 예를 들어, 게이트 커팅 패턴들(CT)이 제1 싱글 하이트 셀(SHC1)의 제3 및 제4 경계들(BD3, BD4) 상에 배치될 수 있다. 게이트 커팅 패턴들(CT)은 제3 경계(BD3)를 따라 상기 제1 피치로 배열될 수 있다. 게이트 커팅 패턴들(CT)은 제4 경계(BD4)를 따라 상기 제1 피치로 배열될 수 있다. 평면적 관점에서, 제3 및 제4 경계들(BD3, BD4) 상의 게이트 커팅 패턴들(CT)은 게이트 전극들(GE) 상에 각각 중첩되게 배치될 수 있다. The gate cutting patterns CT may be disposed on a boundary parallel to the second direction D2 of each of the first and second single height cells SHC1 and SHC2 . For example, the gate cutting patterns CT may be disposed on the third and fourth boundaries BD3 and BD4 of the first single height cell SHC1 . The gate cutting patterns CT may be arranged at the first pitch along the third boundary BD3 . The gate cutting patterns CT may be arranged at the first pitch along the fourth boundary BD4 . In a plan view, the gate cutting patterns CT on the third and fourth boundaries BD3 and BD4 may be disposed to overlap the gate electrodes GE, respectively.

도 5d를 참조하면, 게이트 커팅 패턴(CT)은 소자 분리막(ST)으로부터 제2 층간 절연막(120)까지 제3 방향(D3)으로 연장될 수 있다. 게이트 커팅 패턴(CT)의 상면은 게이트 전극(GE)의 상면보다 높을 수 있다. 게이트 커팅 패턴(CT)의 상면은 게이트 캐핑 패턴(GP)의 상면과 실질적으로 공면을 이룰 수 있다. 게이트 커팅 패턴(CT)은 실리콘 질화막, 실리콘 산화막 또는 이들의 조합과 같은 절연 물질을 포함할 수 있다.Referring to FIG. 5D , the gate cutting pattern CT may extend from the device isolation layer ST to the second interlayer insulating layer 120 in the third direction D3 . A top surface of the gate cutting pattern CT may be higher than a top surface of the gate electrode GE. The top surface of the gate cutting pattern CT may be substantially coplanar with the top surface of the gate capping pattern GP. The gate cutting pattern CT may include an insulating material such as a silicon nitride layer, a silicon oxide layer, or a combination thereof.

제1 싱글 하이트 셀(SHC1) 상의 게이트 전극(GE)은, 제2 싱글 하이트 셀(SHC2) 상의 게이트 전극(GE)과 게이트 커팅 패턴(CT)에 의해 서로 분리될 수 있다. 제1 싱글 하이트 셀(SHC1) 상의 게이트 전극(GE)과 그와 제1 방향(D1)으로 정렬된 제2 싱글 하이트 셀(SHC2) 상의 게이트 전극(GE) 사이에 게이트 커팅 패턴(CT)이 개재될 수 있다. 다시 말하면, 제1 방향(D1)으로 연장되는 게이트 전극(GE)이 게이트 커팅 패턴들(CT)에 의해 복수개의 게이트 전극들(GE)로 분리될 수 있다.The gate electrode GE on the first single height cell SHC1 may be separated from each other by the gate electrode GE on the second single height cell SHC2 and the gate cutting pattern CT. A gate cutting pattern CT is interposed between the gate electrode GE on the first single height cell SHC1 and the gate electrode GE on the second single height cell SHC2 aligned with the gate electrode GE in the first direction D1. can be In other words, the gate electrode GE extending in the first direction D1 may be divided into a plurality of gate electrodes GE by the gate cutting patterns CT.

게이트 전극들(GE) 각각의 양 측벽들 상에 한 쌍의 게이트 스페이서들(GS)이 배치될 수 있다. 게이트 스페이서들(GS)은 게이트 전극들(GE)을 따라 제1 방향(D1)으로 연장될 수 있다. 게이트 스페이서(GS)의 상면은 그에 인접하는 게이트 전극(GE)의 상면보다 높을 수 있다. 게이트 스페이서(GS)의 상면은 후술할 게이트 캐핑 패턴(GP)의 상면보다 낮을 수 있다. 게이트 스페이서(GS)의 상면은 게이트 커팅 패턴(CT)의 상면보다 낮을 수 있다.A pair of gate spacers GS may be disposed on both sidewalls of each of the gate electrodes GE. The gate spacers GS may extend in the first direction D1 along the gate electrodes GE. A top surface of the gate spacer GS may be higher than a top surface of the gate electrode GE adjacent thereto. A top surface of the gate spacer GS may be lower than a top surface of the gate capping pattern GP, which will be described later. A top surface of the gate spacer GS may be lower than a top surface of the gate cutting pattern CT.

게이트 스페이서(GS)는 SiCN, SiOCN 및 SiN 중 적어도 하나를 포함할 수 있다. 본 발명의 일 실시예로, 도 6을 참조하면, 게이트 스페이서(GS)는 제1 스페이서(GS1) 및 제2 스페이서(GS2)를 포함하는 다중 막(multi-layer) 구조를 가질 수 있다. 제1 스페이서(GS1) 및 제2 스페이서(GS2)는 서로 다른 물질을 포함할 수 있다. 예를 들어, 제1 스페이서(GS1)는 Si를 함유하는 저유전 물질인 SiOCN을 포함할 수 있고, 제2 스페이서(GS2)는 식각 내성이 우수한 Si 함유 절연 물질, 예를 들어 SiN을 포함할 수 있다. 제1 스페이서(GS1)의 유전 상수는 제2 스페이서(GS2)의 유전 상수보다 작을 수 있다.The gate spacer GS may include at least one of SiCN, SiOCN, and SiN. As an embodiment of the present invention, referring to FIG. 6 , the gate spacer GS may have a multi-layer structure including the first spacer GS1 and the second spacer GS2 . The first spacer GS1 and the second spacer GS2 may include different materials. For example, the first spacer GS1 may include SiOCN, which is a low-k material containing Si, and the second spacer GS2 may include an insulating material containing Si having excellent etching resistance, for example, SiN. have. The dielectric constant of the first spacer GS1 may be smaller than the dielectric constant of the second spacer GS2 .

도 4 및 도 5a 내지 도 5d를 다시 참조하면, 각각의 게이트 전극들(GE) 상에 게이트 캐핑 패턴(GP)이 제공될 수 있다. 게이트 캐핑 패턴(GP)은 게이트 전극(GE)을 따라 제1 방향(D1)으로 연장될 수 있다. 게이트 캐핑 패턴(GP)은 후술하는 제1 및 제2 층간 절연막들(110, 120)에 대하여 식각 선택성이 있는 물질을 포함할 수 있다. 구체적으로, 게이트 캐핑 패턴(GP)은 SiON, SiCN, SiOCN 및 SiN 중 적어도 하나를 포함할 수 있다.Referring back to FIGS. 4 and 5A to 5D , a gate capping pattern GP may be provided on each of the gate electrodes GE. The gate capping pattern GP may extend in the first direction D1 along the gate electrode GE. The gate capping pattern GP may include a material having etch selectivity with respect to the first and second interlayer insulating layers 110 and 120 to be described later. Specifically, the gate capping pattern GP may include at least one of SiON, SiCN, SiOCN, and SiN.

게이트 전극(GE)과 제1 활성 패턴(AP1) 사이 및 게이트 전극(GE)과 제2 활성 패턴(AP2) 사이에 게이트 절연막(GI)이 개재될 수 있다. 게이트 절연막(GI)은, 그 위의 게이트 전극(GE)의 바닥면을 따라 연장될 수 있다. 일 예로, 게이트 절연막(GI)은, 채널 패턴(CH1 또는 CH2)의 제1 상면(TS1) 및 제1 측벽(SW1)을 덮을 수 있다. 게이트 절연막(GI)은, 게이트 전극(GE) 아래의 소자 분리막(ST)의 상면을 덮을 수 있다 (도 5d 참조).A gate insulating layer GI may be interposed between the gate electrode GE and the first active pattern AP1 and between the gate electrode GE and the second active pattern AP2 . The gate insulating layer GI may extend along the bottom surface of the gate electrode GE thereon. For example, the gate insulating layer GI may cover the first upper surface TS1 and the first sidewall SW1 of the channel pattern CH1 or CH2. The gate insulating layer GI may cover the upper surface of the device isolation layer ST under the gate electrode GE (refer to FIG. 5D ).

본 발명의 일 실시예로, 게이트 절연막(GI)은 실리콘 산화막보다 유전상수가 높은 고유전율 물질을 포함할 수 있다. 일 예로, 상기 고유전율 물질은 하프늄 산화물, 하프늄 실리콘 산화물, 하프늄 지르코늄 산화물, 하프늄 탄탈 산화물, 란탄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 리튬 산화물, 알루미늄 산화물, 납 스칸듐 탄탈 산화물, 및 납 아연 니오브산염 중 적어도 하나를 포함할 수 있다.In an embodiment of the present invention, the gate insulating layer GI may include a high-k material having a higher dielectric constant than that of the silicon oxide layer. For example, the high-k material may include hafnium oxide, hafnium silicon oxide, hafnium zirconium oxide, hafnium tantalum oxide, lanthanum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide. It may include at least one of oxide, lithium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.

다른 실시예로, 본 발명의 반도체 소자는 네거티브 커패시터(Negative Capacitor)를 이용한 NC(Negative Capacitance) FET을 포함할 수 있다. 예를 들어, 게이트 절연막(GI)은 강유전체 특성을 갖는 강유전체 물질막과, 상유전체 특성을 갖는 상유전체 물질막을 포함할 수 있다. In another embodiment, the semiconductor device of the present invention may include a negative capacitance (NC) FET using a negative capacitor. For example, the gate insulating layer GI may include a ferroelectric material layer having ferroelectric properties and a paraelectric material layer having paraelectric properties.

강유전체 물질막은 음의 커패시턴스를 가질 수 있고, 상유전체 물질막은 양의 커패시턴스를 가질 수 있다. 예를 들어, 두 개 이상의 커패시터가 직렬 연결되고, 각각의 커패시터의 커패시턴스가 양의 값을 가질 경우, 전체 커패시턴스는 각각의 개별 커패시터의 커패시턴스보다 감소하게 된다. 반면, 직렬 연결된 두 개 이상의 커패시터의 커패시턴스 중 적어도 하나가 음의 값을 가질 경우, 전체 커패시턴스는 양의 값을 가지면서 각각의 개별 커패시턴스의 절대값보다 클 수 있다. The ferroelectric material layer may have a negative capacitance, and the paraelectric material layer may have a positive capacitance. For example, when two or more capacitors are connected in series and the capacitance of each capacitor has a positive value, the total capacitance is decreased than the capacitance of each individual capacitor. On the other hand, when at least one of the capacitances of two or more capacitors connected in series has a negative value, the total capacitance may have a positive value and be greater than the absolute value of each individual capacitance.

음의 커패시턴스를 갖는 강유전체 물질막과, 양의 커패시턴스를 갖는 상유전체 물질막이 직렬로 연결될 경우, 직렬로 연결된 강유전체 물질막 및 상유전체 물질막의 전체적인 커패시턴스 값은 증가할 수 있다. 전체적인 커패시턴스 값이 증가하는 것을 이용하여, 강유전체 물질막을 포함하는 트랜지스터는 상온에서 60 mV/decade 미만의 문턱전압이하 스윙(subthreshold swing(SS))을 가질 수 있다. When the ferroelectric material film having a negative capacitance and the paraelectric material film having a positive capacitance are connected in series, the total capacitance of the serially connected ferroelectric material film and the paraelectric material film may increase. By using the increase in the overall capacitance value, the transistor including the ferroelectric material layer may have a subthreshold swing (SS) of less than 60 mV/decade at room temperature.

강유전체 물질막은 강유전체 특성을 가질 수 있다. 강유전체 물질막은 예를 들어, 하프늄 산화물(hafnium oxide), 하프늄 지르코늄 산화물(hafnium zirconium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide) 및 납 지르코늄 티타늄 산화물(lead zirconium titanium oxide) 중 적어도 하나를 포함할 수 있다. 여기에서, 일 예로, 하프늄 지르코늄 산화물(hafnium zirconium oxide)은 하프늄 산화물(hafnium oxide)에 지르코늄(Zr)이 도핑된 물질일 수 있다. 다른 예로, 하프늄 지르코늄 산화물(hafnium zirconium oxide)은 하프늄(Hf)과 지르코늄(Zr)과 산소(O)의 화합물일 수도 있다.The ferroelectric material layer may have ferroelectric properties. The ferroelectric material film is, for example, hafnium oxide, hafnium zirconium oxide, barium strontium titanium oxide, barium titanium oxide, and lead zirconium oxide. titanium oxide). Here, for example, hafnium zirconium oxide may be a material in which hafnium oxide is doped with zirconium (Zr). As another example, hafnium zirconium oxide may be a compound of hafnium (Hf), zirconium (Zr), and oxygen (O).

강유전체 물질막은 도핑된 도펀트를 더 포함할 수 있다. 예를 들어, 도펀트는 알루미늄(Al), 티타늄(Ti), 니오븀(Nb), 란타넘(La), 이트륨(Y), 마그네슘(Mg), 실리콘(Si), 칼슘(Ca), 세륨(Ce), 디스프로슘(Dy), 어븀(Er), 가돌리늄(Gd), 게르마늄(Ge), 스칸듐(Sc), 스트론튬(Sr) 및 주석(Sn) 중 적어도 하나를 포함할 수 있다. 강유전체 물질막이 어떤 강유전체 물질을 포함하냐에 따라, 강유전체 물질막에 포함된 도펀트의 종류는 달라질 수 있다. The ferroelectric material layer may further include a doped dopant. For example, dopants are aluminum (Al), titanium (Ti), niobium (Nb), lanthanum (La), yttrium (Y), magnesium (Mg), silicon (Si), calcium (Ca), cerium (Ce) ), dysprosium (Dy), erbium (Er), gadolinium (Gd), germanium (Ge), scandium (Sc), strontium (Sr), and tin (Sn). Depending on which ferroelectric material the ferroelectric material layer includes, the type of dopant included in the ferroelectric material layer may vary.

강유전체 물질막이 하프늄 산화물을 포함할 경우, 강유전체 물질막에 포함된 도펀트는 예를 들어, 가돌리늄(Gd), 실리콘(Si), 지르코늄(Zr), 알루미늄(Al) 및 이트륨(Y) 중 적어도 하나를 포함할 수 있다. When the ferroelectric material layer includes hafnium oxide, the dopant included in the ferroelectric material layer includes, for example, at least one of gadolinium (Gd), silicon (Si), zirconium (Zr), aluminum (Al), and yttrium (Y). may include

도펀트가 알루미늄(Al)일 경우, 강유전체 물질막은 3 내지 8 at%(atomic %)의 알루미늄을 포함할 수 있다. 여기에서, 도펀트의 비율은 하프늄 및 알루미늄의 합에 대한 알루미늄의 비율일 수 있다. When the dopant is aluminum (Al), the ferroelectric material layer may include 3 to 8 at% (atomic %) of aluminum. Here, the ratio of the dopant may be a ratio of aluminum to the sum of hafnium and aluminum.

도펀트가 실리콘(Si)일 경우, 강유전체 물질막은 2 내지 10 at%의 실리콘을 포함할 수 있다. 도펀트가 이트륨(Y)일 경우, 강유전체 물질막은 2 내지 10 at%의 이트륨을 포함할 수 있다. 도펀트가 가돌리늄(Gd)일 경우, 강유전체 물질막은 1 내지 7 at%의 가돌리늄을 포함할 수 있다. 도펀트가 지르코늄(Zr)일 경우, 강유전체 물질막은 50 내지 80 at%의 지르코늄을 포함할 수 있다. When the dopant is silicon (Si), the ferroelectric material layer may contain 2 to 10 at% silicon. When the dopant is yttrium (Y), the ferroelectric material layer may include 2 to 10 at% yttrium. When the dopant is gadolinium (Gd), the ferroelectric material layer may contain 1 to 7 at% gadolinium. When the dopant is zirconium (Zr), the ferroelectric material layer may include 50 to 80 at% of zirconium.

상유전체 물질막은 상유전체 특성을 가질 수 있다. 상유전체 물질막은 예를 들어, 실리콘 산화물(silicon oxide) 및 고유전율을 갖는 금속 산화물 중 적어도 하나를 포함할 수 있다. 상유전체 물질막에 포함된 금속 산화물은 예를 들어, 하프늄 산화물(hafnium oxide), 지르코늄 산화물(zirconium oxide) 및 알루미늄 산화물(aluminum oxide) 중 적어도 하나를 포함할 수 있지만, 이에 제한되는 것은 아니다. The paraelectric material layer may have paraelectric properties. The paraelectric material layer may include, for example, at least one of silicon oxide and a metal oxide having a high dielectric constant. The metal oxide included in the paraelectric material layer may include, for example, at least one of hafnium oxide, zirconium oxide, and aluminum oxide, but is not limited thereto.

강유전체 물질막 및 상유전체 물질막은 동일한 물질을 포함할 수 있다. 강유전체 물질막은 강유전체 특성을 갖지만, 상유전체 물질막은 강유전체 특성을 갖지 않을 수 있다. 예를 들어, 강유전체 물질막 및 상유전체 물질막이 하프늄 산화물을 포함할 경우, 강유전체 물질막에 포함된 하프늄 산화물의 결정 구조는 상유전체 물질막에 포함된 하프늄 산화물의 결정 구조와 다르다. The ferroelectric material layer and the paraelectric material layer may include the same material. The ferroelectric material film may have ferroelectric properties, but the paraelectric material film may not have ferroelectric properties. For example, when the ferroelectric material layer and the paraelectric material layer include hafnium oxide, the crystal structure of hafnium oxide included in the ferroelectric material layer is different from the crystal structure of hafnium oxide included in the paraelectric material layer.

강유전체 물질막은 강유전체 특성을 갖는 두께를 가질 수 있다. 강유전체 물질막의 두께는 예를 들어, 0.5 내지 10nm 일 수 있지만, 이에 제한되는 것은 아니다. 각각의 강유전체 물질마다 강유전체 특성을 나타내는 임계 두께가 달라질 수 있으므로, 강유전체 물질막의 두께는 강유전체 물질에 따라 달라질 수 있다.The ferroelectric material layer may have a thickness having ferroelectric properties. The thickness of the ferroelectric material layer may be, for example, 0.5 to 10 nm, but is not limited thereto. Since the critical thickness representing the ferroelectric properties may vary for each ferroelectric material, the thickness of the ferroelectric material film may vary depending on the ferroelectric material.

일 예로, 게이트 절연막(GI)은 하나의 강유전체 물질막을 포함할 수 있다. 다른 예로, 게이트 절연막(GI)은 서로 간에 이격된 복수의 강유전체 물질막을 포함할 수 있다. 게이트 절연막(GI)은 복수의 강유전체 물질막과, 복수의 상유전체 물질막이 교대로 적층된 적층막 구조를 가질 수 있다.For example, the gate insulating layer GI may include one ferroelectric material layer. As another example, the gate insulating layer GI may include a plurality of ferroelectric material layers spaced apart from each other. The gate insulating layer GI may have a stacked structure in which a plurality of ferroelectric material layers and a plurality of paraelectric material layers are alternately stacked.

도 6을 참조하면, 게이트 전극(GE)은, 제1 금속 패턴(MEP1), 및 상기 제1 금속 패턴 상의 제2 금속 패턴(MEP2)을 포함할 수 있다. 제1 금속 패턴(MEP1)은 게이트 절연막(GI) 상에 제공될 수 있다. 예를 들어, 게이트 절연막(GI)은 제1 금속 패턴(MEP1)과 제1 채널 패턴(CH1) 사이에 개재될 수 있다.Referring to FIG. 6 , the gate electrode GE may include a first metal pattern MEP1 and a second metal pattern MEP2 on the first metal pattern. The first metal pattern MEP1 may be provided on the gate insulating layer GI. For example, the gate insulating layer GI may be interposed between the first metal pattern MEP1 and the first channel pattern CH1 .

제1 금속 패턴(MEP1)은, 상대적으로 일함수가 높은 금속 질화물을 포함할 수 있다. 다시 말하면, 제1 금속 패턴(MEP1)은 P형의 일함수 금속을 포함할 수 있다. 예를 들어, 제1 금속 패턴(MEP1)은 티타늄 질화물(TiN), 탄탈 질화물(TaN), 티타늄 산화 질화물(TiON), 티타늄 실리콘 질화물(TiSiN), 티타늄 알루미늄 질화물(TiAlN), 텅스텐 카본 질화물(WCN) 또는 몰리브덴 질화물(MoN)을 포함할 수 있다.The first metal pattern MEP1 may include a metal nitride having a relatively high work function. In other words, the first metal pattern MEP1 may include a P-type work function metal. For example, the first metal pattern MEP1 may include titanium nitride (TiN), tantalum nitride (TaN), titanium oxynitride (TiON), titanium silicon nitride (TiSiN), titanium aluminum nitride (TiAlN), and tungsten carbon nitride (WCN). ) or molybdenum nitride (MoN).

제1 금속 패턴(MEP1) 상에 제2 금속 패턴(MEP2)이 제공될 수 있다. 제2 금속 패턴(MEP2)은, 상대적으로 일함수가 낮은 금속 카바이드를 포함할 수 있다. 다시 말하면, 제2 금속 패턴(MEP2)은 N형의 일함수 금속을 포함할 수 있다. 제2 금속 패턴(MEP2)은 실리콘 및/또는 알루미늄이 도핑된(또는 함유된) 금속 카바이드를 포함할 수 있다. 일 예로, 제2 금속 패턴(MEP2)은 알루미늄이 도핑된 티타늄 카바이드(TiAlC), 알루미늄이 도핑된 탄탈 카바이드(TaAlC), 알루미늄이 도핑된 바나듐 카바이드(VAlC), 실리콘이 도핑된 티타늄 카바이드(TiSiC), 또는 실리콘이 도핑된 탄탈 카바이드(TaSiC)를 포함할 수 있다. 다른 예로, 제2 금속 패턴(MEP2)은 알루미늄 및 실리콘이 도핑된 티타늄 카바이드(TiAlSiC), 또는 알루미늄 및 실리콘이 도핑된 탄탈 카바이드(TaAlSiC)를 포함할 수 있다. 또 다른 예로, 제2 금속 패턴(MEP2)은 알루미늄이 도핑된 티타늄(TiAl)을 포함할 수 있다.A second metal pattern MEP2 may be provided on the first metal pattern MEP1 . The second metal pattern MEP2 may include metal carbide having a relatively low work function. In other words, the second metal pattern MEP2 may include an N-type work function metal. The second metal pattern MEP2 may include metal carbide doped with (or containing) silicon and/or aluminum. For example, the second metal pattern MEP2 may include aluminum doped titanium carbide (TiAlC), aluminum doped tantalum carbide (TaAlC), aluminum doped vanadium carbide (VAIC), and silicon doped titanium carbide (TiSiC). , or silicon-doped tantalum carbide (TaSiC). As another example, the second metal pattern MEP2 may include titanium carbide (TiAlSiC) doped with aluminum and silicon, or tantalum carbide (TaAlSiC) doped with aluminum and silicon. As another example, the second metal pattern MEP2 may include titanium (TiAl) doped with aluminum.

제2 금속 패턴(MEP2)에 있어서, 도판트인 실리콘 또는 알루미늄의 도핑 농도를 조절하여 제2 금속 패턴(MEP2)의 일함수를 조절할 수 있다. 일 예로, 제2 금속 패턴(MEP2) 내의 불순물(실리콘 또는 알루미늄)의 농도는 0.1 at% 내지 25 at%일 수 있다.In the second metal pattern MEP2 , the work function of the second metal pattern MEP2 may be adjusted by adjusting a doping concentration of silicon or aluminum as a dopant. For example, the concentration of impurities (silicon or aluminum) in the second metal pattern MEP2 may be 0.1 at% to 25 at%.

본 발명의 실시예들에 따르면, 제1 및 제2 금속 패턴들(MEP1, MEP2)은 제1 채널 패턴(CH1)에 인접할 수 있다. 제1 및 제2 금속 패턴들(MEP1, MEP2)은 트랜지스터의 문턱 전압을 조절하는 일함수 금속으로 기능할 수 있다. 다시 말하면, 제1 및 제2 금속 패턴들(MEP1, MEP2) 각각의 두께 및 조성을 조절하여, 목적하는 문턱 전압을 달성할 수 있다.According to embodiments of the present invention, the first and second metal patterns MEP1 and MEP2 may be adjacent to the first channel pattern CH1 . The first and second metal patterns MEP1 and MEP2 may function as a work function metal for controlling a threshold voltage of a transistor. In other words, a desired threshold voltage may be achieved by adjusting the thickness and composition of each of the first and second metal patterns MEP1 and MEP2 .

도시되진 않았지만, 제2 채널 패턴(CH2) 상의 게이트 전극(GE) 역시 제1 및 제2 금속 패턴들(MEP1, MEP2)을 포함할 수 있다. 다만, 제2 채널 패턴(CH2) 상의 게이트 전극(GE)의 제1 및 제2 금속 패턴들(MEP1, MEP2) 각각의 두께 및 조성은, 상술한 제1 채널 패턴(CH1) 상의 게이트 전극(GE)의 제1 및 제2 금속 패턴들(MEP1, MEP2) 각각의 두께 및 조성과 다를 수 있다.Although not shown, the gate electrode GE on the second channel pattern CH2 may also include the first and second metal patterns MEP1 and MEP2 . However, the thickness and composition of each of the first and second metal patterns MEP1 and MEP2 of the gate electrode GE on the second channel pattern CH2 are the same as the above-described gate electrode GE on the first channel pattern CH1. ) may be different from the thickness and composition of each of the first and second metal patterns MEP1 and MEP2 .

기판(100) 상에 제1 층간 절연막(110)이 제공될 수 있다. 제1 층간 절연막(110)은 게이트 스페이서들(GS) 및 제1 및 제2 소스/드레인 패턴들(SD1, SD2)을 덮을 수 있다. 제1 층간 절연막(110)의 상면은, 게이트 캐핑 패턴들(GP)의 상면들과 실질적으로 공면을 이룰 수 있다. 제1 층간 절연막(110)의 상면은, 게이트 커팅 패턴들(CT)의 상면들과 실질적으로 공면을 이룰 수 있다.A first interlayer insulating layer 110 may be provided on the substrate 100 . The first interlayer insulating layer 110 may cover the gate spacers GS and the first and second source/drain patterns SD1 and SD2 . A top surface of the first interlayer insulating layer 110 may be substantially coplanar with top surfaces of the gate capping patterns GP. A top surface of the first interlayer insulating layer 110 may be substantially coplanar with top surfaces of the gate cutting patterns CT.

제1 층간 절연막(110) 상에, 게이트 캐핑 패턴들(GP) 및 게이트 커팅 패턴들(CT)을 덮는 제2 층간 절연막(120)이 제공될 수 있다. 제2 층간 절연막(120) 상에 제3 층간 절연막(130)이 제공될 수 있다. 제3 층간 절연막(130) 상에 제4 층간 절연막(140)이 제공될 수 있다. 일 예로, 제1 내지 제4 층간 절연막들(110-140)은 실리콘 산화막을 포함할 수 있다.A second interlayer insulating layer 120 covering the gate capping patterns GP and the gate cutting patterns CT may be provided on the first interlayer insulating layer 110 . A third interlayer insulating layer 130 may be provided on the second interlayer insulating layer 120 . A fourth interlayer insulating layer 140 may be provided on the third interlayer insulating layer 130 . For example, the first to fourth interlayer insulating layers 110 to 140 may include a silicon oxide layer.

제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 각각의 양 측에 제2 방향(D2)으로 서로 대향하는 한 쌍의 분리 구조체들(DB)이 제공될 수 있다. 예를 들어, 한 쌍의 분리 구조체들(DB)은 제1 싱글 하이트 셀(SHC1)의 제1 및 제2 경계들(BD1, BD2) 상에 각각 제공될 수 있다. 분리 구조체(DB)는 제1 방향(D1)으로 게이트 전극들(GE)과 평행하게 연장될 수 있다. 분리 구조체(DB)와 그에 인접하는 게이트 전극(GE)간의 피치는 상기 제1 피치와 동일할 수 있다.A pair of separation structures DB facing each other in the second direction D2 may be provided on both sides of each of the first and second single height cells SHC1 and SHC2 . For example, the pair of separation structures DB may be provided on the first and second boundaries BD1 and BD2 of the first single height cell SHC1 , respectively. The separation structure DB may extend parallel to the gate electrodes GE in the first direction D1 . A pitch between the isolation structure DB and the gate electrode GE adjacent thereto may be the same as the first pitch.

분리 구조체(DB)는 제1 및 제2 층간 절연막들(110, 120)을 관통하여, 제1 및 제2 활성 패턴들(AP1, AP2) 내부로 연장될 수 있다. 분리 구조체(DB)는 제1 및 제2 활성 패턴들(AP1, AP2) 각각의 상부를 관통할 수 있다. 분리 구조체(DB)는, 제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 각각의 활성 영역을 인접하는 다른 셀의 활성 영역으로부터 전기적으로 분리시킬 수 있다. The separation structure DB may extend into the first and second active patterns AP1 and AP2 through the first and second interlayer insulating layers 110 and 120 . The separation structure DB may pass through each of the first and second active patterns AP1 and AP2 . The isolation structure DB may electrically isolate an active region of each of the first and second single height cells SHC1 and SHC2 from an active region of another adjacent cell.

제1 및 제2 층간 절연막들(110, 120)을 관통하여 제1 및 제2 소스/드레인 패턴들(SD1, SD2)과 각각 전기적으로 연결되는 활성 콘택들(AC)이 제공될 수 있다. 각각의 활성 콘택들(AC)은, 한 쌍의 게이트 전극들(GE) 사이에 제공될 수 있다. 평면적 관점에서, 각각의 활성 콘택들(AC)은 제1 방향(D1)으로 연장되는 바(Bar) 형태 또는 라인 형태를 가질 수 있다.Active contacts AC may be provided through the first and second interlayer insulating layers 110 and 120 to be electrically connected to the first and second source/drain patterns SD1 and SD2 , respectively. Each of the active contacts AC may be provided between a pair of gate electrodes GE. In a plan view, each of the active contacts AC may have a bar shape or a line shape extending in the first direction D1 .

활성 콘택(AC)은 자기 정렬된 콘택(self-aligned conatact)일 수 있다. 다시 말하면, 활성 콘택(AC)은 게이트 캐핑 패턴(GP) 및 게이트 스페이서(GS)를 이용하여 자기 정렬적으로 형성될 수 있다. 예를 들어, 활성 콘택(AC)은 게이트 스페이서(GS)의 측벽의 적어도 일부를 덮을 수 있다. 도시되진 않았지만, 활성 콘택(AC)은, 게이트 캐핑 패턴(GP)의 상면의 일부를 덮을 수 있다. The active contact AC may be a self-aligned contact. In other words, the active contact AC may be formed in a self-aligned manner using the gate capping pattern GP and the gate spacer GS. For example, the active contact AC may cover at least a portion of a sidewall of the gate spacer GS. Although not shown, the active contact AC may partially cover the upper surface of the gate capping pattern GP.

활성 콘택들(AC)과 제1 및 제2 소스/드레인 패턴들(SD1, SD2) 사이에 실리사이드 패턴들(SC)이 각각 개재될 수 있다. 활성 콘택들(AC)은, 실리사이드 패턴들(SC)을 통해 제1 및 제2 소스/드레인 패턴들(SD1, SD2)과 각각 전기적으로 연결될 수 있다. 실리사이드 패턴(SC)은 금속-실리사이드(Metal-Silicide)를 포함할 수 있으며, 일 예로 티타늄-실리사이드, 탄탈륨-실리사이드, 텅스텐-실리사이드, 니켈-실리사이드, 및 코발트-실리사이드 중 적어도 하나를 포함할 수 있다.The silicide patterns SC may be respectively interposed between the active contacts AC and the first and second source/drain patterns SD1 and SD2 . The active contacts AC may be electrically connected to the first and second source/drain patterns SD1 and SD2 through the silicide patterns SC, respectively. The silicide pattern SC may include metal-silicide, and for example, may include at least one of titanium-silicide, tantalum-silicide, tungsten-silicide, nickel-silicide, and cobalt-silicide. .

도 5c를 다시 참조하면, 제1 싱글 하이트 셀(SHC1) 상의 적어도 하나의 활성 콘택(AC)은, 제1 PMOSFET 영역(PR1)의 제1 소스/드레인 패턴(SD1)과 제1 NMOSFET 영역(NR1)의 제2 소스/드레인 패턴(SD2)을 서로 전기적으로 연결할 수 있다. 활성 콘택(AC)은, 제1 NMOSFET 영역(NR1)의 제2 소스/드레인 패턴(SD2)으로부터 제1 PMOSFET 영역(PR1)의 제1 소스/드레인 패턴(SD1)까지 제1 방향(D1)으로 연장될 수 있다. 활성 콘택(AC)은, 제1 소스/드레인 패턴(SD1) 상의 제1 몸체부(BP1) 및 제2 소스/드레인 패턴(SD2) 상의 제2 몸체부(BP2)를 포함할 수 있다. 제1 몸체부(BP1)는 실리사이드 패턴(SC)을 통해 제1 소스/드레인 패턴(SD1)의 상면과 연결될 수 있고, 제2 몸체부(BP2)는 실리사이드 패턴(SC)을 통해 제2 소스/드레인 패턴(SD2)의 상면과 연결될 수 있다. 제1 활성 콘택(AC1)은, 제1 몸체부(BP1) 및 제2 몸체부(BP2) 사이에 개재된 돌출부(PRP)를 더 포함할 수 있다. 돌출부(PRP)는 제1 PMOSFET 영역(PR1) 및 제1 NMOSFET 영역(NR1) 사이의 소자 분리막(ST) 위에 제공될 수 있다.Referring back to FIG. 5C , the at least one active contact AC on the first single height cell SHC1 includes the first source/drain pattern SD1 of the first PMOSFET region PR1 and the first NMOSFET region NR1 . ) of the second source/drain patterns SD2 may be electrically connected to each other. The active contact AC extends from the second source/drain pattern SD2 of the first NMOSFET region NR1 to the first source/drain pattern SD1 of the first PMOSFET region PR1 in the first direction D1. can be extended The active contact AC may include a first body part BP1 on the first source/drain pattern SD1 and a second body part BP2 on the second source/drain pattern SD2 . The first body part BP1 may be connected to the upper surface of the first source/drain pattern SD1 through the silicide pattern SC, and the second body part BP2 may be connected to the second source/drain pattern SD1 through the silicide pattern SC. It may be connected to the upper surface of the drain pattern SD2 . The first active contact AC1 may further include a protrusion PRP interposed between the first body part BP1 and the second body part BP2 . The protrusion PRP may be provided on the device isolation layer ST between the first PMOSFET region PR1 and the first NMOSFET region NR1 .

돌출부(PRP)는, 제1 몸체부(BP1)로부터 제1 소스/드레인 패턴(SD1)의 경사진 측벽을 타고 소자 분리막(ST)을 향해 연장될 수 있다. 돌출부(PRP)는, 제2 몸체부(BP2)로부터 제2 소스/드레인 패턴(SD2)의 경사진 측벽을 타고 소자 분리막(ST)을 향해 연장될 수 있다. 돌출부(PRP)의 바닥면은 제1 몸체부(BP1) 및 제2 몸체부(BP2) 각각의 바닥면보다 더 낮을 수 있다. 돌출부(PRP)의 바닥면은 소자 분리막(ST)보다 더 위에 위치할 수 있다. 다시 말하면, 돌출부(PRP)는 제1 층간 절연막(110)을 사이에 두고 소자 분리막(ST)으로부터 이격될 수 있다. The protrusion PRP may extend from the first body BP1 toward the device isolation layer ST along the inclined sidewall of the first source/drain pattern SD1 . The protrusion PRP may extend from the second body part BP2 toward the device isolation layer ST along the inclined sidewall of the second source/drain pattern SD2 . A bottom surface of the protrusion PRP may be lower than a bottom surface of each of the first body part BP1 and the second body part BP2. A bottom surface of the protrusion PRP may be positioned higher than the device isolation layer ST. In other words, the protrusion PRP may be spaced apart from the device isolation layer ST with the first interlayer insulating layer 110 interposed therebetween.

본 발명의 일 실시예에 따르면, 활성 콘택(AC)은, 제1 몸체부(BP1)를 통해 제1 소스/드레인 패턴(SD1)의 상면과 연결될 뿐만 아니라 돌출부(PRP)를 통해 제1 소스/드레인 패턴(SD1)의 경사진 측벽과도 연결될 수 있다. 다시 말하면, 돌출부(PRP)는 활성 콘택(AC)과 제1 소스/드레인 패턴(SD1)간의 접촉 면적을 증가시킬 수 있다. 따라서 활성 콘택(AC)과 제1 소스/드레인 패턴(SD1)간의 저항이 감소될 수 있다. 마찬가지로, 돌출부(PRP)는 활성 콘택(AC)과 제2 소스/드레인 패턴(SD2)간의 저항을 감소시킬 수 있다. 결과적으로 본 발명의 실시예들에 따른 반도체 소자의 동작 속도가 향상될 수 있다.According to an embodiment of the present invention, the active contact AC is connected to the upper surface of the first source/drain pattern SD1 through the first body portion BP1 as well as the first source/drain pattern SD1 through the protrusion PRP. It may also be connected to the inclined sidewall of the drain pattern SD1 . In other words, the protrusion PRP may increase the contact area between the active contact AC and the first source/drain pattern SD1 . Accordingly, the resistance between the active contact AC and the first source/drain pattern SD1 may be reduced. Similarly, the protrusion PRP may reduce resistance between the active contact AC and the second source/drain pattern SD2 . As a result, the operating speed of the semiconductor device according to the embodiments of the present invention may be improved.

제2 층간 절연막(120) 및 게이트 캐핑 패턴(GP)을 관통하여 게이트 전극들(GE)과 각각 전기적으로 연결되는 게이트 콘택들(GC)이 제공될 수 있다. 평면적 관점에서, 제1 싱글 하이트 셀(SHC1) 상의 게이트 콘택들(GC)은 제1 PMOSFET 영역(PR1) 상에 중첩되게 배치될 수 있다. 다시 말하면, 제1 싱글 하이트 셀(SHC1) 상의 게이트 콘택들(GC)은 제1 활성 패턴(AP1) 상에 제공될 수 있다 (도 5a 참조).Gate contacts GC may be provided through the second interlayer insulating layer 120 and the gate capping pattern GP to be electrically connected to the gate electrodes GE, respectively. In a plan view, the gate contacts GC on the first single height cell SHC1 may be disposed to overlap the first PMOSFET region PR1 . In other words, the gate contacts GC on the first single height cell SHC1 may be provided on the first active pattern AP1 (refer to FIG. 5A ).

게이트 콘택(GC)은, 게이트 전극(GE) 상에서 위치의 제한 없이 자유롭게 배치될 수 있다. 예를 들어, 제2 싱글 하이트 셀(SHC2) 상의 게이트 콘택들(GC)은, 제2 PMOSFET 영역(PR2), 제2 NMOSFET 영역(NR2) 및 제2 트렌치(TR2)를 채우는 소자 분리막(ST) 상에 각각 배치될 수 있다 (도 4 참조). The gate contact GC may be freely disposed on the gate electrode GE without limitation of a position thereof. For example, the gate contacts GC on the second single height cell SHC2 may include a device isolation layer ST filling the second PMOSFET region PR2 , the second NMOSFET region NR2 , and the second trench TR2 . can be respectively disposed on the phase (see FIG. 4 ).

본 발명의 일 실시예로, 도 5a 및 도 5c를 참조하면, 게이트 콘택(GC)에 인접하는 활성 콘택(AC)의 상부는 상부 절연 패턴(UIP)으로 채워질 수 있다. 상부 절연 패턴(UIP)의 바닥면은 게이트 콘택(GC)의 바닥면보다 더 낮을 수 있다. 다시 말하면, 게이트 콘택(GC)에 인접하는 활성 콘택(AC)의 상면은, 상부 절연 패턴(UIP)에 의해 게이트 콘택(GC)의 바닥면보다 더 낮게 내려올 수 있다. 이로써, 게이트 콘택(GC)이 그와 인접하는 활성 콘택(AC)과 접촉하여 쇼트가 발생하는 문제를 방지할 수 있다.As an embodiment of the present invention, referring to FIGS. 5A and 5C , an upper portion of the active contact AC adjacent to the gate contact GC may be filled with the upper insulating pattern UIP. A bottom surface of the upper insulating pattern UIP may be lower than a bottom surface of the gate contact GC. In other words, the top surface of the active contact AC adjacent to the gate contact GC may descend lower than the bottom surface of the gate contact GC by the upper insulating pattern UIP. Accordingly, it is possible to prevent a problem in that the gate contact GC comes into contact with the active contact AC adjacent thereto and a short circuit occurs.

활성 콘택(AC) 및 게이트 콘택(GC) 각각은, 도전 패턴(FM) 및 도전 패턴(FM)을 감싸는 배리어 패턴(BM)을 포함할 수 있다. 예를 들어, 도전 패턴(FM)은 알루미늄, 구리, 텅스텐, 몰리브데늄 및 코발트 중 적어도 하나의 금속을 포함할 수 있다. 배리어 패턴(BM)은 도전 패턴(FM)의 측벽들 및 바닥면을 덮을 수 있다. 배리어 패턴(BM)은 금속막/금속 질화막을 포함할 수 있다. 상기 금속막은 티타늄, 탄탈륨, 텅스텐, 니켈, 코발트 및 백금 중 적어도 하나를 포함할 수 있다. 상기 금속 질화막은 티타늄 질화막(TiN), 탄탈륨 질화막(TaN), 텅스텐 질화막(WN), 니켈 질화막(NiN), 코발트 질화막(CoN) 및 백금 질화막(PtN) 중 적어도 하나를 포함할 수 있다.Each of the active contact AC and the gate contact GC may include a conductive pattern FM and a barrier pattern BM surrounding the conductive pattern FM. For example, the conductive pattern FM may include at least one of aluminum, copper, tungsten, molybdenum, and cobalt. The barrier pattern BM may cover sidewalls and a bottom surface of the conductive pattern FM. The barrier pattern BM may include a metal layer/metal nitride layer. The metal layer may include at least one of titanium, tantalum, tungsten, nickel, cobalt, and platinum. The metal nitride layer may include at least one of a titanium nitride layer (TiN), a tantalum nitride layer (TaN), a tungsten nitride layer (WN), a nickel nitride layer (NiN), a cobalt nitride layer (CoN), and a platinum nitride layer (PtN).

게이트 콘택(GC)과 게이트 스페이서(GS) 사이에 블로킹 패턴(BLP)이 개재될 수 있다. 블로킹 패턴(BLP)은 게이트 콘택(GC)의 하부 측벽과 직접 접촉할 수 있다. 블로킹 패턴(BLP)은, 게이트 콘택(GC)이 게이트 스페이서(GS)를 넘어 그와 인접하는 활성 콘택(AC) 및/또는 소스/드레인 패턴(SD1, SD2)을 향해 연장되는 것을 방지할 수 있다. 블로킹 패턴(BLP)은 게이트 스페이서(GS)와 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들어, 블로킹 패턴(BLP)은 폴리실리콘, 실리콘 산화물 또는 이들의 조합을 포함할 수 있다. A blocking pattern BLP may be interposed between the gate contact GC and the gate spacer GS. The blocking pattern BLP may directly contact the lower sidewall of the gate contact GC. The blocking pattern BLP may prevent the gate contact GC from extending beyond the gate spacer GS toward the active contact AC and/or the source/drain patterns SD1 and SD2 adjacent thereto. . The blocking pattern BLP may include a material having an etch selectivity to the gate spacer GS. For example, the blocking pattern BLP may include polysilicon, silicon oxide, or a combination thereof.

제3 층간 절연막(130) 내에 제1 금속 층(M1)이 제공될 수 있다. 예를 들어, 제1 금속 층(M1)은 제1 파워 배선(M1_R1), 제2 파워 배선(M1_R2), 제3 파워 배선(M1_R3) 및 제1 배선들(M1_I)을 포함할 수 있다. 제1 금속 층(M1)의 배선들(M1_R1, M1_R2, M1_R3, M1_I) 각각은 제2 방향(D2)으로 서로 평행하게 연장될 수 있다. A first metal layer M1 may be provided in the third interlayer insulating layer 130 . For example, the first metal layer M1 may include a first power line M1_R1 , a second power line M1_R2 , a third power line M1_R3 , and first lines M1_I. Each of the interconnections M1_R1 , M1_R2 , M1_R3 , and M1_I of the first metal layer M1 may extend parallel to each other in the second direction D2 .

구체적으로, 제1 및 제2 파워 배선들(M1_R1, M1_R2)은 제1 싱글 하이트 셀(SHC1)의 제3 및 제4 경계들(BD3, BD4) 상에 각각 제공될 수 있다. 제1 파워 배선(M1_R1)은 제3 경계(BD3)를 따라 제2 방향(D2)으로 연장될 수 있다. 제2 파워 배선(M1_R2)은 제4 경계(BD4)를 따라 제2 방향(D2)으로 연장될 수 있다.In detail, the first and second power lines M1_R1 and M1_R2 may be provided on the third and fourth boundaries BD3 and BD4 of the first single height cell SHC1 , respectively. The first power line M1_R1 may extend in the second direction D2 along the third boundary BD3 . The second power line M1_R2 may extend in the second direction D2 along the fourth boundary BD4 .

제1 금속 층(M1)의 제1 배선들(M1_I)은 제2 피치로 제1 방향(D1)을 따라 배열될 수 있다. 상기 제2 피치는 상기 제1 피치보다 작을 수 있다. 제1 배선들(M1_I) 각각의 선폭은, 제1 내지 제3 파워 배선들(M1_R1, M1_R2, M1_R3) 각각의 선폭보다 작을 수 있다. The first interconnections M1_I of the first metal layer M1 may be arranged in the first direction D1 at a second pitch. The second pitch may be smaller than the first pitch. A line width of each of the first lines M1_I may be smaller than a line width of each of the first to third power lines M1_R1 , M1_R2 , and M1_R3 .

제1 금속 층(M1)은, 제1 비아들(VI1)을 더 포함할 수 있다. 제1 비아들(VI1)은 제1 금속 층(M1)의 배선들(M1_R1, M1_R2, M1_R3, M1_I) 아래에 각각 제공될 수 있다. 제1 비아(VI1)를 통해 활성 콘택(AC)과 제1 금속 층(M1)의 배선이 서로 전기적으로 연결될 수 있다. 제1 비아(VI1)를 통해 게이트 콘택(GC)과 제1 금속 층(M1)의 배선이 서로 전기적으로 연결될 수 있다. The first metal layer M1 may further include first vias VI1 . The first vias VI1 may be respectively provided under the interconnections M1_R1 , M1_R2 , M1_R3 , and M1_I of the first metal layer M1 . The active contact AC and the wiring of the first metal layer M1 may be electrically connected to each other through the first via VI1 . The gate contact GC and the wiring of the first metal layer M1 may be electrically connected to each other through the first via VI1 .

제1 금속 층(M1)의 배선과 그 아래의 제1 비아(VI1)는 서로 각각 별도의 공정으로 형성될 수 있다. 다시 말하면, 제1 금속 층(M1)의 배선 및 제1 비아(VI1) 각각은 싱글 다마신 공정으로 형성될 수 있다. 본 실시예에 따른 반도체 소자는, 20 nm 미만의 공정을 이용하여 형성된 것일 수 있다. The wiring of the first metal layer M1 and the first via VI1 below it may be formed through separate processes. In other words, each of the wiring and the first via VI1 of the first metal layer M1 may be formed by a single damascene process. The semiconductor device according to the present embodiment may be formed using a process of less than 20 nm.

제4 층간 절연막(140) 내에 제2 금속 층(M2)이 제공될 수 있다. 제2 금속 층(M2)은 복수개의 제2 배선들(M2_I)을 포함할 수 있다. 제2 금속 층(M2)의 제2 배선들(M2_I) 각각은 제1 방향(D1)으로 연장되는 라인 형태 또는 바 형태를 가질 수 있다. 다시 말하면, 제2 배선들(M2_I)은 제1 방향(D1)으로 서로 평행하게 연장될 수 있다.A second metal layer M2 may be provided in the fourth interlayer insulating layer 140 . The second metal layer M2 may include a plurality of second interconnections M2_I. Each of the second interconnections M2_I of the second metal layer M2 may have a line shape or a bar shape extending in the first direction D1 . In other words, the second interconnections M2_I may extend parallel to each other in the first direction D1 .

제2 금속 층(M2)은, 제2 배선들(M2_I) 아래에 각각 제공된 제2 비아들(VI2)을 더 포함할 수 있다. 제2 비아(VI2)를 통해 제1 금속 층(M1)의 배선과 제2 금속 층(M2)의 배선이 서로 전기적으로 연결될 수 있다. 제2 금속 층(M2)의 배선과 그 아래의 제2 비아(VI2)는 듀얼 다마신 공정으로 함께 형성될 수 있다.The second metal layer M2 may further include second vias VI2 respectively provided under the second interconnections M2_I. The wiring of the first metal layer M1 and the wiring of the second metal layer M2 may be electrically connected to each other through the second via VI2 . The wiring of the second metal layer M2 and the second via VI2 below it may be formed together by a dual damascene process.

제1 금속 층(M1)의 배선과 제2 금속 층(M2)의 배선은 서로 동일하거나 다른 도전 물질을 포함할 수 있다. 예를 들어, 제1 금속 층(M1)의 배선과 제2 금속 층(M2)의 배선은, 알루미늄, 구리, 텅스텐, 몰리브데늄 및 코발트 중에서 선택된 적어도 하나의 금속 물질을 포함할 수 있다. 도시되진 않았지만, 제4 층간 절연막(140) 상에 적층된 금속 층들(예를 들어, M3, M4, M5...)이 추가로 배치될 수 있다. 상기 적층된 금속 층들 각각은 셀들간의 라우팅을 위한 배선들을 포함할 수 있다.The wiring of the first metal layer M1 and the wiring of the second metal layer M2 may include the same or different conductive materials. For example, the wiring of the first metal layer M1 and the wiring of the second metal layer M2 may include at least one metal material selected from aluminum, copper, tungsten, molybdenum, and cobalt. Although not shown, metal layers (eg, M3, M4, M5...) stacked on the fourth interlayer insulating layer 140 may be additionally disposed. Each of the stacked metal layers may include wirings for routing between cells.

도 4 및 도 5e를 참조하여 제2 영역(RG2)의 트랜지스터에 대해 먼저 상세히 설명한다. 제2 영역(RG2)은, 예를 들어, 제3 PMOSFET 영역(PR3) 및 제4 PMOSFET 영역(PR4)을 포함할 수 있다. 각각의 제3 및 제4 PMOSFET 영역들(PR3, PR4) 상에 제3 활성 패턴(AP3)이 제공될 수 있다.The transistor of the second region RG2 will first be described in detail with reference to FIGS. 4 and 5E . The second region RG2 may include, for example, a third PMOSFET region PR3 and a fourth PMOSFET region PR4 . A third active pattern AP3 may be provided on each of the third and fourth PMOSFET regions PR3 and PR4 .

제3 활성 패턴(AP3) 상에 제3 소스/드레인 패턴들(SD3)이 제공될 수 있다. 제3 소스/드레인 패턴들(SD3)은 제1 도전형(예를 들어, p형)의 불순물 영역들일 수 있다. 한 쌍의 제3 소스/드레인 패턴들(SD3) 사이에 제3 채널 패턴(CH3)이 개재될 수 있다. 제3 채널 패턴(CH3)의 제2 방향(D2)으로의 폭은, 앞서 설명한 제1 및 제2 채널 패턴들(CH1, CH2) 각각의 폭보다 클 수 있다.Third source/drain patterns SD3 may be provided on the third active pattern AP3 . The third source/drain patterns SD3 may be impurity regions of the first conductivity type (eg, p-type). A third channel pattern CH3 may be interposed between the pair of third source/drain patterns SD3 . A width of the third channel pattern CH3 in the second direction D2 may be greater than a width of each of the first and second channel patterns CH1 and CH2 described above.

제3 활성 패턴(AP3)을 가로지르며 제1 방향(D1)으로 연장되는 롱 게이트 전극(LGE)이 제공될 수 있다. 롱 게이트 전극(LGE)의 제2 방향(D2)으로의 폭은, 앞서 설명한 제1 영역(RG1) 상의 게이트 전극(GE)의 폭보다 클 수 있다. 롱 게이트 전극(LGE)과 제3 활성 패턴(AP3) 사이에 게이트 절연막(GI)이 개재될 수 있다. A long gate electrode LGE may be provided that crosses the third active pattern AP3 and extends in the first direction D1 . A width of the long gate electrode LGE in the second direction D2 may be greater than a width of the gate electrode GE on the first region RG1 described above. A gate insulating layer GI may be interposed between the long gate electrode LGE and the third active pattern AP3 .

도 7을 참조하면, 롱 게이트 전극(LGE)은, 제1 금속 패턴(MEP1), 제1 금속 패턴 상의 제2 금속 패턴(MEP2) 및 제2 금속 패턴(MEP2) 상의 제3 금속 패턴(MEP3)을 포함할 수 있다. 롱 게이트 전극(LGE)의 제1 및 제2 금속 패턴들(MEP1, MEP2)은, 앞서 설명한 게이트 전극(GE)의 제1 및 제2 금속 패턴들(MEP1, MEP2)과 동일하거나 유사할 수 있다. Referring to FIG. 7 , the long gate electrode LGE includes a first metal pattern MEP1 , a second metal pattern MEP2 on the first metal pattern, and a third metal pattern MEP3 on the second metal pattern MEP2 . may include The first and second metal patterns MEP1 and MEP2 of the long gate electrode LGE may be the same as or similar to the first and second metal patterns MEP1 and MEP2 of the gate electrode GE described above. .

제3 금속 패턴(MEP3)은, 제1 및 제2 금속 패턴들(MEP1, MEP2)에 비해 저항이 낮을 수 있다. 일 예로, 제3 금속 패턴(MEP3)은 알루미늄(Al), 텅스텐(W), 티타늄(Ti), 탄탈륨(Ta) 중 적어도 하나의 저저항 금속을 포함할 수 있다. 본 발명의 다른 실시예로, 제3 금속 패턴(MEP3)은 생략될 수도 있다. The resistance of the third metal pattern MEP3 may be lower than that of the first and second metal patterns MEP1 and MEP2 . For example, the third metal pattern MEP3 may include at least one low-resistance metal selected from among aluminum (Al), tungsten (W), titanium (Ti), and tantalum (Ta). In another embodiment of the present invention, the third metal pattern MEP3 may be omitted.

롱 게이트 전극(LGE) 상에 블로킹 패턴(BLP)이 제공될 수 있다. 블로킹 패턴(BLP)은 게이트 스페이서(GS)와 게이트 캐핑 패턴(GP) 사이에 개재될 수 있다.A blocking pattern BLP may be provided on the long gate electrode LGE. The blocking pattern BLP may be interposed between the gate spacer GS and the gate capping pattern GP.

제1 및 제2 층간 절연막들(110, 120)을 관통하여 제3 소스/드레인 패턴들(SD3)과 각각 전기적으로 연결되는 활성 콘택들(AC)이 제공될 수 있다. 제2 층간 절연막(120) 및 게이트 캐핑 패턴(GP)을 관통하여 롱 게이트 전극(LGE)과 전기적으로 연결되는 적어도 하나의 게이트 콘택(GC)이 제공될 수 있다. 활성 콘택들(AC) 및 게이트 콘택(GC) 상에 제1 금속 층(M1) 및 제2 금속 층(M2)이 제공될 수 있다. Active contacts AC may be provided through the first and second interlayer insulating layers 110 and 120 to be electrically connected to the third source/drain patterns SD3 , respectively. At least one gate contact GC may be provided through the second interlayer insulating layer 120 and the gate capping pattern GP to be electrically connected to the long gate electrode LGE. A first metal layer M1 and a second metal layer M2 may be provided on the active contacts AC and the gate contact GC.

도 6을 참조하여, 본 발명의 실시예들에 따른 게이트 전극(GE), 게이트 스페이서(GS), 블로킹 패턴(BLP) 및 게이트 콘택(GC)의 구조를 보다 상세히 설명한다. 게이트 스페이서(GS)는 제2 방향(D2)으로 나란히 배치된 제1 스페이서(GS1) 및 제2 스페이서(GS2)를 포함할 수 있다. 제1 스페이서(GS1)는 제3 상면(TOS3)을 갖고, 제2 스페이서(GS2)는 제2 상면(TOS2)을 가질 수 있다. 제2 상면(TOS2)은 제3 상면(TOS3)보다 더 높을 수 있다. 제3 상면(TOS3)은 게이트 전극(GE)의 상면과 실질적으로 동일한 높이에 위치하거나 더 낮을 수 있다. The structures of the gate electrode GE, the gate spacer GS, the blocking pattern BLP, and the gate contact GC according to embodiments of the present invention will be described in more detail with reference to FIG. 6 . The gate spacer GS may include a first spacer GS1 and a second spacer GS2 arranged side by side in the second direction D2 . The first spacer GS1 may have a third upper surface TOS3 , and the second spacer GS2 may have a second upper surface TOS2 . The second upper surface TOS2 may be higher than the third upper surface TOS3 . The third upper surface TOS3 may be positioned at substantially the same height as the upper surface of the gate electrode GE or may be lower than the upper surface of the gate electrode GE.

게이트 콘택(GC)의 바닥면(BOS2)은 게이트 전극(GE)의 상면과 접촉할 수 있다. 게이트 콘택(GC)의 바닥면(BOS2)은, 제1 스페이서(GS1)의 제3 상면(TOS3)과 실질적으로 동일한 높이에 위치하거나 더 높을 수 있다. The bottom surface BOS2 of the gate contact GC may contact the top surface of the gate electrode GE. The bottom surface BOS2 of the gate contact GC may be positioned substantially at the same height or higher than the third top surface TOS3 of the first spacer GS1 .

게이트 콘택(GC)과 제2 스페이서(GS2) 사이에 블로킹 패턴(BLP)이 개재될 수 있다. 블로킹 패턴(BLP)은 한 쌍의 블로킹 패턴들(BLP)을 포함할 수 있으며, 한 쌍의 블로킹 패턴들(BLP)은 게이트 콘택(GC)의 양 하부 측벽들 상에 각각 제공될 수 있다. A blocking pattern BLP may be interposed between the gate contact GC and the second spacer GS2 . The blocking pattern BLP may include a pair of blocking patterns BLP, and the pair of blocking patterns BLP may be provided on both lower sidewalls of the gate contact GC, respectively.

블로킹 패턴(BLP)은 제1 스페이서(GS1)의 제3 상면(TOS3)에서부터 수직한 방향(즉 제3 방향(D3))으로 연장될 수 있다. 블로킹 패턴(BLP)은, 제3 상면(TOS3)으로부터 제2 스페이서(GS2)의 내측벽(ISW1)을 따라 수직하게 연장될 수 있다. 블로킹 패턴(BLP)의 바닥면(BOS1)은 제3 상면(TOS3)과 직접 접촉할 수 있다. 블로킹 패턴(BLP)은 제1 상면(TOS1)을 가질 수 있다. 제1 상면(TOS1)은 제2 스페이서(GS2)의 제2 상면(TOS2)보다 낮을 수 있다. 블로킹 패턴(BLP)의 바닥면(BOS1)은 게이트 콘택(GC)의 바닥면(BOS2)과 동일한 높이에 위치하거나 더 낮을 수 있다. The blocking pattern BLP may extend in a vertical direction (ie, the third direction D3 ) from the third upper surface TOS3 of the first spacer GS1 . The blocking pattern BLP may extend vertically from the third upper surface TOS3 along the inner wall ISW1 of the second spacer GS2 . The bottom surface BOS1 of the blocking pattern BLP may directly contact the third top surface TOS3 . The blocking pattern BLP may have a first upper surface TOS1 . The first upper surface TOS1 may be lower than the second upper surface TOS2 of the second spacer GS2 . The bottom surface BOS1 of the blocking pattern BLP may be located at the same height as or lower than the bottom surface BOS2 of the gate contact GC.

블로킹 패턴(BLP)의 제2 방향(D2)으로의 두께(T1)는 제3 방향(D3)으로 갈수록 감소할 수 있다. 다시 말하면, 블로킹 패턴(BLP)의 두께는, 제1 스페이서(GS1)에서 게이트 캐핑 패턴(GP)을 향하는 방향으로 갈수록 감소할 수 있다. The thickness T1 of the blocking pattern BLP in the second direction D2 may decrease in the third direction D3 . In other words, the thickness of the blocking pattern BLP may decrease in a direction from the first spacer GS1 toward the gate capping pattern GP.

블로킹 패턴(BLP)의 최대 두께는 제1 두께(T1)일 수 있다. 제1 두께(T1)는 1nm 내지 4nm일 수 있다. 제1 스페이서(GS1)는 제2 방향(D2)으로 제2 두께(T2)를 가질 수 있다. 제2 두께(T2)는 제1 두께(T1)보다 클 수 있다. The maximum thickness of the blocking pattern BLP may be the first thickness T1 . The first thickness T1 may be 1 nm to 4 nm. The first spacer GS1 may have a second thickness T2 in the second direction D2 . The second thickness T2 may be greater than the first thickness T1 .

게이트 전극(GE) 및 게이트 스페이서(GS) 상에 게이트 캐핑 패턴(GP)이 배치될 수 있다. 게이트 캐핑 패턴(GP)은 블로킹 패턴(BLP)의 제1 상면(TOS1) 및 제2 스페이서(GS2)의 제2 상면(TOS2)을 직접 덮을 수 있다. A gate capping pattern GP may be disposed on the gate electrode GE and the gate spacer GS. The gate capping pattern GP may directly cover the first upper surface TOS1 of the blocking pattern BLP and the second upper surface TOS2 of the second spacer GS2 .

도 7을 참조하여, 본 발명의 실시예들에 따른 롱 게이트 전극(LGE), 게이트 스페이서(GS) 및 블로킹 패턴(BLP)의 구조를 보다 상세히 설명한다. 제2 영역(RG2) 상의 게이트 스페이서(GS)는 제2 방향(D2)으로 나란히 배치된 제1 스페이서(GS1) 및 제2 스페이서(GS2)를 포함할 수 있다. 제1 스페이서(GS1)의 상면, 제2 스페이서(GS2)의 상면 및 게이트 캐핑 패턴(GP)의 상면은 서로 공면을 이룰 수 있다. The structures of the long gate electrode LGE, the gate spacer GS, and the blocking pattern BLP according to embodiments of the present invention will be described in more detail with reference to FIG. 7 . The gate spacer GS on the second region RG2 may include a first spacer GS1 and a second spacer GS2 arranged side by side in the second direction D2 . The top surface of the first spacer GS1 , the top surface of the second spacer GS2 , and the top surface of the gate capping pattern GP may be coplanar with each other.

제1 스페이서(GS1)의 내측벽(ISW2)과 게이트 캐핑 패턴(GP) 사이에 블로킹 패턴(BLP)이 개재될 수 있다. 제2 영역(RG2) 상의 블로킹 패턴(BLP)은 제1 영역(RG1) 상의 블로킹 패턴(BLP)과 함께 형성된 것일 수 있다. A blocking pattern BLP may be interposed between the inner wall ISW2 of the first spacer GS1 and the gate capping pattern GP. The blocking pattern BLP on the second region RG2 may be formed together with the blocking pattern BLP on the first region RG1 .

블로킹 패턴(BLP)은 롱 게이트 전극(LGE)의 상면(또는 게이트 절연막(GI)의 상면)으로부터 제1 스페이서(GS1)의 내측벽(ISW2)을 따라 수직하게 연장될 수 있다. 블로킹 패턴(BLP)의 상면(TOS1)은 게이트 캐핑 패턴(GP)에 의해 덮일 수 있다. The blocking pattern BLP may extend vertically from the top surface of the long gate electrode LGE (or the top surface of the gate insulating layer GI) along the inner wall ISW2 of the first spacer GS1 . The upper surface TOS1 of the blocking pattern BLP may be covered by the gate capping pattern GP.

도 8은 본 발명의 비교예에 따른 도 5a의 M 영역을 확대한 단면도이다. 도 8을 참조하면, 본 발명의 비교예에 따르면 블로킹 패턴(BLP)이 생략될 수 있다. 블로킹 패턴(BLP)이 생략될 경우, 게이트 콘택(GC)에 확장부(EXP)가 형성될 수 있다. 구체적으로, 게이트 콘택(GC)을 형성하는 동안 과식각이 발생된다면 게이트 스페이서(GS)가 생략되어 확장부(EXP)가 형성될 수 있다. 확장부(EXP)는 게이트 전극(GE)의 측벽을 따라 아래로 연장되어 활성 콘택(AC) 또는 소스/드레인 패턴(SD1, SD2)과 접촉할 수 있다. 예를 들어, 게이트 콘택(GC)의 확장부(EXP)와 활성 콘택(AC)이 서로 접촉하는 쇼트 영역(STR)이 형성될 수 있다. 쇼트 영역(STR)은 공정 불량으로서 반도체 소자의 신뢰성을 심각하게 악화시킬 수 있다. 8 is an enlarged cross-sectional view of region M of FIG. 5A according to a comparative example of the present invention. Referring to FIG. 8 , according to the comparative example of the present invention, the blocking pattern BLP may be omitted. When the blocking pattern BLP is omitted, the extension EXP may be formed in the gate contact GC. Specifically, if over-etching occurs while forming the gate contact GC, the gate spacer GS may be omitted to form the extension EXP. The extension EXP may extend downward along the sidewall of the gate electrode GE to contact the active contact AC or the source/drain patterns SD1 and SD2 . For example, the short region STR in which the extension EXP of the gate contact GC and the active contact AC contact each other may be formed. The short region STR is a process defect and may seriously deteriorate the reliability of the semiconductor device.

반면 도 6의 본 발명의 실시예에 따르면, 블로킹 패턴(BLP)은 폴리실리콘을 포함할 수 있고, 따라서 게이트 콘택(GC)의 형성 공정에서 블로킹 패턴(BLP)은 제1 및 제2 스페이서들(GS1, GS2)에 비해 더 강한 식각 내성을 가질 수 있다. 이로써 블로킹 패턴(BLP)은 게이트 콘택(GC)에 상술한 확장부(EXP)가 형성되는 것을 방지할 수 있다. 다시 말하면, 한 쌍의 블로킹 패턴들(BLP)은 게이트 콘택(GC)이 이들을 벗어나지 않도록 가이드하여, 게이트 콘택(GC)이 게이트 전극(GE)의 상면에만 접촉할 수 있도록 할 수 있다. 결과적으로 본 발명의 블로킹 패턴(BLP)은 게이트 콘택(GC)과 활성 콘택(AC)간의 쇼트를 방지하고 반도체 소자의 신뢰성을 향상시킬 수 있다. On the other hand, according to the embodiment of the present invention of FIG. 6 , the blocking pattern BLP may include polysilicon, and thus, in the process of forming the gate contact GC, the blocking pattern BLP is formed between the first and second spacers ( It may have stronger etching resistance than GS1 and GS2). Accordingly, the blocking pattern BLP may prevent the aforementioned extension EXP from being formed in the gate contact GC. In other words, the pair of blocking patterns BLP may guide the gate contact GC not to depart from them, so that the gate contact GC may contact only the upper surface of the gate electrode GE. As a result, the blocking pattern BLP of the present invention may prevent a short circuit between the gate contact GC and the active contact AC and improve the reliability of the semiconductor device.

도 5d를 다시 참조하면, 게이트 전극(GE)은 게이트 커팅 패턴(CT)의 측벽을 따라 제3 방향(D3)으로 연장되는 수직 연장부(VEP)를 포함할 수 있다. 본 발명의 실시예들에 따르면, 게이트 커팅 패턴(CT)의 형성 이후에 게이트 전극(GE)이 형성되므로, 게이트 전극(GE)을 형성하는 동안 게이트 커팅 패턴(CT)의 측벽을 따라 수직하게 연장되는 수직 연장부(VEP)가 함께 형성될 수 있다. 수직 연장부(VEP)는 게이트 캐핑 패턴(GP) 위로 노출될 수도 있고, 노출된 수직 연장부(VEP)는 인접하는 활성 콘택(AC)과 접촉하는 쇼트 불량을 발생시킬 수 있다.Referring back to FIG. 5D , the gate electrode GE may include a vertical extension portion VEP extending in the third direction D3 along the sidewall of the gate cutting pattern CT. According to embodiments of the present invention, since the gate electrode GE is formed after the gate cutting pattern CT is formed, it extends vertically along the sidewall of the gate cutting pattern CT while the gate electrode GE is formed. A vertical extension VEP may be formed together. The vertical extension VEP may be exposed over the gate capping pattern GP, and the exposed vertical extension VEP may cause a short-circuit defect in contact with the adjacent active contact AC.

한편 본 발명의 실시예에 따르면, 블로킹 패턴(BLP)이 게이트 전극(GE)의 수직 연장부(VEP) 상에 제공될 수 있다. 블로킹 패턴(BLP)은 수직 연장부(VEP)의 상면과 게이트 커팅 패턴(CT)의 상부 측벽을 덮을 수 있다. 블로킹 패턴(BLP)은 수직 연장부(VEP)의 상면 상에 제공되므로, 수직 연장부(VEP)가 노출되는 것을 방지할 수 있다. 결과적으로, 본 발명에 따른 블로킹 패턴(BLP)은 게이트 전극(GE)의 수직 연장부(VEP)와 인접하는 활성 콘택(AC)간의 쇼트를 방지하여 반도체 소자의 신뢰성을 향상시킬 수 있다.Meanwhile, according to an embodiment of the present invention, the blocking pattern BLP may be provided on the vertical extension portion VEP of the gate electrode GE. The blocking pattern BLP may cover an upper surface of the vertical extension VEP and an upper sidewall of the gate cutting pattern CT. Since the blocking pattern BLP is provided on the upper surface of the vertical extension VEP, it is possible to prevent the vertical extension VEP from being exposed. As a result, the blocking pattern BLP according to the present invention may prevent a short circuit between the vertical extension VEP of the gate electrode GE and the adjacent active contact AC, thereby improving the reliability of the semiconductor device.

도 9a 및 도 9b 각각은 본 발명의 다른 실시예에 따른 도 5a의 M 영역을 확대한 단면도이다. 본 실시예들에서는, 앞서 도 4 및 도 5a 내지 도 5e를 참조하여 설명한 것과 중복되는 기술적 특징에 대한 상세한 설명은 생략하고, 차이점에 대해 상세히 설명한다.9A and 9B are enlarged cross-sectional views of region M of FIG. 5A according to another exemplary embodiment of the present invention. In the present embodiments, detailed descriptions of technical features overlapping with those previously described with reference to FIGS. 4 and 5A to 5E will be omitted, and differences will be described in detail.

도 9a를 참조하면, 블로킹 패턴(BLP)의 바닥면(BOS1)은 게이트 콘택(GC)의 바닥면(BOS2)보다 더 낮을 수 있다. 블로킹 패턴(BLP)의 바닥면(BOS1)이 낮아지면서, 제1 스페이서(GS1)의 상면(TOS3)이 함께 낮아질 수 있다. 제1 스페이서(GS1)의 상면(TOS3)은 게이트 전극(GE)의 상면보다 낮을 수 있다. Referring to FIG. 9A , the bottom surface BOS1 of the blocking pattern BLP may be lower than the bottom surface BOS2 of the gate contact GC. As the bottom surface BOS1 of the blocking pattern BLP is lowered, the upper surface TOS3 of the first spacer GS1 may be lowered together. The top surface TOS3 of the first spacer GS1 may be lower than the top surface of the gate electrode GE.

블로킹 패턴(BLP)은 게이트 콘택(GC)과 제2 스페이서(GS2) 사이뿐만 아니라 게이트 전극(GE)과 제2 스페이서(GS2) 사이에도 개재될 수 있다. 블로킹 패턴(BLP)은 게이트 전극(GE)과 활성 콘택(AC) 사이에 개재될 수 있다.The blocking pattern BLP may be interposed between the gate contact GC and the second spacer GS2 as well as between the gate electrode GE and the second spacer GS2 . The blocking pattern BLP may be interposed between the gate electrode GE and the active contact AC.

본 실시예에 따르면, 블로킹 패턴(BLP)이 게이트 전극(GE)과 활성 콘택(AC) 사이에도 개재되므로, 게이트 콘택(GC)에 활성 콘택(AC)과 접촉하는 확장부(EXP, 도 8 참조)가 형성되는 것을 보다 확실히 방지할 수 있다. 결과적으로 반도체 소자의 신뢰성이 더욱 향상될 수 있다.According to the present exemplary embodiment, since the blocking pattern BLP is also interposed between the gate electrode GE and the active contact AC, the gate contact GC has an extension EXP in contact with the active contact AC; see FIG. 8 . ) can be more reliably prevented from forming. As a result, the reliability of the semiconductor device may be further improved.

도 9b를 참조하면, 블로킹 패턴(BLP)의 바닥면(BOS1)은 게이트 콘택(GC)의 바닥면(BOS2)보다 더 높을 수 있다. 블로킹 패턴(BLP)의 바닥면(BOS1)은 게이트 전극(GE)의 상면보다 더 높을 수 있다. 블로킹 패턴(BLP)의 바닥면(BOS1)이 높아지면서, 제1 스페이서(GS1)의 상면(TOS3)이 함께 높아질 수 있다. 제1 스페이서(GS1)의 상면(TOS3)은 게이트 전극(GE)의 상면보다 높을 수 있다. Referring to FIG. 9B , the bottom surface BOS1 of the blocking pattern BLP may be higher than the bottom surface BOS2 of the gate contact GC. The bottom surface BOS1 of the blocking pattern BLP may be higher than the top surface of the gate electrode GE. As the bottom surface BOS1 of the blocking pattern BLP increases, the top surface TOS3 of the first spacer GS1 may also increase. The top surface TOS3 of the first spacer GS1 may be higher than the top surface of the gate electrode GE.

제1 스페이서(GS1)는 게이트 콘택(GC)과 활성 콘택(AC) 사이에 위치할 수 있다. 저유전 물질을 포함하는 제1 스페이서(GS1)가 게이트 콘택(GC)과 활성 콘택(AC) 사이에 배치됨으로써, 게이트 콘택(GC)과 활성 콘택(AC) 사이에서 발생되는 기생 캐패시턴스가 감소될 수 있다. 결과적으로 반도체 소자의 전기적 특성이 향상될 수 있다. The first spacer GS1 may be positioned between the gate contact GC and the active contact AC. Since the first spacer GS1 including the low-k material is disposed between the gate contact GC and the active contact AC, parasitic capacitance generated between the gate contact GC and the active contact AC may be reduced. have. As a result, electrical characteristics of the semiconductor device may be improved.

도 10, 도 12, 도 14 및 도 16은 본 발명의 실시예들에 따른 반도체 소자의 제조방법을 설명하기 위한 평면도들이다. 도 11a, 도 13a, 도 15a 및 도 17a는 각각 도 10, 도 12, 도 14 및 도 16의 A-A'선에 따른 단면도들이다. 도 11b, 도 13b, 도 15b 및 도 17b는 각각 도 10, 도 12, 도 14 및 도 16의 B-B'선에 따른 단면도들이다. 도 11c, 도 13c, 도 15c 및 도 17c는 각각 도 10, 도 12, 도 14 및 도 16의 C-C'선에 따른 단면도들이다. 도 11d, 도 13d, 도 15d 및 도 17d는 각각 도 10, 도 12, 도 14 및 도 16의 D-D'선에 따른 단면도들이다. 도 13e, 도 15e 및 도 17e는 각각 도 12, 도 14 및 도 16의 E-E'선에 따른 단면도들이다.10, 12, 14, and 16 are plan views for explaining a method of manufacturing a semiconductor device according to embodiments of the present invention. 11A, 13A, 15A, and 17A are cross-sectional views taken along line A-A' of FIGS. 10, 12, 14 and 16, respectively. 11B, 13B, 15B, and 17B are cross-sectional views taken along line B-B' of FIGS. 10, 12, 14 and 16, respectively. 11C, 13C, 15C, and 17C are cross-sectional views taken along line C-C' of FIGS. 10, 12, 14 and 16, respectively. 11D, 13D, 15D, and 17D are cross-sectional views taken along line D-D' of FIGS. 10, 12, 14 and 16, respectively. 13E, 15E, and 17E are cross-sectional views taken along line E-E' of FIGS. 12, 14, and 16, respectively.

도 10 및 도 11a 내지 도 11d를 참조하면, 제1 영역(RG1) 및 제2 영역(RG2)을 포함하는 기판(100)이 제공될 수 있다. 제1 영역(RG1)은 제1 PMOSFET 영역(PR1), 제2 PMOSFET 영역(PR2), 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2)을 포함할 수 있다. 제1 NMOSFET 영역(NR1) 및 제1 PMOSFET 영역(PR1)은 제1 싱글 하이트 셀(SHC1)을 정의할 수 있고, 및 제2 NMOSFET 영역(NR2) 및 제2 PMOSFET 영역(PR2)은 제2 싱글 하이트 셀(SHC2)을 정의할 수 있다. 제2 영역(RG2)은 제3 PMOSFET 영역(PR3) 및 제4 PMOSFET 영역(PR4)을 포함할 수 있다.10 and 11A to 11D , a substrate 100 including a first region RG1 and a second region RG2 may be provided. The first region RG1 may include a first PMOSFET region PR1 , a second PMOSFET region PR2 , a first NMOSFET region NR1 , and a second NMOSFET region NR2 . The first NMOSFET region NR1 and the first PMOSFET region PR1 may define a first single height cell SHC1 , and the second NMOSFET region NR2 and the second PMOSFET region PR2 may define a second single height cell SHC1 . A height cell SHC2 may be defined. The second region RG2 may include a third PMOSFET region PR3 and a fourth PMOSFET region PR4 .

기판(100)을 패터닝하여, 제1 내지 제3 활성 패턴들(AP1, AP2, AP3)이 형성될 수 있다. 각각의 제1 및 제2 PMOSFET 영역들(PR1, PR2) 상에 제1 활성 패턴들(AP1)이 형성될 수 있다. 각각의 제1 및 제2 NMOSFET 영역들(NR1, NR2) 상에 제2 활성 패턴들(AP2)이 형성될 수 있다. 각각의 제3 및 제4 PMOSFET 영역들(PR3, PR4) 상에 제3 활성 패턴들(AP3)이 형성될 수 있다. By patterning the substrate 100 , first to third active patterns AP1 , AP2 , and AP3 may be formed. First active patterns AP1 may be formed on each of the first and second PMOSFET regions PR1 and PR2 . Second active patterns AP2 may be formed on each of the first and second NMOSFET regions NR1 and NR2 . Third active patterns AP3 may be formed on each of the third and fourth PMOSFET regions PR3 and PR4 .

기판(100) 상에 소자 분리막(ST)이 형성될 수 있다. 소자 분리막(ST)은, 실리콘 산화막 같은 절연 물질을 포함할 수 있다. 제1 내지 제3 활성 패턴들(AP1, AP2, AP3) 각각의 상부가 노출될 때까지 소자 분리막(ST)이 리세스될 수 있다. 이로써, 제1 내지 제3 활성 패턴들(AP1, AP2, AP3) 각각의 상부는 소자 분리막(ST) 위로 수직하게 돌출될 수 있다.A device isolation layer ST may be formed on the substrate 100 . The device isolation layer ST may include an insulating material such as a silicon oxide layer. The device isolation layer ST may be recessed until an upper portion of each of the first to third active patterns AP1 , AP2 , and AP3 is exposed. Accordingly, an upper portion of each of the first to third active patterns AP1 , AP2 , and AP3 may vertically protrude above the device isolation layer ST.

제1 및 제2 활성 패턴들(AP1, AP2)을 가로지르는 희생 패턴들(PP)이 형성될 수 있다. 제3 활성 패턴(AP3)을 가로지르는 롱 희생 패턴(LPP)이 형성될 수 있다. 희생 패턴들(PP) 및 롱 희생 패턴(LPP) 각각은, 제1 방향(D1)으로 연장되는 라인 형태(line shape) 또는 바 형태(bar shape)로 형성될 수 있다.Sacrificial patterns PP crossing the first and second active patterns AP1 and AP2 may be formed. A long sacrificial pattern LPP crossing the third active pattern AP3 may be formed. Each of the sacrificial patterns PP and the long sacrificial pattern LPP may be formed in a line shape or a bar shape extending in the first direction D1 .

구체적으로 희생 패턴들(PP) 및 롱 희생 패턴(LPP)을 형성하는 것은, 기판(100)의 전면 상에 제1 희생막을 형성하는 것, 상기 제1 희생막 상에 마스크 패턴들(MA)을 형성하는 것, 및 마스크 패턴들(MA)을 식각 마스크로 상기 제1 희생막을 패터닝하는 것을 포함할 수 있다. 상기 제1 희생막은 폴리실리콘을 포함할 수 있다. Specifically, forming the sacrificial patterns PP and the long sacrificial pattern LPP includes forming the first sacrificial layer on the entire surface of the substrate 100 and forming the mask patterns MA on the first sacrificial layer. and patterning the first sacrificial layer using the mask patterns MA as an etch mask. The first sacrificial layer may include polysilicon.

본 발명의 일 실시예에 따르면, 희생 패턴들(PP)을 형성하기 위한 상기 패터닝 공정은 극자외선(Extreme Ultraviolet, EUV)을 이용한 리소그래피(Lithography) 공정을 포함할 수 있다. 본 명세서에서, EUV는 4 nm and 124 nm의 파장을, 상세하게는 4 nm and 20 nm의 파장을, 더욱 상세하게는 13.5 nm의 파장을 갖는 자외선을 의미할 수 있다. EUV는 6.21 eV 내지 124eV, 상세하게 90eV 내지 95eV의 에너지를 갖는 빛을 의미할 수 있다.According to an embodiment of the present invention, the patterning process for forming the sacrificial patterns PP may include a lithography process using Extreme Ultraviolet (EUV). In the present specification, EUV may refer to ultraviolet rays having a wavelength of 4 nm and 124 nm, specifically, a wavelength of 4 nm and 20 nm, and more specifically, a wavelength of 13.5 nm. EUV may refer to light having an energy of 6.21 eV to 124 eV, specifically, 90 eV to 95 eV.

EUV를 이용한 리소그래피 공정은, 포토레지스트막 상에 조사되는 EUV를 이용한 노광 및 현상 공정을 포함할 수 있다. 일 예로, 상기 포토레지스트막은 폴리하이드록시스티렌(Polyhydroxystyrene)과 같은 유기 고분자를 함유하는 유기 포토레지스트일 수 있다. 상기 유기 포토레지스트는 EUV에 반응하는 감광성 화합물(photosensitive compound)을 더 포함할 수 있다. 상기 유기 포토레지스트는 EUV 흡수율이 높은 물질, 예를 들어, 유기 금속 물질(Organometallic material), 아이오딘 함유 물질(Iodine-containing material) 또는 불소 함유 물질(Fluorine-containing material)을 추가로 포함할 수 있다. 다른 예로, 상기 포토레지스트막은 주석 산화물(tin oxide)과 같은 무기 물질을 함유하는 무기 포토레지스트일 수 있다.The lithography process using EUV may include exposure and development processes using EUV irradiated onto the photoresist layer. For example, the photoresist layer may be an organic photoresist containing an organic polymer such as polyhydroxystyrene. The organic photoresist may further include a photosensitive compound that responds to EUV. The organic photoresist may further include a material having a high EUV absorption rate, for example, an organometallic material, an iodine-containing material, or a fluorine-containing material. . As another example, the photoresist layer may be an inorganic photoresist containing an inorganic material such as tin oxide.

상기 포토레지스트막은 비교적 얇은 두께로 형성될 수 있다. EUV에 노광된 포토레지스트막을 현상하여 포토레지스트 패턴들이 형성될 수 있다. 평면적 관점에서, 포토레지스트 패턴들은, 일 방향으로 연장된 라인 형태, 아일랜드 형태, 지그재그 형태, 벌집(honeycomb) 형태, 또는 원 형태를 가질 수 있으나, 이러한 예들에 제한되는 것은 아니다. The photoresist layer may be formed to a relatively thin thickness. Photoresist patterns may be formed by developing the photoresist layer exposed to EUV. In a plan view, the photoresist patterns may have a line shape extending in one direction, an island shape, a zigzag shape, a honeycomb shape, or a circular shape, but are not limited thereto.

상기 포토레지스트 패턴들을 식각 마스크로 그들 아래에 적층된 하나 이상의 마스크 층들을 패터닝하여, 상술한 하드 마스크 패턴들(MP)이 형성될 수 있다. 하드 마스크 패턴들(MP)을 식각 마스크로 타겟 층인 상기 희생막을 패터닝하여, 웨이퍼 상에 목적하는 패턴들, 즉 희생 패턴들(PP)이 형성될 수 있다.The above-described hard mask patterns MP may be formed by patterning the photoresist patterns as an etch mask and one or more mask layers stacked thereunder. By patterning the sacrificial layer as a target layer using the hard mask patterns MP as an etch mask, desired patterns, that is, sacrificial patterns PP, may be formed on the wafer.

본 발명의 비교예로, 미세 피치를 갖는 패턴들을 웨이퍼 상에 형성하기 위해서는 두 개 이상의 포토 마스크들을 이용하는 멀티 패터닝 기술(MPT: Multi Patterning Technique)이 필요하다. 반면 본 발명의 실시예에 따른 EUV 리소그래피 공정을 수행할 경우, 한 장의 포토 마스크로도 미세 피치를 갖는 희생 패턴들(PP)을 형성할 수 있다. As a comparative example of the present invention, a multi-patterning technique (MPT) using two or more photomasks is required to form patterns having a fine pitch on a wafer. On the other hand, when the EUV lithography process according to the embodiment of the present invention is performed, the sacrificial patterns PP having a fine pitch may be formed using a single photomask.

예를 들어, 본 실시예의 EUV 리소그래피 공정으로 구현되는 희생 패턴들(PP) 사이의 최소 피치는 45nm 이하일 수 있다. 즉, EUV 리소그래피 공정을 수행함에 따라, 멀티 패터닝 기술 없이도 정교하고 미세한 희생 패턴들(PP)을 구현할 수 있다.For example, the minimum pitch between the sacrificial patterns PP implemented by the EUV lithography process of the present embodiment may be 45 nm or less. That is, by performing the EUV lithography process, sophisticated and fine sacrificial patterns PP may be implemented without a multi-patterning technique.

본 발명의 실시예들에 따르면, 상술한 EUV를 이용한 리소그래피 공정은 희생 패턴들(PP)뿐만 아니라 앞서 설명한 제1 및 제2 활성 패턴들(AP1, AP2)을 형성하기 위한 패터닝 공정에서도 이용될 수 있으며, 특별히 제한되는 것은 아니다.According to embodiments of the present invention, the above-described lithography process using EUV may be used in the patterning process for forming the above-described first and second active patterns AP1 and AP2 as well as the sacrificial patterns PP. and is not particularly limited.

희생 패턴들(PP) 및 롱 희생 패턴(LPP) 각각의 양 측벽들 상에 한 쌍의 게이트 스페이서들(GS)이 형성될 수 있다. 게이트 스페이서들(GS)을 형성하는 것은, 기판(100)의 전면 상에 게이트 스페이서막을 콘포멀하게 형성하는 것, 및 상기 게이트 스페이서막을 이방성 식각하는 것을 포함할 수 있다. 상기 게이트 스페이서막은 SiCN, SiOCN 및 SiN 중 적어도 하나를 포함할 수 있다.A pair of gate spacers GS may be formed on both sidewalls of each of the sacrificial patterns PP and the long sacrificial pattern LPP. Forming the gate spacers GS may include conformally forming a gate spacer layer on the entire surface of the substrate 100 and anisotropically etching the gate spacer layer. The gate spacer layer may include at least one of SiCN, SiOCN, and SiN.

게이트 스페이서(GS)는 앞서 도 6 및 도 7을 참조하여 설명한 바와 같이 제1 스페이서(GS1) 및 제2 스페이서(GS2)를 포함하는 다중 막 구조를 가질 수 있다. 제1 스페이서(GS1)는 저유전 물질인 SiOCN으로 형성될 수 있다. 제2 스페이서(GS2)는 식각 내성이 우수한 SiN으로 형성될 수 있다. 제1 스페이서(GS1)는 제2 스페이서(GS2)보다 두껍게 형성될 수 있다.The gate spacer GS may have a multilayer structure including the first spacer GS1 and the second spacer GS2 as described above with reference to FIGS. 6 and 7 . The first spacer GS1 may be formed of SiOCN, which is a low-k material. The second spacer GS2 may be formed of SiN having excellent etch resistance. The first spacer GS1 may be formed to be thicker than the second spacer GS2 .

도 12 및 도 13a 내지 도 13e를 참조하면, 제1 활성 패턴들(AP1) 각각의 상부에 제1 소스/드레인 패턴들(SD1)이 형성될 수 있다. 한 쌍의 제1 소스/드레인 패턴들(SD1)은, 희생 패턴들(PP) 각각의 양측에 형성될 수 있다.12 and 13A to 13E , first source/drain patterns SD1 may be formed on each of the first active patterns AP1 . A pair of first source/drain patterns SD1 may be formed on both sides of each of the sacrificial patterns PP.

구체적으로, 마스크 패턴들(MA) 및 게이트 스페이서들(GS)을 식각 마스크로 제1 활성 패턴(AP1)의 상부를 식각하여, 제1 리세스들을 형성할 수 있다. 제1 활성 패턴(AP1)의 상부를 식각하는 동안, 제1 활성 패턴들(AP1) 사이의 소자 분리막(ST)이 리세스될 수 있다 (도 13c 참고). In detail, the upper portions of the first active pattern AP1 may be etched using the mask patterns MA and the gate spacers GS as an etch mask to form first recesses. While the upper portion of the first active pattern AP1 is being etched, the device isolation layer ST between the first active patterns AP1 may be recessed (refer to FIG. 13C ).

제1 활성 패턴(AP1)의 상기 제1 리세스의 내측벽을 씨드층(seed layer)으로 하는 선택적 에피택시얼 성장 공정을 수행하여, 제1 소스/드레인 패턴(SD1)이 형성될 수 있다. 제1 소스/드레인 패턴들(SD1)이 형성됨에 따라, 한 쌍의 제1 소스/드레인 패턴들(SD1) 사이에 제1 채널 패턴(CH1)이 정의될 수 있다. 일 예로, 상기 선택적 에피택시얼 성장 공정은 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정 또는 분자 빔 에피택시(Molecular Beam Epitaxy: MBE) 공정을 포함할 수 있다. 제1 소스/드레인 패턴들(SD1)은 기판(100)의 반도체 원소의 격자 상수보다 큰 격자 상수를 갖는 반도체 원소(예를 들어, SiGe)를 포함할 수 있다. 각각의 제1 소스/드레인 패턴들(SD1)은 다층의 반도체 층들로 형성될 수 있다.A first source/drain pattern SD1 may be formed by performing a selective epitaxial growth process using an inner wall of the first recess of the first active pattern AP1 as a seed layer. As the first source/drain patterns SD1 are formed, a first channel pattern CH1 may be defined between the pair of first source/drain patterns SD1 . For example, the selective epitaxial growth process may include a chemical vapor deposition (CVD) process or a molecular beam epitaxy (MBE) process. The first source/drain patterns SD1 may include a semiconductor element (eg, SiGe) having a lattice constant greater than a lattice constant of the semiconductor element of the substrate 100 . Each of the first source/drain patterns SD1 may be formed of multi-layered semiconductor layers.

일 예로, 제1 소스/드레인 패턴들(SD1)을 형성하기 위한 선택적 에피택시얼 성장 공정 동안 불순물이 인-시추(in-situ)로 주입될 수 있다. 다른 예로, 제1 소스/드레인 패턴들(SD1)이 형성된 후 제1 소스/드레인 패턴들(SD1)에 불순물이 주입될 수 있다. 제1 소스/드레인 패턴들(SD1)은 제1 도전형(예를 들어, p형)을 갖도록 도핑될 수 있다.For example, impurities may be implanted in-situ during a selective epitaxial growth process for forming the first source/drain patterns SD1 . As another example, after the first source/drain patterns SD1 are formed, impurities may be implanted into the first source/drain patterns SD1 . The first source/drain patterns SD1 may be doped to have a first conductivity type (eg, p-type).

제2 활성 패턴(AP2)의 상부에 제2 소스/드레인 패턴들(SD2)이 형성될 수 있다. 한 쌍의 제2 소스/드레인 패턴들(SD2)은, 희생 패턴들(PP) 각각의 양측에 형성될 수 있다.Second source/drain patterns SD2 may be formed on the second active pattern AP2 . A pair of second source/drain patterns SD2 may be formed on both sides of each of the sacrificial patterns PP.

구체적으로, 마스크 패턴들(MA) 및 게이트 스페이서들(GS)을 식각 마스크로 제2 활성 패턴(AP2)의 상부를 식각하여, 제2 리세스들을 형성할 수 있다. 제2 활성 패턴(AP2)의 상기 제2 리세스의 내측벽을 씨드층으로 하는 선택적 에피택시얼 성장 공정을 수행하여, 제2 소스/드레인 패턴(SD2)이 형성될 수 있다. 제2 소스/드레인 패턴들(SD2)이 형성됨에 따라, 한 쌍의 제2 소스/드레인 패턴들(SD2) 사이에 제2 채널 패턴(CH2)이 정의될 수 있다. 일 예로, 제2 소스/드레인 패턴들(SD2)은 기판(100)과 동일한 반도체 원소(예를 들어, Si)를 포함할 수 있다. 제2 소스/드레인 패턴들(SD2)은 제2 도전형(예를 들어, n형)을 갖도록 도핑될 수 있다.In detail, an upper portion of the second active pattern AP2 may be etched using the mask patterns MA and the gate spacers GS as an etch mask to form second recesses. A second source/drain pattern SD2 may be formed by performing a selective epitaxial growth process using an inner wall of the second recess of the second active pattern AP2 as a seed layer. As the second source/drain patterns SD2 are formed, a second channel pattern CH2 may be defined between the pair of second source/drain patterns SD2 . For example, the second source/drain patterns SD2 may include the same semiconductor element (eg, Si) as the substrate 100 . The second source/drain patterns SD2 may be doped to have a second conductivity type (eg, n-type).

제1 소스/드레인 패턴들(SD1)과 제2 소스/드레인 패턴들(SD2)은 서로 다른 공정을 통하여 순차적으로 형성될 수 있다. 다시 말하면, 제1 소스/드레인 패턴들(SD1)과 제2 소스/드레인 패턴들(SD2)은 동시에 형성되지 않을 수 있다.The first source/drain patterns SD1 and the second source/drain patterns SD2 may be sequentially formed through different processes. In other words, the first source/drain patterns SD1 and the second source/drain patterns SD2 may not be formed at the same time.

제3 활성 패턴(AP3)의 상부에 제3 소스/드레인 패턴들(SD3)이 형성될 수 있다. 한 쌍의 제3 소스/드레인 패턴들(SD3)은, 롱 희생 패턴(LPP)의 양측에 각각 형성될 수 있다. 예를 들어, 제3 소스/드레인 패턴들(SD3)은 앞서 설명한 제1 소스/드레인 패턴들(SD1)과 함께 형성될 수 있다. Third source/drain patterns SD3 may be formed on the third active pattern AP3 . A pair of third source/drain patterns SD3 may be respectively formed on both sides of the long sacrificial pattern LPP. For example, the third source/drain patterns SD3 may be formed together with the above-described first source/drain patterns SD1 .

도 14 및 도 15a 내지 도 15e를 참조하면, 제1 및 제2 소스/드레인 패턴들(SD1, SD2), 마스크 패턴들(MA) 및 게이트 스페이서들(GS)을 덮는 제1 층간 절연막(110)이 형성될 수 있다. 일 예로, 제1 층간 절연막(110)은 실리콘 산화막을 포함할 수 있다.14 and 15A to 15E , the first interlayer insulating layer 110 covering the first and second source/drain patterns SD1 and SD2, the mask patterns MA, and the gate spacers GS. can be formed. For example, the first interlayer insulating layer 110 may include a silicon oxide layer.

희생 패턴들(PP) 및 롱 희생 패턴(LPP)의 상면들이 노출될 때까지 제1 층간 절연막(110)이 평탄화될 수 있다. 제1 층간 절연막(110)의 평탄화는 에치백(Etch Back) 또는 CMP(Chemical Mechanical Polishing) 공정을 이용하여 수행될 수 있다. 상기 평탄화 공정 동안, 마스크 패턴들(MA)은 모두 제거될 수 있다. 결과적으로, 제1 층간 절연막(110)의 상면은 희생 패턴들(PP)의 상면들, 롱 희생 패턴(LPP)의 상면 및 게이트 스페이서들(GS)의 상면들과 공면을 이룰 수 있다.The first interlayer insulating layer 110 may be planarized until top surfaces of the sacrificial patterns PP and the long sacrificial pattern LPP are exposed. The planarization of the first interlayer insulating layer 110 may be performed using an etch back process or a chemical mechanical polishing (CMP) process. During the planarization process, all of the mask patterns MA may be removed. As a result, a top surface of the first interlayer insulating layer 110 may be coplanar with top surfaces of the sacrificial patterns PP, a top surface of the long sacrificial pattern LPP, and top surfaces of the gate spacers GS.

제1 및 제2 싱글 하이트 셀들(SHC1, SHC2) 각각의 제2 방향(D2)에 평행한 경계 상에 게이트 커팅 패턴들(CT)이 형성될 수 있다. 구체적으로, 포토리소그래피 공정을 이용하여 게이트 커팅 패턴들(CT)이 형성될 위치를 정의하는 개구부를 포함하는 마스크 막이 형성될 수 있다. 상기 개구부에 의해 노출된 희생 패턴(PP)을 식각 공정을 이용해 선택적으로 제거할 수 있다. 희생 패턴(PP)이 제거된 영역을 절연 물질로 채움으로써 게이트 커팅 패턴(CT)이 형성될 수 있다. 한편, 마스크 막에 의해 덮인 희생 패턴들(PP)은 제거되지 않고 잔류할 수 있다. 후속으로, 상기 마스크 막이 선택적으로 제거될 수 있다.Gate cutting patterns CT may be formed on a boundary parallel to each of the first and second single height cells SHC1 and SHC2 in the second direction D2 . Specifically, a mask layer including an opening defining a position where the gate cutting patterns CT is to be formed may be formed using a photolithography process. The sacrificial pattern PP exposed by the opening may be selectively removed using an etching process. The gate cutting pattern CT may be formed by filling the region from which the sacrificial pattern PP is removed with an insulating material. Meanwhile, the sacrificial patterns PP covered by the mask layer may remain without being removed. Subsequently, the mask film may be selectively removed.

도 16 및 도 17a 내지 도 17e를 참조하면, 잔류하는 희생 패턴들(PP)이 게이트 전극들(GE)로 교체될 수 있다. 롱 희생 패턴(LPP)이 롱 게이트 전극(LGE)으로 교체될 수 있다. 구체적으로, 노출된 희생 패턴(PP)이 선택적으로 제거될 수 있다. 희생 패턴(PP)이 제거됨으로써 빈 공간이 형성될 수 있다. 상기 빈 공간 내에 게이트 절연막(GI) 및 게이트 전극(GE)이 형성될 수 있다. 롱 게이트 전극(LGE) 역시 게이트 전극(GE)과 동일한 방식으로 형성될 수 있다. 16 and 17A to 17E , the remaining sacrificial patterns PP may be replaced with the gate electrodes GE. The long sacrificial pattern LPP may be replaced with the long gate electrode LGE. Specifically, the exposed sacrificial pattern PP may be selectively removed. An empty space may be formed by removing the sacrificial pattern PP. A gate insulating layer GI and a gate electrode GE may be formed in the empty space. The long gate electrode LGE may also be formed in the same manner as the gate electrode GE.

도 18a, 19a, 20a, 21a, 22a 및 23a는 도 17a의 M 영역이 형성되는 방법을 설명하기 위한 확대도들이다. 도 18b, 19b, 20b, 21b, 22b 및 23b는 도 17e의 N 영역이 형성되는 방법을 설명하기 위한 확대도들이다. 18A, 19A, 20A, 21A, 22A, and 23A are enlarged views for explaining a method in which region M of FIG. 17A is formed. 18B, 19B, 20B, 21B, 22B, and 23B are enlarged views for explaining a method in which the N region of FIG. 17E is formed.

도 18a 및 도 18b를 참조하면, 희생 패턴(PP)이 선택적으로 제거됨으로써 제1 빈 공간(ETS1)이 정의될 수 있다. 롱 희생 패턴(LPP)이 선택적으로 제거됨으로써 제2 빈 공간(ETS2)이 정의될 수 있다. 18A and 18B , the first empty space ETS1 may be defined by selectively removing the sacrificial pattern PP. The second empty space ETS2 may be defined by selectively removing the long sacrificial pattern LPP.

각각의 제1 및 제2 빈 공간들(ETS1, ETS2) 내에 게이트 절연막(GI), 제1 금속 패턴(MEP1), 및 제2 금속 패턴(MEP2)이 순차적으로 형성될 수 있다. 제2 빈 공간(ETS2) 내에 제3 금속 패턴(MEP3)이 더 형성될 수 있다. 제1 내지 제3 금속 패턴들(MEP1, MEP2, MEP3)은 원자층 증착 공정, 화학 기상 증착 공정 및/또는 물리적 기상 증착 공정을 이용하여 형성될 수 있다.A gate insulating layer GI, a first metal pattern MEP1, and a second metal pattern MEP2 may be sequentially formed in each of the first and second empty spaces ETS1 and ETS2. A third metal pattern MEP3 may be further formed in the second empty space ETS2 . The first to third metal patterns MEP1 , MEP2 , and MEP3 may be formed using an atomic layer deposition process, a chemical vapor deposition process, and/or a physical vapor deposition process.

게이트 절연막(GI)은 실리콘 산화막, 고유전막, 또는 이들의 조합을 포함할 수 있다. 제1 금속 패턴(MEP1)은, 상대적으로 일함수가 높은 금속 질화물을 포함할 수 있다. 제2 금속 패턴(MEP2)은, 상대적으로 일함수가 낮은 금속 카바이드를 포함할 수 있다. 제3 금속 패턴(MEP3)은 저저항 금속을 포함할 수 있다.The gate insulating layer GI may include a silicon oxide layer, a high-k layer, or a combination thereof. The first metal pattern MEP1 may include a metal nitride having a relatively high work function. The second metal pattern MEP2 may include metal carbide having a relatively low work function. The third metal pattern MEP3 may include a low-resistance metal.

도 19a 및 도 19b를 참조하면, 각각의 제1 및 제2 빈 공간들(ETS1, ETS2) 내의 게이트 절연막(GI), 제1 금속 패턴(MEP1), 및 제2 금속 패턴(MEP2)이 선택적으로 리세스될 수 있다. 다만, 제3 금속 패턴(MEP3)은 그의 상부가 상대적으로 조금만 리세스될 수 있다. 19A and 19B , the gate insulating layer GI, the first metal pattern MEP1, and the second metal pattern MEP2 in each of the first and second empty spaces ETS1 and ETS2 are selectively formed. can be recessed. However, an upper portion of the third metal pattern MEP3 may be slightly recessed.

제2 빈 공간(ETS2)의 폭이 제1 빈 공간(ETS1)의 폭보다 더 크므로, 제2 빈 공간(ETS2) 내의 제1 및 제2 금속 패턴들(MEP1, MEP2)은, 제1 빈 공간(ETS1) 내의 제1 및 제2 금속 패턴들(MEP1, MEP2)보다 더 많이 리세스될 수 있다. Since the width of the second empty space ETS2 is greater than the width of the first empty space ETS1 , the first and second metal patterns MEP1 and MEP2 in the second empty space ETS2 are formed in the first empty space ETS2 . More recesses than the first and second metal patterns MEP1 and MEP2 in the space ETS1 may be formed.

도 20a 및 도 20b를 참조하면, 제2 빈 공간(ETS2)을 채우되 제1 빈 공간(ETS1)을 노출하는 마스크막(MAL)이 형성될 수 있다. 마스크막(MAL)에 의해 노출된 제1 영역(RG1) 상의 제1 스페이서(GS1)가 선택적으로 리세스될 수 있다. 제1 스페이서(GS1)의 상면은 제1 및 제2 금속 패턴들(MEP1, MEP2)의 상면들과 실질적으로 동일한 높이에 위치하거나 더 낮아질 수 있다. 제1 스페이서(GS1)가 리세스되는 동안, 제1 영역(RG1) 상의 제2 스페이서(GS2) 역시 살짝 리세스될 수 있다.20A and 20B , a mask layer MAL may be formed to fill the second empty space ETS2 and expose the first empty space ETS1 . The first spacer GS1 on the first region RG1 exposed by the mask layer MAL may be selectively recessed. A top surface of the first spacer GS1 may be positioned substantially at the same height or lower than the top surfaces of the first and second metal patterns MEP1 and MEP2 . While the first spacer GS1 is recessed, the second spacer GS2 on the first region RG1 may also be slightly recessed.

한편, 제2 영역(RG2) 상의 제1 및 제2 스페이서들(GS1, GS2)은 마스크막(MAL)에 의해 보호되어 리세스되지 않고 그대로 잔류할 수 있다.Meanwhile, the first and second spacers GS1 and GS2 on the second region RG2 may be protected by the mask layer MAL and remain without being recessed.

도 21a 및 도 21b를 참조하면, 마스크막(MAL)이 선택적으로 제거될 수 있다. 각각의 제1 및 제2 빈 공간들(ETS1, ETS2) 내에 블로킹 막(BLL)이 콘포멀하게 형성될 수 있다. 블로킹 막(BLL)은 원자층 증착 공정 또는 화학 기상 증착 공정을 이용하여 형성될 수 있다. 블로킹 막(BLL)은 1nm 내지 4nm의 두께로 형성될 수 있다. 블로킹 막(BLL)은 폴리실리콘을 포함할 수 있다. 21A and 21B , the mask layer MAL may be selectively removed. A blocking layer BLL may be conformally formed in each of the first and second empty spaces ETS1 and ETS2 . The blocking layer BLL may be formed using an atomic layer deposition process or a chemical vapor deposition process. The blocking layer BLL may be formed to a thickness of 1 nm to 4 nm. The blocking layer BLL may include polysilicon.

도 22a 및 도 22b를 참조하면, 블로킹 막(BLL)에 대해 챔퍼링 공정 및/또는 이방성 식각 공정을 수행하여 스페이서 형태의 블로킹 패턴(BLP)이 형성될 수 있다. 제1 빈 공간(ETS1) 내에서, 블로킹 패턴(BLP)은 제1 스페이서(GS1)의 상면으로부터 제2 스페이서(GS2)의 내측벽을 따라 수직하게 연장되는 형태로 형성될 수 있다. 제2 빈 공간(ETS2) 내에서, 블로킹 패턴(BLP)은 제1 금속 패턴(MEP1)의 상면으로부터 제1 스페이서(GS1)의 내측벽을 따라 수직하게 연장되는 형태로 형성될 수 있다.22A and 22B , a spacer-shaped blocking pattern BLP may be formed by performing a chamfering process and/or an anisotropic etching process on the blocking layer BLL. In the first empty space ETS1 , the blocking pattern BLP may be formed to extend vertically from the top surface of the first spacer GS1 along the inner wall of the second spacer GS2 . In the second empty space ETS2 , the blocking pattern BLP may be formed to extend vertically from the top surface of the first metal pattern MEP1 along the inner wall of the first spacer GS1 .

도 23a 및 도 23b를 참조하면, 각각의 제1 및 제2 빈 공간들(ETS1, ETS2) 내에 게이트 캐핑 패턴(GP)이 형성될 수 있다. 제1 빈 공간(ETS1) 내에서, 게이트 캐핑 패턴(GP)은 제2 스페이서(GS2)의 상면 및 블로킹 패턴(BLP)의 상면을 덮도록 형성될 수 있다. 제2 빈 공간(ETS2) 내에서, 게이트 캐핑 패턴(GP)은 블로킹 패턴(BLP)의 상면 및 제3 금속 패턴(MEP3)을 덮도록 형성될 수 있다. 23A and 23B , a gate capping pattern GP may be formed in each of the first and second empty spaces ETS1 and ETS2 . In the first empty space ETS1 , the gate capping pattern GP may be formed to cover the upper surface of the second spacer GS2 and the upper surface of the blocking pattern BLP. In the second empty space ETS2 , the gate capping pattern GP may be formed to cover the upper surface of the blocking pattern BLP and the third metal pattern MEP3 .

다시 도 4 및 도 5a 내지 도 5e를 참조하면, Referring again to Figures 4 and 5a to 5e,

제1 층간 절연막(110) 상에 제2 층간 절연막(120)이 형성될 수 있다. 제2 층간 절연막(120)은 실리콘 산화막을 포함할 수 있다. 제1 싱글 하이트 셀(SHC1)의 양 측에, 한 쌍의 분리 구조체들(DB)이 각각 형성될 수 있다. 분리 구조체들(DB)은, 제1 싱글 하이트 셀(SHC1)의 상기 양 측에 각각 형성된 게이트 전극들(GE)과 중첩되도록 형성될 수 있다. 구체적으로, 분리 구조체들(DB)을 형성하는 것은, 제1 및 제2 층간 절연막들(110, 120) 및 게이트 전극(GE)을 관통하여, 제1 및 제2 활성 패턴들(AP1, AP2) 내부로 연장되는 홀을 형성하는 것, 및 상기 홀에 절연막을 채우는 것을 포함할 수 있다. A second interlayer insulating layer 120 may be formed on the first interlayer insulating layer 110 . The second interlayer insulating layer 120 may include a silicon oxide layer. A pair of separation structures DB may be respectively formed on both sides of the first single height cell SHC1 . The isolation structures DB may be formed to overlap the gate electrodes GE respectively formed on both sides of the first single height cell SHC1 . Specifically, the formation of the isolation structures DB penetrates the first and second interlayer insulating layers 110 and 120 and the gate electrode GE to form the first and second active patterns AP1 and AP2 . It may include forming a hole extending inward, and filling the hole with an insulating film.

제2 층간 절연막(120) 및 제1 층간 절연막(110)을 관통하여 제1 내지 제3 소스/드레인 패턴들(SD1, SD2, SD3)과 전기적으로 연결되는 활성 콘택들(AC)이 형성될 수 있다. 활성 콘택들(AC) 각각의 상부의 일부를 절연 물질로 교체하여, 상부 절연 패턴(UIP)이 형성될 수 있다. 제2 층간 절연막(120) 및 게이트 캐핑 패턴(GP)을 관통하여 게이트 전극(GE) 및 롱 게이트 전극(LGE) 각각과 전기적으로 연결되는 게이트 콘택(GC)이 형성될 수 있다. Active contacts AC electrically connected to the first to third source/drain patterns SD1 , SD2 , and SD3 may be formed through the second interlayer insulating layer 120 and the first interlayer insulating layer 110 . have. An upper insulating pattern UIP may be formed by replacing a portion of an upper portion of each of the active contacts AC with an insulating material. A gate contact GC electrically connected to each of the gate electrode GE and the long gate electrode LGE may be formed through the second interlayer insulating layer 120 and the gate capping pattern GP.

게이트 전극(GE) 상에 게이트 콘택(GC)을 형성하는 동안, 앞서 도 8을 참조하여 설명한 바와 같이 과식각에 의한 확장부(EXP)가 형성되는 문제가 발생할 수 있다. 그러나 본 발명의 실시예들에 따르면, 폴리실리콘으로 이루어진 한 쌍의 블로킹 패턴들(BLP)이 게이트 콘택(GC)에 확장부(EXP)가 형성되는 불량을 방지할 수 있다. 이로써 본 발명에 따른 제조 방법은, 게이트 콘택(GC)과 소스/드레인 패턴(SD1, SD2)간의 쇼트가 발생되는 것을 방지하고 소자의 신뢰성을 향상시킬 수 있다.While forming the gate contact GC on the gate electrode GE, as described above with reference to FIG. 8 , a problem in which the extension EXP is formed by over-etching may occur. However, according to embodiments of the present invention, the pair of blocking patterns BLP made of polysilicon may prevent a defect in which the extension portion EXP is formed in the gate contact GC. Accordingly, the manufacturing method according to the present invention can prevent a short circuit between the gate contact GC and the source/drain patterns SD1 and SD2 from occurring and improve device reliability.

제2 층간 절연막(120) 상에 제3 층간 절연막(130)이 형성될 수 있다. 제3 층간 절연막(130) 내에 제1 금속 층(M1)이 형성될 수 있다. 제1 금속 층(M1)을 형성하는 것은, 제1 파워 배선(M1_R1), 제2 파워 배선(M1_R2), 제3 파워 배선(M1_R3) 및 제1 배선들(M1_I)을 형성하는 것을 포함할 수 있다.A third interlayer insulating layer 130 may be formed on the second interlayer insulating layer 120 . A first metal layer M1 may be formed in the third interlayer insulating layer 130 . Forming the first metal layer M1 may include forming the first power wiring M1_R1, the second power wiring M1_R2, the third power wiring M1_R3, and the first wirings M1_I. have.

제1 금속 층(M1) 상에 제4 층간 절연막(140)이 형성될 수 있다. 제4 층간 절연막(140) 내에 제2 금속 층(M2)이 형성될 수 있다. 제2 금속 층(M2)을 형성하는 것은, 제2 배선들(M2_I)을 형성하는 것을 포함할 수 있다. 일 예로, 제2 배선들(M2_I)은 듀얼 다마신 공정을 통해 형성될 수 있다.A fourth interlayer insulating layer 140 may be formed on the first metal layer M1 . A second metal layer M2 may be formed in the fourth interlayer insulating layer 140 . Forming the second metal layer M2 may include forming the second interconnections M2_I. For example, the second interconnections M2_I may be formed through a dual damascene process.

본 발명의 일 실시예에 따르면, 제1 금속 층(M1) 및/또는 제2 금속 층(M2) 내의 상기 배선들을 형성하는 것은, EUV를 이용한 리소그래피 공정을 포함할 수 있다. 배선 형성 공정, 즉 BEOL 공정에 사용되는 EUV 리소그래피에 관한 상세한 설명은, 앞서 희생 패턴들(PP)을 형성하는 방법에서 설명한 것과 실질적으로 동일할 수 있다. 예를 들어, 본 실시예의 EUV 리소그래피 공정으로 구현되는 제1 배선들(M1_I) 사이의 최소 피치는 45nm 이하일 수 있다.According to an embodiment of the present invention, forming the wirings in the first metal layer M1 and/or the second metal layer M2 may include a lithography process using EUV. A detailed description of the EUV lithography used in the wiring forming process, that is, the BEOL process, may be substantially the same as that described in the method of forming the sacrificial patterns PP. For example, the minimum pitch between the first interconnections M1_I implemented by the EUV lithography process of the present embodiment may be 45 nm or less.

도 24a 내지 도 24e는 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 것으로, 각각 도 4의 A-A'선, B-B'선, C-C'선, D-D'선 및 E-E'선에 따른 단면도들이다. 본 실시예에서는, 앞서 도 4 및 도 5a 내지 도 5e를 참조하여 설명한 것과 중복되는 기술적 특징에 대한 상세한 설명은 생략하고, 차이점에 대해 상세히 설명한다.24A to 24E are for explaining a semiconductor device according to embodiments of the present invention, and are, respectively, lines A-A', B-B', C-C', D-D' and They are cross-sectional views taken along line E-E'. In the present embodiment, detailed descriptions of technical features overlapping with those previously described with reference to FIGS. 4 and 5A to 5E will be omitted, and differences will be described in detail.

도 4 및 도 24a 내지 도 24e를 참조하면, 소자 분리막(ST)은 기판(100)의 상부에 제1 활성 패턴(AP1), 제2 활성 패턴(AP2) 및 제3 활성 패턴(AP3)을 정의할 수 있다. 제1 활성 패턴(AP1)은 각각의 제1 PMOSFET 영역(PR1) 및 제2 PMOSFET 영역(PR2) 상에 정의될 수 있고, 제2 활성 패턴(AP2)은 각각의 제1 NMOSFET 영역(NR1) 및 제2 NMOSFET 영역(NR2) 상에 정의될 수 있으며, 제3 활성 패턴(AP3)은 각각의 제3 PMOSFET 영역(PR3) 및 제4 PMOSFET 영역(PR4) 상에 정의될 수 있다.4 and 24A to 24E , the device isolation layer ST defines a first active pattern AP1 , a second active pattern AP2 , and a third active pattern AP3 on the substrate 100 . can do. The first active pattern AP1 may be defined on each of the first PMOSFET region PR1 and the second PMOSFET region PR2 , and the second active pattern AP2 includes each of the first NMOSFET region NR1 and It may be defined on the second NMOSFET region NR2 , and the third active pattern AP3 may be defined on each of the third PMOSFET region PR3 and the fourth PMOSFET region PR4 .

제1 활성 패턴(AP1)은 그의 상부에 제1 채널 패턴(CH1)을 포함할 수 있다. 제2 활성 패턴(AP2)은 그의 상부에 제2 채널 패턴(CH2)을 포함할 수 있다. 제3 활성 패턴(AP3)은 그의 상부에 제3 채널 패턴(CH3)을 포함할 수 있다. The first active pattern AP1 may include a first channel pattern CH1 thereon. The second active pattern AP2 may include a second channel pattern CH2 on the second active pattern AP2 . The third active pattern AP3 may include a third channel pattern CH3 disposed thereon.

제1 내지 제3 채널 패턴들(CH1, CH2, CH3) 각각은, 순차적으로 적층된 제1 반도체 패턴(SP1), 제2 반도체 패턴(SP2) 및 제3 반도체 패턴(SP3)을 포함할 수 있다. 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)은 수직적 방향(즉, 제3 방향(D3))으로 서로 이격될 수 있다. 제3 채널 패턴(CH3)의 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)은, 제2 방향(D2)으로 상대적으로 긴 길이를 가지므로 위 또는 아래로 휘어질 수도 있다.Each of the first to third channel patterns CH1 , CH2 , and CH3 may include a first semiconductor pattern SP1 , a second semiconductor pattern SP2 , and a third semiconductor pattern SP3 sequentially stacked. . The first to third semiconductor patterns SP1 , SP2 , and SP3 may be spaced apart from each other in a vertical direction (ie, the third direction D3 ). Since the first to third semiconductor patterns SP1 , SP2 , and SP3 of the third channel pattern CH3 have a relatively long length in the second direction D2 , they may be bent upward or downward.

제1 내지 제3 반도체 패턴들(SP1, SP2, SP3) 각각은 실리콘(Si), 게르마늄(Ge) 또는 실리콘-게르마늄(SiGe)을 포함할 수 있다. 바람직하기로, 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3) 각각은 결정질 실리콘(crystalline silicon)을 포함할 수 있다.Each of the first to third semiconductor patterns SP1 , SP2 , and SP3 may include silicon (Si), germanium (Ge), or silicon-germanium (SiGe). Preferably, each of the first to third semiconductor patterns SP1 , SP2 , and SP3 may include crystalline silicon.

제1 활성 패턴(AP1)은 제1 소스/드레인 패턴들(SD1)을 더 포함할 수 있다. 서로 인접하는 한 쌍의 제1 소스/드레인 패턴들(SD1) 사이에, 제1 채널 패턴(CH1)의 적층된 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)이 개재될 수 있다. 적층된 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)은, 서로 인접하는 한 쌍의 제1 소스/드레인 패턴들(SD1)을 연결할 수 있다.The first active pattern AP1 may further include first source/drain patterns SD1 . The stacked first to third semiconductor patterns SP1 , SP2 , and SP3 of the first channel pattern CH1 may be interposed between a pair of adjacent first source/drain patterns SD1 . The stacked first to third semiconductor patterns SP1 , SP2 , and SP3 may connect a pair of adjacent first source/drain patterns SD1 to each other.

제2 활성 패턴(AP2)은 제2 소스/드레인 패턴들(SD2)을 더 포함할 수 있다. 서로 인접하는 한 쌍의 제2 소스/드레인 패턴들(SD2) 사이에, 제2 채널 패턴(CH2)의 적층된 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)이 개재될 수 있다. 적층된 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)은, 서로 인접하는 한 쌍의 제2 소스/드레인 패턴들(SD2)을 연결할 수 있다.The second active pattern AP2 may further include second source/drain patterns SD2 . The stacked first to third semiconductor patterns SP1 , SP2 , and SP3 of the second channel pattern CH2 may be interposed between a pair of adjacent second source/drain patterns SD2 . The stacked first to third semiconductor patterns SP1 , SP2 , and SP3 may connect a pair of adjacent second source/drain patterns SD2 to each other.

제3 활성 패턴(AP3)은 제3 소스/드레인 패턴들(SD3)을 더 포함할 수 있다. 서로 인접하는 한 쌍의 제3 소스/드레인 패턴들(SD3) 사이에, 제3 채널 패턴(CH3)의 적층된 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)이 개재될 수 있다. 적층된 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)은, 서로 인접하는 한 쌍의 제3 소스/드레인 패턴들(SD3)을 연결할 수 있다.The third active pattern AP3 may further include third source/drain patterns SD3 . The stacked first to third semiconductor patterns SP1 , SP2 , and SP3 of the third channel pattern CH3 may be interposed between a pair of third source/drain patterns SD3 adjacent to each other. The stacked first to third semiconductor patterns SP1 , SP2 , and SP3 may connect a pair of adjacent third source/drain patterns SD3 to each other.

제1 및 제2 채널 패턴들(CH1, CH2)을 가로지르며 제1 방향(D1)으로 연장되는 게이트 전극들(GE)이 제공될 수 있다. 게이트 전극(GE)은 제1 및 제2 채널 패턴들(CH1, CH2)과 수직적으로 중첩될 수 있다. 게이트 전극(GE)의 양 측벽들 상에 한 쌍의 게이트 스페이서들(GS)이 배치될 수 있다. 게이트 전극(GE) 상에 게이트 캐핑 패턴(GP)이 제공될 수 있다. 제3 채널 패턴(CH3)을 가로지르며 제1 방향(D1)으로 연장되는 롱 게이트 전극(LGE)이 제공될 수 있다. Gate electrodes GE crossing the first and second channel patterns CH1 and CH2 and extending in the first direction D1 may be provided. The gate electrode GE may vertically overlap the first and second channel patterns CH1 and CH2. A pair of gate spacers GS may be disposed on both sidewalls of the gate electrode GE. A gate capping pattern GP may be provided on the gate electrode GE. A long gate electrode LGE may be provided that crosses the third channel pattern CH3 and extends in the first direction D1 .

도 24d를 다시 참조하면, 게이트 전극(GE)은, 제1 및 제2 채널 패턴들(CH1, CH2) 각각의 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)을 둘러쌀 수 있다. 본 실시예에 따른 트랜지스터는, 게이트 전극(GE)이 채널(CH1, CH2)을 3차원적으로 둘러싸는 3차원 전계 효과 트랜지스터(예를 들어, MBCFET 또는 GAAFET)일 수 있다. 구체적으로, 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3) 각각은, 제2 상면(TS2), 서로 대향하는 제2 측벽들(SW2) 및 바닥면(BS)을 포함할 수 있다. 게이트 전극(GE)은 제2 상면(TS2), 제2 측벽들(SW2) 및 바닥면(BS)을 커버할 수 있다. 각각의 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)과 게이트 전극(GE) 사이에 게이트 절연막(GI)이 제공될 수 있다. 게이트 절연막(GI)은 각각의 제1 및 제2 채널 패턴들(CH1, CH2)을 둘러쌀 수 있다.Referring back to FIG. 24D , the gate electrode GE may surround the first to third semiconductor patterns SP1 , SP2 , and SP3 of each of the first and second channel patterns CH1 and CH2 . The transistor according to the present embodiment may be a three-dimensional field effect transistor (eg, MBCFET or GAAFET) in which the gate electrode GE surrounds the channels CH1 and CH2 three-dimensionally. In detail, each of the first to third semiconductor patterns SP1 , SP2 , and SP3 may include a second top surface TS2 , second sidewalls SW2 facing each other, and a bottom surface BS. The gate electrode GE may cover the second top surface TS2 , the second sidewalls SW2 , and the bottom surface BS. A gate insulating layer GI may be provided between each of the first to third semiconductor patterns SP1 , SP2 , and SP3 and the gate electrode GE. The gate insulating layer GI may surround each of the first and second channel patterns CH1 and CH2.

제1 및 제2 NMOSFET 영역들(NR1, NR2) 상에서, 게이트 절연막(GI)과 제2 소스/드레인 패턴(SD2) 사이에 내측 스페이서(IP)가 개재될 수 있다. 게이트 전극(GE)은, 게이트 절연막(GI)과 내측 스페이서(IP)에 의해 제2 소스/드레인 패턴(SD2)으로부터 이격될 수 있다. 반면 제1 및 제2 PMOSFET 영역들(PR1, PR2) 상에서, 내측 스페이서(IP)는 생략될 수 있다.An inner spacer IP may be interposed between the gate insulating layer GI and the second source/drain pattern SD2 in the first and second NMOSFET regions NR1 and NR2 . The gate electrode GE may be spaced apart from the second source/drain pattern SD2 by the gate insulating layer GI and the inner spacer IP. On the other hand, in the first and second PMOSFET regions PR1 and PR2 , the inner spacer IP may be omitted.

본 발명의 실시예들에 따르면, 각각의 게이트 전극(GE) 및 롱 게이트 전극(LGE) 상에 한 쌍의 블로킹 패턴들(BLP)이 제공될 수 있다. 한 쌍의 블로킹 패턴들(BLP)은 게이트 콘택(GC)이 게이트 스페이서(GS)를 넘어 연장되는 것을 방지할 수 있다.According to embodiments of the present invention, a pair of blocking patterns BLP may be provided on each of the gate electrode GE and the long gate electrode LGE. The pair of blocking patterns BLP may prevent the gate contact GC from extending beyond the gate spacer GS.

기판(100)의 전면 상에 제1 층간 절연막(110) 및 제2 층간 절연막(120)이 제공될 수 있다. 제1 및 제2 층간 절연막들(110, 120)을 관통하여 제1 및 제2 소스/드레인 패턴들(SD1, SD2)에 각각 연결되는 활성 콘택들(AC)이 제공될 수 있다. 제2 층간 절연막(120) 및 게이트 캐핑 패턴(GP)을 관통하여 게이트 전극(GE) 및 롱 게이트 전극(LGE)에 각각 연결되는 게이트 콘택들(GC)이 제공될 수 있다. 활성 콘택들(AC) 및 게이트 콘택들(GC)에 대한 상세한 설명은, 앞서 도 4 및 도 5a 내지 도 5e를 참조하여 설명한 것과 실질적으로 동일할 수 있다.A first interlayer insulating layer 110 and a second interlayer insulating layer 120 may be provided on the entire surface of the substrate 100 . Active contacts AC may be provided through the first and second interlayer insulating layers 110 and 120 and respectively connected to the first and second source/drain patterns SD1 and SD2 . Gate contacts GC may be provided through the second interlayer insulating layer 120 and the gate capping pattern GP and respectively connected to the gate electrode GE and the long gate electrode LGE. Detailed descriptions of the active contacts AC and the gate contacts GC may be substantially the same as those described with reference to FIGS. 4 and 5A to 5E .

제2 층간 절연막(120) 상에 제3 층간 절연막(130)이 제공될 수 있다. 제3 층간 절연막(130) 상에 제4 층간 절연막(140)이 제공될 수 있다. 제3 층간 절연막(130) 내에 제1 금속 층(M1)이 제공될 수 있다. 제4 층간 절연막(140) 내에 제2 금속 층(M2)이 제공될 수 있다. 제1 금속 층(M1) 및 제2 금속 층(M2)에 대한 상세한 설명은, 앞서 도 4 및 도 5a 내지 도 5e를 참조하여 설명한 것과 실질적으로 동일할 수 있다.A third interlayer insulating layer 130 may be provided on the second interlayer insulating layer 120 . A fourth interlayer insulating layer 140 may be provided on the third interlayer insulating layer 130 . A first metal layer M1 may be provided in the third interlayer insulating layer 130 . A second metal layer M2 may be provided in the fourth interlayer insulating layer 140 . Detailed descriptions of the first metal layer M1 and the second metal layer M2 may be substantially the same as those described above with reference to FIGS. 4 and 5A to 5E .

이상, 첨부된 도면들을 참조하여 본 발명의 실시 예들을 설명하였지만, 본 발명은 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수도 있다. 그러므로 이상에서 기술한 실시 예들에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야 한다.As described above, embodiments of the present invention have been described with reference to the accompanying drawings, but the present invention may be embodied in other specific forms without changing the technical spirit or essential features thereof. Therefore, it should be understood that the embodiments described above are illustrative in all respects and not restrictive.

Claims (20)

기판 상의 제1 활성 패턴, 상기 제1 활성 패턴은 한 쌍의 제1 소스/드레인 패턴들 및 이들 사이의 제1 채널 패턴을 포함하고;
상기 제1 채널 패턴 상의 게이트 전극;
상기 게이트 전극의 측벽 상의 제1 게이트 스페이서, 상기 제1 게이트 스페이서는 제1 스페이서 및 제2 스페이서를 포함하며, 상기 제1 스페이서의 상면은 상기 제2 스페이서의 상면보다 낮고;
상기 제1 스페이서 상의 제1 블로킹 패턴; 및
상기 게이트 전극에 접속하는 게이트 콘택을 포함하되,
상기 제1 블로킹 패턴은 상기 게이트 콘택과 상기 제2 스페이서 사이에 개재되는 반도체 소자.
a first active pattern on a substrate, the first active pattern including a pair of first source/drain patterns and a first channel pattern therebetween;
a gate electrode on the first channel pattern;
a first gate spacer on a sidewall of the gate electrode, the first gate spacer comprising a first spacer and a second spacer, wherein an upper surface of the first spacer is lower than an upper surface of the second spacer;
a first blocking pattern on the first spacer; and
a gate contact connected to the gate electrode;
The first blocking pattern is interposed between the gate contact and the second spacer.
제1항에 있어서,
상기 제1 블로킹 패턴은 상기 제1 스페이서의 상기 상면으로부터 상기 제2 스페이서의 내측벽을 따라 수직하게 연장되는 반도체 소자.
According to claim 1,
The first blocking pattern extends vertically from the top surface of the first spacer along an inner wall of the second spacer.
제1항에 있어서,
상기 제1 블로킹 패턴의 바닥면은, 상기 게이트 콘택의 바닥면과 동일한 높이에 위치하거나 더 낮은 반도체 소자.
According to claim 1,
A bottom surface of the first blocking pattern is positioned at the same height or lower than a bottom surface of the gate contact.
제1항에 있어서,
상기 제1 블로킹 패턴은 상기 게이트 콘택의 측벽을 직접 덮는 반도체 소자.
According to claim 1,
The first blocking pattern directly covers a sidewall of the gate contact.
제1항에 있어서,
상기 제1 소스/드레인 패턴들 중 적어도 하나에 접속하는 활성 콘택을 더 포함하되,
상기 제1 블로킹 패턴은 상기 게이트 콘택과 상기 활성 콘택 사이에 위치하는 반도체 소자.
According to claim 1,
Further comprising an active contact connected to at least one of the first source / drain patterns,
The first blocking pattern is disposed between the gate contact and the active contact.
제1항에 있어서,
상기 제1 스페이서는 Si를 함유하는 저유전 물질을 포함하고,
상기 제2 스페이서는 Si를 함유하는 절연 물질을 포함하며,
상기 제1 블로킹 패턴은 폴리실리콘을 포함하는 반도체 소자.
According to claim 1,
The first spacer comprises a low-k material containing Si,
The second spacer comprises an insulating material containing Si,
The first blocking pattern is a semiconductor device including polysilicon.
제1항에 있어서,
상기 게이트 전극을 관통하는 게이트 커팅 패턴을 더 포함하되,
상기 게이트 전극은 상기 게이트 커팅 패턴의 측벽을 따라 수직하게 연장되는 수직 연장부를 포함하고,
상기 제1 블로킹 패턴은 상기 수직 연장부 상에 제공되는 반도체 소자.
According to claim 1,
Further comprising a gate cutting pattern penetrating the gate electrode,
The gate electrode includes a vertical extension extending vertically along a sidewall of the gate cutting pattern,
The first blocking pattern is a semiconductor device provided on the vertical extension.
제1항에 있어서,
상기 게이트 전극 상의 게이트 캐핑 패턴을 더 포함하되,
상기 게이트 캐핑 패턴은, 상기 제2 스페이서의 상기 상면 및 상기 제1 블로킹 패턴의 상면을 덮는 반도체 소자.
According to claim 1,
Further comprising a gate capping pattern on the gate electrode,
The gate capping pattern may cover the upper surface of the second spacer and the upper surface of the first blocking pattern.
제1항에 있어서,
상기 제1 블로킹 패턴의 두께는 1nm 내지 4nm인 반도체 소자.
According to claim 1,
The first blocking pattern has a thickness of 1 nm to 4 nm.
제1항에 있어서,
상기 기판 상의 제2 활성 패턴, 상기 제2 활성 패턴은 한 쌍의 제2 소스/드레인 패턴들 및 이들 사이의 제2 채널 패턴을 포함하고, 상기 제2 채널 패턴의 길이는 상기 제1 채널 패턴의 길이보다 길며;
상기 제2 채널 패턴 상의 롱 게이트 전극;
상기 롱 게이트 전극의 측벽 상의 제2 게이트 스페이서;
상기 롱 게이트 전극 상의 게이트 캐핑 패턴; 및
상기 게이트 캐핑 패턴과 상기 제2 게이트 스페이서 사이의 제2 블로킹 패턴을 더 포함하는 반도체 소자.
According to claim 1,
A second active pattern on the substrate and the second active pattern include a pair of second source/drain patterns and a second channel pattern therebetween, and the length of the second channel pattern is equal to that of the first channel pattern. longer than the length;
a long gate electrode on the second channel pattern;
a second gate spacer on a sidewall of the long gate electrode;
a gate capping pattern on the long gate electrode; and
A semiconductor device further comprising a second blocking pattern between the gate capping pattern and the second gate spacer.
기판 상의 활성 패턴, 상기 활성 패턴은 한 쌍의 소스/드레인 패턴들 및 이들 사이의 채널 패턴을 포함하고;
상기 채널 패턴 상의 게이트 전극;
상기 게이트 전극의 측벽 상의 게이트 스페이서;
상기 게이트 콘택 상의 게이트 캐핑 패턴;
상기 게이트 캐핑 패턴을 관통하여 상기 게이트 전극에 접속하는 게이트 콘택; 및
상기 게이트 콘택과 상기 게이트 스페이서 사이의 블로킹 패턴을 포함하되,
상기 블로킹 패턴은 상기 게이트 콘택의 측벽을 따라 연장되면서 상기 게이트 콘택이 상기 게이트 스페이서를 넘어가지 못하도록 가이드하고,
상기 블로킹 패턴은, 상기 게이트 스페이서와 식각 선택비를 갖는 물질을 포함하는 반도체 소자.
an active pattern on a substrate, the active pattern including a pair of source/drain patterns and a channel pattern therebetween;
a gate electrode on the channel pattern;
a gate spacer on a sidewall of the gate electrode;
a gate capping pattern on the gate contact;
a gate contact passing through the gate capping pattern and connecting to the gate electrode; and
a blocking pattern between the gate contact and the gate spacer;
the blocking pattern extends along sidewalls of the gate contact and guides the gate contact not to cross the gate spacer;
The blocking pattern may include a material having an etch selectivity to the gate spacer.
제11항에 있어서,
상기 게이트 스페이서는 제1 스페이서 및 제2 스페이서를 포함하며,
상기 제1 스페이서의 상면은 상기 제2 스페이서의 상면보다 낮고,
상기 블로킹 패턴은 상기 제1 스페이서의 상기 상면으로부터 상기 제2 스페이서의 내측벽을 따라 수직하게 연장되는 반도체 소자.
12. The method of claim 11,
The gate spacer includes a first spacer and a second spacer,
The upper surface of the first spacer is lower than the upper surface of the second spacer,
The blocking pattern extends vertically from the top surface of the first spacer along an inner wall of the second spacer.
제11항에 있어서,
상기 게이트 캐핑 패턴은, 상기 게이트 스페이서의 상면 및 상기 블로킹 패턴의 상면을 덮는 반도체 소자.
12. The method of claim 11,
The gate capping pattern may cover an upper surface of the gate spacer and an upper surface of the blocking pattern.
제11항에 있어서,
상기 게이트 전극을 관통하는 게이트 커팅 패턴을 더 포함하되,
상기 게이트 전극은 상기 게이트 커팅 패턴의 측벽을 따라 수직하게 연장되는 수직 연장부를 포함하고,
상기 블로킹 패턴은 상기 수직 연장부 상에 제공되는 반도체 소자.
12. The method of claim 11,
Further comprising a gate cutting pattern penetrating the gate electrode,
The gate electrode includes a vertical extension extending vertically along a sidewall of the gate cutting pattern,
The blocking pattern is a semiconductor device provided on the vertical extension.
제11항에 있어서,
상기 소스/드레인 패턴들 중 적어도 하나에 접속하는 활성 콘택을 더 포함하되,
상기 블로킹 패턴은 상기 게이트 콘택과 상기 활성 콘택 사이에 위치하는 반도체 소자.
12. The method of claim 11,
Further comprising an active contact connected to at least one of the source/drain patterns,
The blocking pattern is disposed between the gate contact and the active contact.
제1 방향으로 서로 이격된 PMOSFET 영역 및 NMOSFET 영역을 포함하는 기판;
상기 PMOSFET 영역 상의 제1 활성 패턴 및 상기 NMOSFET 영역 상의 제2 활성 패턴;
상기 제1 및 제2 활성 패턴들의 하부 측벽들을 덮는 소자 분리막, 상기 제1 및 제2 활성 패턴들 각각의 상부는 상기 소자 분리막 위로 돌출되고;
상기 제1 및 제2 활성 패턴들을 가로지르며 상기 제1 방향으로 연장되는 게이트 전극;
상기 제1 및 제2 활성 패턴들의 상기 상부들에 각각 제공된 제1 소스/드레인 패턴 및 제2 소스/드레인 패턴, 상기 제1 및 제2 소스/드레인 패턴들 각각은 상기 게이트 전극의 일 측에 인접하고;
상기 게이트 전극과 상기 제1 및 제2 활성 패턴들 사이에 개재된 게이트 절연막;
상기 게이트 전극의 측벽 상의 게이트 스페이서;
상기 게이트 전극의 상면 상의 게이트 캐핑 패턴;
상기 게이트 전극을 관통하는 게이트 커팅 패턴;
상기 게이트 캐핑 패턴 및 상기 게이트 커팅 패턴 상의 층간 절연막;
상기 층간 절연막을 관통하여 상기 제1 및 제2 소스/드레인 패턴들 중 적어도 하나와 전기적으로 연결되는 활성 콘택;
상기 층간 절연막 및 상기 게이트 캐핑 패턴을 관통하여, 상기 게이트 전극과 전기적으로 연결되는 게이트 콘택;
상기 게이트 콘택과 인접하는 상기 활성 콘택의 상부에 제공된 상부 절연 패턴;
상기 게이트 콘택과 상기 게이트 스페이서 사이의 블로킹 패턴;
상기 층간 절연막 상의 제1 금속 층, 상기 제1 금속 층은 상기 게이트 커팅 패턴과 수직적으로 중첩되는 파워 배선, 및 상기 활성 콘택 및 상기 게이트 콘택에 각각 전기적으로 연결되는 제1 배선들을 포함하고; 및
상기 제1 금속 층 상의 제2 금속 층을 포함하되,
상기 제2 금속 층은 상기 제1 금속 층과 전기적으로 연결되는 제2 배선들을 포함하고,
상기 블로킹 패턴은 상기 게이트 콘택과 상기 상부 절연 패턴 사이에 위치하고,
상기 게이트 콘택은, 상기 블로킹 패턴 및 상기 상부 절연 패턴을 사이에 두고 상기 활성 콘택으로부터 이격되는 반도체 소자.
a substrate comprising a PMOSFET region and an NMOSFET region spaced apart from each other in a first direction;
a first active pattern on the PMOSFET region and a second active pattern on the NMOSFET region;
a device isolation layer covering lower sidewalls of the first and second active patterns, an upper portion of each of the first and second active patterns protrude above the device isolation layer;
a gate electrode crossing the first and second active patterns and extending in the first direction;
A first source/drain pattern and a second source/drain pattern provided on the upper portions of the first and second active patterns, respectively, and each of the first and second source/drain patterns are adjacent to one side of the gate electrode do;
a gate insulating layer interposed between the gate electrode and the first and second active patterns;
a gate spacer on a sidewall of the gate electrode;
a gate capping pattern on an upper surface of the gate electrode;
a gate cutting pattern passing through the gate electrode;
an interlayer insulating layer on the gate capping pattern and the gate cutting pattern;
an active contact electrically connected to at least one of the first and second source/drain patterns through the interlayer insulating layer;
a gate contact passing through the interlayer insulating layer and the gate capping pattern and electrically connected to the gate electrode;
an upper insulating pattern provided on the active contact adjacent to the gate contact;
a blocking pattern between the gate contact and the gate spacer;
a first metal layer on the interlayer insulating layer, the first metal layer including a power wiring vertically overlapping the gate cutting pattern, and first wirings electrically connected to the active contact and the gate contact, respectively; and
a second metal layer on the first metal layer;
The second metal layer includes second wirings electrically connected to the first metal layer,
the blocking pattern is positioned between the gate contact and the upper insulating pattern;
The gate contact may be spaced apart from the active contact with the blocking pattern and the upper insulating pattern interposed therebetween.
제16항에 있어서,
상기 게이트 스페이서는 제1 스페이서 및 제2 스페이서를 포함하며,
상기 제1 스페이서의 상면은 상기 제2 스페이서의 상면보다 낮고,
상기 블로킹 패턴은 상기 제1 스페이서의 상기 상면으로부터 상기 제2 스페이서의 내측벽을 따라 수직하게 연장되는 반도체 소자.
17. The method of claim 16,
The gate spacer includes a first spacer and a second spacer,
The upper surface of the first spacer is lower than the upper surface of the second spacer,
The blocking pattern extends vertically from the top surface of the first spacer along an inner wall of the second spacer.
제17항에 있어서,
상기 제1 스페이서는 Si를 함유하는 저유전 물질을 포함하고,
상기 제2 스페이서는 Si를 함유하는 절연 물질을 포함하며,
상기 블로킹 패턴은 폴리실리콘을 포함하는 반도체 소자.
18. The method of claim 17,
The first spacer comprises a low-k material containing Si,
The second spacer comprises an insulating material containing Si,
The blocking pattern is a semiconductor device including polysilicon.
제16항에 있어서,
상기 게이트 전극은 상기 게이트 커팅 패턴의 측벽을 따라 수직하게 연장되는 수직 연장부를 포함하고,
상기 블로킹 패턴은 상기 수직 연장부 상에 제공되는 반도체 소자.
17. The method of claim 16,
The gate electrode includes a vertical extension extending vertically along a sidewall of the gate cutting pattern,
The blocking pattern is a semiconductor device provided on the vertical extension.
제16항에 있어서,
상기 블로킹 패턴의 바닥면은, 상기 게이트 전극의 상기 상면과 동일한 높이에 위치하거나 더 높은 반도체 소자.
17. The method of claim 16,
A bottom surface of the blocking pattern is positioned at the same height or higher than the top surface of the gate electrode.
KR1020210052673A 2021-03-18 2021-04-22 Semiconductor device and method for manufacturing the same KR20220131486A (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
TW110135815A TW202301631A (en) 2021-03-18 2021-09-27 Semiconductor device
CN202210059362.2A CN115116953A (en) 2021-03-18 2022-01-19 Semiconductor device with a plurality of transistors
US17/580,847 US11978779B2 (en) 2021-03-18 2022-01-21 Semiconductor device and method of fabricating the same
US18/649,646 US20240282835A1 (en) 2021-03-18 2024-04-29 Semiconductor device and method of fabricating the same
US18/649,553 US20240282834A1 (en) 2021-03-18 2024-04-29 Semiconductor device and method of fabricating the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020210035442 2021-03-18
KR20210035442 2021-03-18

Publications (1)

Publication Number Publication Date
KR20220131486A true KR20220131486A (en) 2022-09-28

Family

ID=83461164

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210052673A KR20220131486A (en) 2021-03-18 2021-04-22 Semiconductor device and method for manufacturing the same

Country Status (1)

Country Link
KR (1) KR20220131486A (en)

Similar Documents

Publication Publication Date Title
US20240282834A1 (en) Semiconductor device and method of fabricating the same
US20240038763A1 (en) Semiconductor device
KR20220141944A (en) Semiconductor device and method for manufacturing the same
KR20220068283A (en) Semiconductor device
KR20220067590A (en) Semiconductor device
US12046599B2 (en) Semiconductor device
KR20240011961A (en) Semiconductor device and method for manufacturing the same
KR20240000949A (en) Semiconductor device and method for manufacturing the same
KR20230074347A (en) Semiconductor device
KR20230013679A (en) Semiconductor device and method for manufacturing the same
KR20220089728A (en) Semiconductor device
KR20220077508A (en) Semiconductor device and method for manufacturing the same
KR20220131486A (en) Semiconductor device and method for manufacturing the same
US11978673B2 (en) Method of fabricating semiconductor device
US20230028875A1 (en) Semiconductor device and method of fabricating the same
US20220246728A1 (en) Semiconductor device
KR20220167805A (en) Semiconductor device
EP4141950A1 (en) Semiconductor device and method of fabricating the same
KR20230045689A (en) Semiconductor device and method for manufacturing the same
KR20240012824A (en) Semiconductor device and method for manufacturing the same
JP2024077613A (en) Semiconductor device and manufacturing method thereof
KR20240091581A (en) Semiconductor device and method for manufacturing the same
TW202422854A (en) Semiconductor device
KR20220133356A (en) Semiconductor device and method for manufacturing the same
KR20230100786A (en) Semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
A201 Request for examination