KR20180118055A - Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치 - Google Patents

Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치 Download PDF

Info

Publication number
KR20180118055A
KR20180118055A KR1020180044769A KR20180044769A KR20180118055A KR 20180118055 A KR20180118055 A KR 20180118055A KR 1020180044769 A KR1020180044769 A KR 1020180044769A KR 20180044769 A KR20180044769 A KR 20180044769A KR 20180118055 A KR20180118055 A KR 20180118055A
Authority
KR
South Korea
Prior art keywords
cobalt
dose
reactant
nitrogen
feature
Prior art date
Application number
KR1020180044769A
Other languages
English (en)
Other versions
KR102599906B1 (ko
Inventor
나정석
라아쉬나 후마윤
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180118055A publication Critical patent/KR20180118055A/ko
Application granted granted Critical
Publication of KR102599906B1 publication Critical patent/KR102599906B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

피처 내에 코발트를 증착하는 ALD (atomic layer deposition) 방법들이 본 명세서에 제공된다. 방법들은 ALD 사이클 동안 2-단계 표면 처리들을 수반하고, 일 단계는 흡착된 코발트 전구체와 공반응물질 가스의 반응을 수반하고, 다른 단계는 코발트 표면 상의 성장-억제 반응물질 가스를 수반한다. 성장-억제 반응물질 가스는 코발트 성장 레이트를 상당히 하강시키고, 매우 컨포멀한 (conformal) 코발트 막을 생성한다. 기술된 ALD 프로세스들은 막 핵생성, 단차 커버리지, 및 별도의 표면 처리 및 낮은 프로세스 온도에 의한 모폴로지의 개선된 제어능력을 인에이블한다. 방법들은 FEOL (front end of line) 프로세스들에서 금속 게이트/콘택트 충진 뿐만 아니라 BEOL (back end of line) 프로세스들의 비아/라인 충진 제조를 포함하는 다양한 피처 충진 애플리케이션들에 적용가능하다.

Description

ALD에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치{METHODS AND APPARATUS FOR FORMING SMOOTH AND CONFORMAL COBALT FILM BY ATOMIC LAYER DEPOSITION}
반도체 디바이스 제조는 종종 수평 상호접속부들, 인접한 금속 층들 간 비아들, 및 제 1 금속층들과 실리콘 기판 상의 디바이스들 간 콘택트들에 사용될 텅스텐-함유 재료들의 증착을 수반한다. 종래의 증착 프로세스에서, 텅스텐은 기판을 텅스텐-함유 전구체 및 환원제에 노출함으로써 CVD (chemical vapor deposition) 프로세스에서 증착된다.
그러나, 디바이스들이 축소됨에 따라, 피처들은 보다 좁아지고 종횡비들은 급격하게 상승하여 텅스텐 증착시 다양한 과제들을 발생시킨다. 그 결과, 대안적인 재료들이 피처들을 충진하기 위해 탐구된다. 코발트는 저 전자 평균 자유 경로 및 우수한 전자-마이그레이션 퍼포먼스로 인해 유망한 후보로 고려된다. 그러나, 코발트를 증착하기 위한 현재 프로세스들은 피처들 내에서 컨포멀하지 않은 (non-conformal) 증착을 포함하여 다양한 과제들을 갖고, 이는 피처 입구 (entrance) 상의 오버행 (overhang) 및 피처의 하단부에서 불충분한 커버리지 중 하나 또는 둘다를 유발할 수 있다. 컨포멀하지 않은 증착은 피처 내에서 보이드들을 야기할 수 있다.
코발트 막들의 ALD (atomic layer deposition) 방법들 및 관련된 장치가 본 명세서에 제공된다. 본 명세서에 개시된 주제의 일 양태는 피처 내에 코발트를 증착하는 방법에 관한 것이다. 방법은 하나 이상의 피처들을 갖는 기판을 제공하는 단계로서, 피처 각각은 피처 개구부를 포함하는, 기판을 제공하는 단계 및 하나 이상의 피처들에 컨포멀한 (conformal) 코발트 층을 증착하기 위한 복수의 사이클들을 수행하는 단계를 수반한다. 사이클 각각은, 하나 이상의 피처들 상에 흡착된 코발트 전구체 층을 형성하도록 코발트 전구체의 도즈에 피처를 노출하는 단계, 하나 이상의 피처들 상의 흡착된 코발트 전구체 층과 반응하도록 공-반응물질의 도즈에 흡착된 코발트 전구체 층을 노출하는 단계, 및 공-반응물질 및 질소-함유 코발트 성장 억제제의 혼합물의 도즈에 피처를 노출하는 단계를 포함하는, 복수의 사이클들을 수행하는 단계를 포함한다.
일부 실시예들에서, 하나 이상의 피처들 상의 흡착된 코발트 전구체 층과 반응하도록 흡착된 코발트 전구체를 공-반응물질의 도즈에 노출하는 단계는 공-반응물질 및 질소-함유 코발트 성장 억제제의 혼합물의 도즈에 피처를 노출하기 전에 수행된다.
일부 실시예들에서, 하나 이상의 피처들 상의 흡착된 코발트 전구체 층과 반응하도록 흡착된 코발트 전구체를 공-반응물질의 도즈에 노출하는 단계는 공-반응물질 및 질소-함유 코발트 성장 억제제의 혼합물의 도즈에 피처를 노출한 후에 수행된다.
일부 실시예들에서, 코발트 전구체는 화학식 (I) 에 따른 구조를 갖고,
Figure pat00001
여기서 R1은 C1-C8-알킬이고, R2는 C1-C8 알킬이고, x는 0, 1 또는 2이고; 그리고 y는 0 또는 1이다.
일부 실시예들에서, 코발트 전구체는 화학식 (II) 에 따른 구조를 갖는다.
Figure pat00002
일부 실시예들에서, 코발트 전구체는 디카르보닐 사이클로펜타디에닐 코발트, 코발트 카르보닐, 코발트 아미디네이트 전구체, 코발트 디아자디에닐 착체, 및 코발트 아미디네이트/구아니디네이트 전구체로 구성된 그룹으로부터 선택된다.
다양한 실시예들에 따라, 복수의 사이클들 동안 기판 온도는 150 ℃ 이하, 100 ℃ 이하, 또는 90 ℃ 이하의 온도로 유지된다.
일부 실시예들에서, 코발트 층은 배리어 층 상에 증착된다.
일부 실시예들에서, 공-반응물질은 수소 (H2) 이다. 일부 실시예들에서, 공-반응물질은 수소 (H2) 이고, 그리고 질소-함유 코발트 억제제는 암모니아 (NH3) 이다. 일부 실시예들에서, NH3는 혼합물의 0.5 % 내지 20 % (volumetric) 또는 NH3는 혼합물의 0.5 % 내지 5 % (volumetric) 이다.
일부 실시예들에서, 사이클 각각은 피처를 코발트 전구체의 도즈에 노출한 후 그리고 흡착된 코발트 전구체를 공-반응물질의 도즈에 노출하기 전 기판이 하우징되는 챔버를 퍼지하는 단계를 더 수반한다.
일부 실시예들에서, 사이클 각각은 피처를 공-반응물질 및 질소-함유 코발트 성장 억제제의 혼합물의 도즈에 노출한 후 기판이 하우징되는 챔버를 퍼지하는 단계를 더 수반한다.
일부 실시예들에서, 피처를 공-반응물질의 도즈에 노출하는 단계와 피처를 공-반응물질 및 질소-함유 코발트 성장 억제제의 혼합물의 도즈에 노출하는 단계 사이에 퍼지가 없다.
본 개시의 또 다른 양태는 (a) 코발트 전구체를 프로세스 챔버로 도입하는 단계, (b) 코발트 전구체의 도즈를 도입한 후, 프로세스 챔버를 퍼지하는 단계, (c) 프로세스 챔버를 퍼지한 후, H2 공-반응물질의 도즈를 도입하는 단계, (d) H2 공-반응물질의 도즈를 도입한 후, H2 공-반응물질 및 질소-함유 화합물의 혼합물의 도즈를 도입하는 단계로서, 질소-함유 화합물은 H2 공-반응물질 및 질소-함유 화합물의 총량의 0.5 % 내지 20 % (volumetric) 인, 혼합물의 도즈를 도입하는 단계, (e) H2 공-반응물질 및 질소-함유 화합물의 혼합물의 도즈를 도입한 후, 프로세스 챔버를 퍼지하는 단계, 및 단계 (a) 내지 단계 (e) 를 1 회 이상 반복하는 단계를 포함하는, 방법에 관한 것이다.
본 개시의 또 다른 양태는 프로세스 챔버, 프로세스 챔버 및 연관된 플로우-제어 하드웨어로의 하나 이상의 가스 유입부들, 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기로서, 적어도 하나의 프로세서 및 메모리는 서로 통신가능하게 연결되고, 적어도 하나의 프로세서는 플로우-제어 하드웨어와 적어도 동작가능하게 연결되고, 그리고 상기 메모리는, (a) 코발트 전구체를 프로세스 챔버로 도입하는 단계, (b) 코발트 전구체의 도즈를 도입한 후, 프로세스 챔버를 퍼지하는 단계, (c) 프로세스 챔버를 퍼지한 후, H2 공-반응물질의 도즈를 도입하는 단계, (d) H2 공-반응물질의 도즈를 도입한 후, H2 공-반응물질 및 질소-함유 화합물의 혼합물의 도즈를 도입하는 단계로서, 질소-함유 화합물은 H2 공-반응물질 및 질소-함유 화합물의 총량의 0.5 % 내지 20 % (volumetric) 인, 혼합물의 도즈를 도입하는 단계, (e) H2 공-반응물질 및 질소-함유 화합물의 혼합물의 도즈를 도입한 후, 챔버를 퍼지하는 단계, 및 단계 (a) 내지 단계 (e) 를 1 회 이상 반복하는 단계를 위한 컴퓨터-실행가능 인스트럭션들을 저장하는, 제어기를 포함하는, 장치에 관한 것이다.
이들 및 다른 양태들은 첨부된 도면들을 참조하여 이하에 더 기술된다.
도 1a 내지 도 1d는 피처들 내 코발트 충진의 개략적인 예시들이다.
도 2a 및 도 2b는 다양한 실시예들에 따른 방법들을 도시하는 프로세스 흐름도들이다.
도 3은 코발트의 ALD 성장 레이트에 대한 억제제 노출 효과를 도시하는 그래프이다.
도 4a는 22 내지 27 ㎚의 상단 CD (critical dimension) 개구부를 갖는 트렌치들 상의 ALD 코발트 막들의 TEM (transmission electron microscope) 이미지들을 도시한다.
도 4b는 도 2a에 대해 상기 기술된 바와 같은 ALD 프로세스를 사용한 코발트 갭 충진의 TEM 이미지를 도시한다.
도 5는 억제제 노출과 함께 그리고 억제제 노출 없이 ALD에 의해 증착된 코발트 막들에 대한 x-선 광전자 스펙트럼을 도시한다.
도 6 및 도 7은 개시된 실시예들에 따른 증착 프로세스들에 적합한 프로세싱 장치들의 개략적인 예시들이다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 전체적인 이해를 제공하도록 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
반도체 제조시, 피처들은 도전성 재료들로 충진될 수도 있다. 예를 들어, 텅스텐은 종종 FEOL (front end of line) 애플리케이션들에서와 같이, 콘택트들을 형성하도록 피처들에 충진된다. 그러나, 디바이스들이 축소됨에 따라, 종횡비들은 상승하고 보다 작은 피처들이 콘택트들을 형성하도록 사용된다. 많은 애플리케이션들에서, 코발트와 같은 대안적인 도전성 재료가 콘택트들을 형성하도록 그렇지 않으면 피처들을 충진하도록 사용될 수도 있다.
반도체 제조시 종래의 코발트 증착은 전기도금, 용액 내 금속 양이온들을 환원시키기 위해 전류를 사용하는 습식 프로세스를 포함한다. 코발트 전기도금시, 코발트의 박층, 소위 코발트 시드 층이 피처 내에 먼저 증착될 수도 있다. 시드 층은 전기도금 프로세스에서 피처 내에서 코발트의 성장을 허용하도록 충분히 도전성이다.
코발트는 또한 ALD (atomic layer deposition) 또는 CVD (chemical vapor deposition) 에 의해 증착될 수도 있다. 종래의 ALD 또는 CVD에서, 보이드들은 피처 프로파일로 인해 피처들 내에 형성될 수도 있다. 도 1a는 이러한 기판 (102) 의 피처 (100) 의 예의 개략적인 예시이다. 기판은 상부에 증착된 유전체, 도전성, 또는 반도전성 재료와 같은 재료의 하나 이상의 층들을 갖는 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 450-㎜ 웨이퍼일 수도 있다. 피처들은 좁은 개구부들, 재차들어간 (re-entrant) 개구부들, 피처 내 협폭부들 (constrictions) 및 고종횡비들 중 하나 이상에 의해 특징화될 수 있다. 일부 실시예들에서, 피처 (100) 는 적어도 약 2:1, 적어도 약 10:1, 적어도 약 15:1, 적어도 약 20:1 이상의 종횡비를 가질 수도 있다. 피처 (100) 는 약 19 ㎚ 미만의 개구부 근방의 치수, 예를 들어, 개구부 직경 또는 라인 폭, 또는 개구부 폭, 또는 CD를 가질 수도 있고, 또한 1x ㎚ 미만의 피처 폭들로 공지된다. 피처 (100) 는 충진되지 않은 피처 또는 단순히 피처로 지칭될 수 있다. 피처, 및 임의의 피처는 수직 축들을 갖는 수직으로 배향된 피처들 및 수평 축들을 갖는 수평으로 배향된 피처들을 갖는, 피처의 길이를 통해 연장하는 축에 의해 부분적으로 특징화될 수도 있다.
도시된 바와 같이, 기판 (102) 은 피처의 하단부의 폭보다 좁은, 피처 개구부 (110) 를 갖는 피처 (100) 를 포함한다. 도 1a의 피처 (100) 는 재차들어간 프로파일을 포함한다. 재차들어간 프로파일은 피처의 하단부, 폐쇄된 단부 또는 내부로부터 피처 개구부로 좁아지는 프로파일이다. 다양한 실시예들에 따라, 프로파일은 점진적으로 좁아질 수도 있고 그리고/또는 피처 개구부에 오버행을 포함할 수도 있다. 도 1a에 도시된 재차들어간 패턴은 패터닝 동안 비대칭적인 에칭 동역학 및 확산 배리어 증착과 같이, 이전 막 증착에서 컨포멀하지 않은 막 단차 커버리지로 인한 오버행 중 하나 이상에 의해 생성될 수도 있다. 다양한 예들에서, 피처는 피처의 하단부의 폭보다 피처의 상단부의 개구부에서 보다 작은 폭을 가질 수도 있다. 도 1b는 피처 (100) 내로 증착된 배리어 층 (104) 의 개략적인 예시이다. 배리어 층 (104) 이 증착된 후, 종래의 ALD 또는 CVD에 의한 코발트의 증착은 피처 (100) 내에서 컨포멀하지 않은 코발트 막을 형성한다. 도 1c는 피처 입구에 오버행 및 피처의 하단부에 불충분하게 두꺼운 막 커버리지를 갖는 컨포멀하지 않은 막 (105) 을 갖는 피처 (100) 의 개략적인 예시이다. 후속하는 충진 프로세스에서, 코발트 막 (106) 이 형성된다. 피처 입구의 오버행 및 피처 하단부의 불충분한 커버리지로 인해, 충진 프로세스는 피처 (100) 의 패턴 내 보이드 (160)의 형성을 발생시킨다. 보이드는 충진되지 않은 채로 남아 있는 피처 내 영역이다. 보이드는 예를 들어, 증착된 재료가 피처 내 핀치 포인트를 형성할 때, 형성될 수 있어서, 피처 내 충진되지 않은 공간을 시일링하여 반응물질 진입 및 증착을 방지한다. 피처들 내 보이드들의 형성은 종종 디바이스 고장을 발생시킨다.
도 1a 내지 도 1d의 예에서, 피처 개구부는 재차들어가고, 이는 컨포멀하지 않은 막 (105) 의 불량한 단차 커버리지에 기여할 수 있다. 그러나, 재차들어가지 않은 피처들에서도, 코발트를 증착하기 위한 종래의 CVD 프로세스들은 비컨포멀성 (non-conformality) 을 발생시킬 수 있다. 기상 증착에 의해 또는 전기도금에 의한 후속하는 충진 프로세스들은 보이드들을 발생시킬 수 있다.
피처 내에 코발트를 증착하는 ALD (atomic layer deposition) 방법들이 본 명세서에 제공된다. 방법들은: 피처들의 후속 전기도금 충진을 위한 코발트 시드 층들, 피처들 내 후속 CVD 충진을 위한 코발트 시드 층들, 또는 ALD에 의한 피처들의 직접 충진 중 임의의 하나를 증착하도록 사용될 수도 있다. 본 명세서에 기술된 접근방법들은 10 ㎚ 이하 개구부들, 또는 7 ㎚ 이하 개구부들을 갖는 피처들과 같은 좁은 피처들과 함께 사용될 수도 있다. 방법들은 ALD 사이클 동안 2-단계 표면 처리들을 수반하고, 일 단계는 흡착된 코발트 전구체와 공반응물질 가스의 반응을 수반하고, 다른 단계는 코발트 표면 상의 성장-억제 반응물질 가스를 수반한다. 성장-억제 반응물질 가스는 코발트 성장 레이트를 상당히 하강시키고, 매우 컨포멀한 (conformal) 코발트 막을 생성한다. 기술된 ALD 프로세스들은 막 핵생성, 단차 커버리지, 및 별도의 표면 처리 및 낮은 프로세스 온도에 의한 모폴로지의 개선된 제어능력을 인에이블한다. 방법들은 FEOL (front end of line) 프로세스들에서 금속 게이트/콘택트 충진 뿐만 아니라 BEOL (back end of line) 프로세스들의 비아/라인 충진 제조를 포함하는 다양한 피처 충진 애플리케이션들에 적용가능하다.
피처 내 재료의 분포는 단차 커버리지를 특징으로 할 수도 있다. 이 기술의 목적들을 위해, "단차 커버리지"는 2 개의 두께들의 비―피처 내부 재료의 두께를 개구부 근방의 재료의 두께로 나눔―로서 규정된다. 피처의 중간 부분으로부터 측정될 때, 두께는 거리의 약 25 % 내지 75 % 위치, 또는 특정한 실시예들에서, 피처의 개구부로부터 측정된 피처의 깊이를 따른 거리의 약 40 % 내지 60 % 위치에서 측정될 수도 있다. 피처의 말단부로부터 측정될 때, 두께는 개구부로부터 측정될 때 피처의 축을 따른 거리의 약 75 % 내지 95 %의 위치에서 측정될 수도 있다. 용어들 "피처의 개구부 근방" 및 "피처 개구부 근방"은 개구부의 에지의 25 % 이내 또는 보다 구체적으로 개구부의 에지의 10 % 이내에 위치된 피처의 상단 부분 또는 개구부의 에지를 나타내는 다른 엘리먼트를 지칭한다. 예를 들어, 피처 개구부에서보다 피처의 중간 또는 하단부 근방에서 보다 넓은 피처를 충진함으로써, 100 % 이상의 단차 커버리지가 달성될 수 있다. 컨포멀한 증착들은 100 %에 가까운, 예를 들어, 80 % 내지 120 %, 또는 90 % 내지 110 %의 단차 커버리지들을 갖는다. 본 명세서에 기술된 방법들은 코발트가 아래에 놓인 표면의 윤곽들을 따르도록 컨포멀하게 코발트를 증착하도록 사용될 수도 있다.
도 2a는 특정한 실시예들에 따라 방법 (200a) 을 수행하기 위한 동작들의 프로세스 흐름도이다. 동작 202에서, 충진될 기판을 갖는 기판이 제공된다. 기판은 실리콘 기판 또는 또 다른 적합한 반도체 기판일 수도 있다. 기판은 2 이상의 피처들을 포함할 수도 있고, 다양한 사이즈들을 갖는 피처들의 패턴 또는 일 사이즈의 피처들을 포함할 수도 있다. 이 기술의 목적들을 위해, 도 2a는 단일 피처를 충진하는 맥락에서 논의되었지만, 다양한 사이즈들의 피처들이 또한 유사하게 충진될 수도 있다는 것이 이해되어야 한다. 피처들은 상기 기술된 것들 중 임의의 것일 수도 있고 기판 상의 유전체 또는 다른 재료로 형성될 수도 있다. 일부 실시예들에서, 실리콘 옥사이드 또는 다른 유전체로 형성된 피처는 피처 하단부 및 유전체 측벽들의 금속 콘택트를 포함할 수도 있다.
일부 실시예들에서, 피처는 피처를 컨포멀하게 라이닝하는 하나 이상의 라이너 층들을 포함한다. 하나 이상의 라이너 층들은 접착층 및 확산 배리어 층 중 하나 또는 둘다일 수도 있다. 배리어 층들의 예들은 텅스텐 나이트라이드 (WN), 티타늄 나이트라이드 (TiN), 탄탈룸 나이트라이드 (TaN), 및 코발트 나이트라이드 (CoN) 박층을 포함한다. 접착층들의 예들은 티타늄 (Ti) 을 포함한다. 일부 실시예들에서, 배리어 막은 텅스텐 탄소 나이트라이드 (WCN) 막일 수도 있다. WCN 배리어 막들은 예를 들어, 본 명세서에 참조로서 인용된, 2017년 3월 30일 출원된 명칭이 "Selective Deposition of WCN Barrier/Adhesion Layer for Interconnect"인 미국 특허 출원 번호 제 15/474,383 호에 기술된다.
기판은 일반적으로 내부에서 코발트 층의 ALD 증착이 수행되는, 예들이 이하에 더 기술되는, 반응 챔버에 제공된다. 이하의 기술은 ALD 증착이 단일 챔버 또는 멀티-스테이션 챔버의 단일 스테이션에서 수행된다는 것을 가정한다. 그러나, 대안적인 실시예들에서, 임의의 노출 동작들 각각은 상이한 챔버들 또는 스테이션들에서 수행될 수도 있다. 기판은 피처 내에 이전에 증착된 라이너 층을 갖거나 갖지 않는 챔버에 제공될 수도 있다.
이어서 피처는 동작 204에서 코발트 전구체 도즈에 노출된다. 예시적인 코발트 전구체들은 디카르보닐 사이클로펜타디에닐 코발트 (I), 코발트 카르보닐, 다양한 코발트 아미디네이트 전구체들, 코발트 디아자디에닐 착체들, 코발트 아미디네이트/구아니디네이트 전구체들, 및 이들의 조합들을 포함한다. 적합한 코발트 전구체들은 유기기들 및/또는 카르보닐기들을 갖는 코발트 중심을 포함할 수도 있고, 유기기들은 알킬들, 예컨대 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실, 헵틸, 및 옥틸을 포함하고, 직쇄 또는 분기된 하이드로카본 체인들일 수도 있다. 일부 실시예들에서, 코발트 전구체는 치환되거나 치환되지 않은 아릴 리간드를 갖는 유기 금속 화합물이다. 일부 실시예들에서, 아릴 리간드는 치환되지 않는다.
일부 실시예들에서, 코발트 전구체는 이하의 구조를 갖는 유기 금속 코발트 화합물이다:
Figure pat00003
여기서 R1은 C1-C8-알킬이고, R2는 C1-C8 알킬이고, x는 0, 1 또는 2이고; 그리고 y는 0 또는 1이다.
일부 실시예들에서 R1은 C2-C8-알킬이고, R2는 독립적으로 C2-C8 알킬이다.
본 명세서에 사용된 바와 같은 용어 "알킬"은 길이가 1 내지 8 원자들의 포화된 하이드로카본 체인, 예컨대 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실, 헵틸, 및 옥틸을 지칭한다. 용어 "알킬"은 직쇄 또는 분기된 하이드로카본 체인들 둘다를 포함한다. 따라서, 용어 프로필은 n-프로필 및 이소프로필 둘다를 포함한다. 용어 부틸은 n-부틸, sec-부틸, iso-부틸, 및 tert-부틸 모두를 포함한다.
일부 실시예들에서 x는 0이고 y는 1이다. 본 실시예에 따른 유기 금속 화합물의 예는 이하에 도시된다:
Figure pat00004
특정한 기술된 화합물들은 CA, Fremont 소재의 Lam Research Inc.로부터 입수가능한 대응하는 증착 장치들과 함께, MA, Haverhill 소재의 SAFC-Hitech로부터 입수가능하다.
일부 실시예들에서, 코발트-함유 전구체들은 저온들, 예컨대 약 100 ℃ 미만의 온도들에서 고 증기압을 갖는 금속-유기 전구체들을 포함한다. 예시적인 증기압은 약 30 ℃ 분위기에서 약 0.5 Torr일 수도 있다. 일부 실시예들에서, 코발트 전구체들 예컨대 CCTBA (dicobalt hexacarbonyl tert-butylacetylene) 가 사용되지 않는다. CCTBA는 저온들에서 상대적으로 저 증기압을 갖고, 이는 저온에서 증착하기 어렵고 본질적으로 불안정하게 할 수 있어서, 시간에 따라 이합체화 또는 중합체화한다.
다양한 실시예들에서, 적합한 코발트 전구체는 아르곤 (Ar) 과 같은, 비반응성 캐리어 가스를 사용하여 챔버 내로 도입된다. 일부 실시예들에서, 코발트 전구체는 캐리어 가스로서 아르곤을 사용하여 챔버로 도입된다. 코발트 전구체는 피처 표면을 컨포멀하게 라이닝하도록 기판 상에 흡착된다. 이어서 흡착되지 않은 코발트 전구체는 동작 206에서 챔버로부터 퍼지된다. 퍼지하는 것은 챔버를 스윕핑하기 위해 불활성 가스를 도입하는 것 및 진공 퍼지 중 하나 또는 둘다를 수반할 수 있다. 이어서 피처는 동작 208에서 공-반응물질 도즈에 노출된다. 공-반응물질은 코발트를 형성하기 위해 흡착된 코발트 전구체와 반응하는 화합물이다. 본 명세서에 제공된 예들에서, 공-반응물질은 수소 (H2) 이지만, 코발트 전구체 화학반응에 따라 다른 공-반응물질들이 사용될 수도 있다. 일부 실시예들에서, 공-반응물질은 비반응 캐리어 가스를 사용하여 도입될 수도 있다. 흡착된 전구체가 반응되고 피처 표면 상에서 코발트 금속을 형성한다.
이어서 피처는 동작 210에서 억제제-함유 반응물질에 노출된다. 억제제-함유 반응물질 도즈는 질소-함유 도즈이고, 예들은 암모니아 (NH3), 질소 (N2), 및 하이드라진 (N2H2) 을 포함한다. 다른 질소-함유 화합물들은 코발트 성장 억제제들로서 사용될 수도 있다. 동작 210은 억제제-함유 반응물질 가스와 함께 동작 208에서 도입되는 공-반응물질 가스를 도입하는 것을 더 수반할 수도 있다. 억제제-함유 반응물질 가스는 코발트 (Co) 와 상호작용하고 코발트 성장을 억제하도록 기능한다. 그러나, 너무 많은 NH3 또는 다른 억제제는 용인가능하지 않게 느리거나 중단된 코발트 막 내로 질소의 통합 또는 성장을 발생시킬 수 있다. 억제제-함유 반응물질 가스와 공-반응물질 가스를 혼합함으로써, 억제제-함유 반응물질 가스는 희석된다. 더욱이, 일부 실시예들에서, 공-반응물질과 억제제-함유 반응물질 가스 사이의 표면 상에서 경쟁이 있을 수도 있고 또한 억제제-함유 반응물질 가스의 효과를 완화시키도록 기능한다. 일부 실시예들에서, 동작 208로부터 동작 210으로의 전이는 공-반응물질을 챔버 내로 흘리는 것을 계속하고 억제제-함유 반응물질 가스의 플로우를 첨가하는 것을 수반한다. 이어서 챔버는 동작 212에서 퍼지된다. 블록 214에서, 사이클이 반복된다면, 방법은 동작 204로 리턴한다. 그렇지 않다면, 방법은 종료된다. 사이클은 목표된 두께의 코발트가 증착될 때까지 일반적으로 반복된다. 다양한 실시예들에 따라, 두께는 코발트 시드 층이 후속하는 벌크 기상 증착 또는 전기도금을 지지하기 충분할 수 있고 또는 피처를 충진하기 충분할 수 있다. 따라서, 일부 실시예들에서, 방법 200a 후에, 피처는 피처 충진을 완료하도록 수행되는 코발트의 후속 CVD 후속 증착 또는 전기도금 동작으로 부분적으로만 충진될 수도 있다. 일부 실시예들에서, 피처는 충진될 수도 있다.
도 2b는 특정한 실시예들에 따른 방법 200b를 수행하기 위한 동작들의 프로세스 흐름도이다. 방법 200b는 기판을 억제제-함유 반응물질 도즈에 노출하는 단계 (동작 210) 가 기판을 억제제와 함께 공-반응물질에 노출하는 단계 (동작 208) 전에 수행되는 것이 도 2a를 참조하여 논의된 방법 200a와 상이하다. 방법 200b에서 동작 210으로부터 동작 208로의 전이는 공-반응물질을 계속해서 흘리는 동안 억제제-함유 반응물질 가스의 플로우를 셧오프하는 것을 수반할 수도 있다. 도 2a 및 도 2b의 동작 210 동안, 억제제-함유 반응물질 도즈는 조합된 억제제-함유 반응물질 도즈 및 공-반응물질 도즈의 0.5 % 내지 20 % (volumetric) 일 수도 있다. 특정한 실시예들에서, 1 % 내지 5 % (volumetric) 일 수도 있다.
동작들 202, 204, 206, 및 212는 도 2a에 대해 상기 기술된 바와 같이 수행될 수도 있다. 도 2a 및 도 2b의 방법들 200a 및 200b는 열적, 비플라즈마 ALD 프로세스이다.
ALD 증착 동안 기판 온도는 상대적으로 저온, 예를 들어, 150 ℃ 이하, 그리고 일부 실시예들에서, 100 ℃ 이하이다. 온도는 일반적으로 70 ℃ 보다 낮지 않다. 챔버 압력은 5 Torr 내지 50 Torr일 수도 있고 일부 실시예들에서 8 Torr 내지 20 Torr일 수도 있다. 기판 온도는 상대적으로 저온들에서 동작함으로써, 보다 우수한 단차 커버리지가 획득될 수 있도록 단차 커버리지에 영향을 준다. 압력은 불순물 레벨들 및 저항률과 같은 막 품질들에 영향을 준다. 일부 실시예들에서, 압력은 저 저항률을 갖는 매우 순수한 (pure) 코발트 막들을 획득하도록 적어도 8 Torr이다.
다양한 실시예들에서, 적합한 코발트-함유 전구체 및/또는 환원제는 캐리어 가스, 예컨대 아르곤 (Ar) 을 사용하여 챔버 내로 도입된다. 예시적인 플로우 레이트들은 플로우 레이트들이 사용된 특정한 증착 챔버에 종속할 것이고 주어진 예들로부터 가변할 수도 있다는 이해와 함께, 이하에 제공된다.
일부 실시예들에서, 코발트 전구체를 반송하는 Ar 캐리어 가스 또는 다른 캐리어 가스의 플로우 레이트는 약 15 sccm 내지 약 300 sccm일 수도 있고, 보다 구체적인 실시예들에서, 약 50 sccm 내지 약 100 sccm일 수도 있다.
퍼지 동작 동안, Ar 또는 다른 퍼지 가스 플로우 레이트는 10 sccm 내지 50,000 sccm일 수도 있고, 보다 구체적인 실시예들에서, 500 sccm 내지 10,000 sccm일 수도 있다. 퍼지 동작 동안, 반응물질들 또는 억제제 가스들이 흐르지 않을 수도 있다.
다양한 실시예들에서, 공-반응물질은 수소 (H2) 이다. 일부 실시예들에서 H2는 캐리어 가스를 사용하지 않고 흐를 수도 있다. H2 공-반응물질은 약 100 sccm 내지 약 50,000 sccm, 또는 보다 구체적으로, 약 500 sccm 내지 약 10,000 sccm의 플로우 레이트로 도입될 수도 있다. 일부 실시예들에서, 억제제 가스는 NH3이다. NH3는 5 sccm 내지 2,000 sccm, 또는 보다 구체적으로, 10 sccm 내지 400 sccm의 플로우 레이트로 도입될 수도 있다.
억제제 화합물은 막 내로 통합되지 않고 코발트 전구체의 흡착 또는 핵생성을 억제하는 것이다. 상기 구조식들 I 및 II에 도시된 코발트 전구체들에 대해, 질소-함유 억제제 화합물들이 억제 효과를 갖는 것이 발견되었다. 산소, 할로겐, 및 다른 반응성 종들은 회피될 수도 있다. 억제제 화합물은 코발트 성장 억제제 또는 억제제로서 지칭될 수도 있다.
도 3은 코발트의 ALD 성장 레이트에 대한 억제제 노출의 효과를 도시하는 그래프이다. 3 개의 ALD 프로세스들은: 억제제 노출을 사용하지 않은 고온 프로세스 (원들로 마킹된 데이터 포인트들), 억제제 노출을 사용하지 않은 저온 프로세스 (사각형들), 및 억제제 노출을 사용한 저온 프로세스 (삼각형들) 로 비교된다. 고온 프로세스는 100 ℃ 내지 140 ℃ 범위의 온도를 지칭하고, 저온 프로세스는 고온 프로세스보다 낮은 약 20 내지 40 ℃의 온도를 지칭한다. 억제제 노출을 사용한 저온 프로세스는 도 2a에 도시된 방법 200a에 따라 수행되었다. 구조식 II에 따른 코발트 전구체가 공-반응물질로서 H2와 함께 사용되고 H2/NH3 혼합물이 억제제 노출에 사용된다. NH3는 H2/NH3 혼합물의 1 내지 2 % 체적이다.
도 3은 온도 감소에 따라 45 % 하락하는 성장 레이트를 갖는, 성장 레이트가 온도에 영향을 받는 것을 도시한다. 그러나, 억제제 도즈는 65 %의 하락으로, 느려지는 성장 레이트에 훨씬 보다 효과적이다. 이러한 방식으로, ALD 프로세스가 보다 느리면, 보다 큰 컨포멀성 및 단차 커버리지를 발생시킨다.
도 4a는 22 내지 27 ㎚의 상단 CD (critical dimension) 개구부를 갖는 트렌치들 상의 ALD 코발트 막들의 TEM (transmission electron microscope) 이미지들을 도시한다. 좌측 이미지는 도 3을 참조하여 기술된 바와 같은 고온 프로세스를 사용하여 및 억제제 노출 없는 ALD 코발트 증착을 도시한다. 우측 이미지는 도 3을 참조하여 기술된 바와 같이 억제제 노출을 사용한 저온 프로세스를 사용한 ALD 코발트 증착을 도시한다. 막 단차 커버리지 및 막 형태론은 저온 및 억제제 노출을 사용함으로써 개선된다. 도 4b는 도 2a에 대해 상기 기술된 바와 같은 ALD 프로세스를 사용한 코발트 갭 충진의 TEM 이미지를 도시한다.
구조식들 I 및 II에 따른 전구체를 사용하여, CCTBA에 필적할만한, 25 ㎚에서 대략 12 μohm-㎝의 막 저항률을 갖는, 순수하고 결정성의 ALD 코발트 막들이 NH3 억제제 노출을 사용하여 ALD에 의해 획득된다. 이는 NH3 억제제의 질소가 막 내로 통합되지 않는다는 것을 나타낸다. 도 5는 억제제 노출과 함께 그리고 억제제 노출 없이 ALD에 의해 증착된 코발트 막들에 대한 x-선 광전자 스펙트럼을 도시한다. 스펙트럼은 2 개의 막들에 대해 무시할만한 질소 불순물들 및 유사한 탄소 함량이 있다는 것을 도시한다. 이는 막 내로 통합된 억제제-연관된 불순물이 없다는 것을 나타낸다.
장치
도 6은 저압 분위기를 유지하기 위한 프로세스 챔버 (602) 를 갖는 ALD 프로세스 스테이션 (600) 의 실시예의 개략적 예시를 도시한다. 복수의 ALD 프로세스 스테이션들은 공통 저압 프로세스 툴 분위기 내에 포함될 수도 있다. 예를 들어, 도 7은 멀티-스테이션 프로세싱 툴 (700) 의 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 논의된 것들을 포함하는 ALD 프로세스 스테이션 (600) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (650) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (600) 은 분배 샤워헤드 (606) 로 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (601a) 과 유체적으로 통신한다. 반응 물질 전달 시스템 (601a) 은 샤워헤드 (606) 로의 전달을 위해 프로세스 가스들, 예컨대 코발트 전구체 가스, 수소-함유 가스, 또는 질소-함유 가스를 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (604) 을 포함한다. 하나 이상의 혼합 용기 유입부 밸브들 (620) 이 혼합 용기 (604) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 다양한 실시예들에서, 코발트 막의 증착이 프로세스 스테이션 (600) 에서 수행되고 일부 실시예들에서, 배리어 층 증착 또는 코발트 갭 충진과 같은 다른 동작들이 도 7에 대해 이하에 더 기술된 바와 같이 멀티-스테이션 프로세싱 툴 (700) 의 동일한 또는 다른 스테이션에서 수행될 수도 있다.
예로서, 도 6의 실시예는 혼합 용기 (604) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점 (603) 을 포함한다. 일부 실시예들에서, 기화 지점 (603) 은 가열된 기화기일 수도 있다. 일부 실시예들에서, 액체 전구체 또는 액체 반응물질이 액체 주입기 (미도시) 에서 기화될 수도 있다. 예를 들어, 이러한 액체 주입기는 혼합 용기 (604) 의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 실시예에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (603) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (604) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (606) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (603) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세싱 챔버 (602) 로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
샤워헤드 (606) 는 프로세스 가스들을 기판 (612) 을 향해 분배한다. 도 6에 도시된 실시예에서, 기판 (612) 은 샤워헤드 (606) 밑에 위치되고 페데스탈 (608) 상에 놓인 것으로 도시된다. 샤워헤드 (606) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (612) 으로 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (608) 은 기판 (612) 을 기판 (612) 과 샤워헤드 (606) 사이의 볼륨에 노출하도록 상승되거나 하강될 수도 있다. 일부 실시예들에서, 페데스탈 (608) 은 히터 (610) 를 통해 온도 제어될 수도 있다. 페데스탈 (608) 은 다양한 개시된 실시예들을 수행하기 위한 동작들 동안, 임의의 적합한 온도, 예컨대 약 25 ℃ 내지 약 650 ℃ 로 설정될 수도 있다. 상기 기술된 바와 같이, 페데스탈 온도는 코발트 막의 ALD 증착 동안 50 ℃ 내지 150 ℃, 또는 50 ℃ 내지 100 ℃ 일 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (650) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다. 프로세스 페이즈의 완료시, 페데스탈 (608) 은 페데스탈 (608) 로부터 기판 (612) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
일부 실시예들에서, 샤워헤드 (606) 의 위치는 기판 (612) 과 샤워헤드 (606) 사이의 체적을 변화시키도록 페데스탈 (608) 에 상대적으로 조정될 수도 있다. 또한, 페데스탈 (608) 및/또는 샤워헤드 (606) 의 수직 위치는 본 개시의 범위 내에서 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (608) 은 기판 (612) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 제어기 (650) 는 도 7의 시스템 제어기 (750) 대해 이하에 기술된 임의의 특징들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (650) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 단계를 위한 모든 인스트럭션들이 이 프로세스 단계와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들이 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 반응물질 가스 (예를 들어, 코발트 전구체와 같은 제 1 전구체), 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 및/또는 반응물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 H2 또는 NH3와 같은 제 2 반응물질 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 플라즈마를 점화하기 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4, 후속하는 레시피 페이즈는 불활성 및/또는 반응 가스의 플로우 레이트를 조절하거나 중단시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고 그리고/또는 본 개시의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
또한, 일부 실시예들에서, 프로세스 스테이션 (600) 에 대한 압력 제어는 버터플라이 밸브 (618) 에 의해 제공될 수도 있다. 도 6의 실시예에 도시된 바와 같이, 버터플라이 밸브 (618) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀링한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (600) 의 압력 제어는 또한 프로세스 스테이션 (600) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변함으로써 조정될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 프로세싱 툴에 포함될 수도 있다. 도 7은 인바운드 로드록 (702) 및 아웃바운드 로드록 (704) 중 하나 또는 양자가 리모트 플라즈마 소스 (미도시) 를 포함할 수도 있는, 인바운드 로드록 (702) 및 아웃바운드 로드록 (704) 을 갖는, 멀티스테이션 프로세싱 툴 (700) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (706) 은, 카세트로부터 포드 (708) 를 통해 인바운드 로드록 (702) 으로 로딩된 웨이퍼들을 대기 포트 (710) 를 통해 이동시키도록 구성된다. 웨이퍼 (미도시) 는 인바운드 로드록 (702) 내의 페데스탈 (712) 상에 로봇 (706) 에 의해 배치되고, 대기 포트 (710) 는 폐쇄되고, 인바운드 로드록 (702) 은 펌프 다운된다 (pump down). 인바운드 로드록 (702) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (714) 내로 도입되기 전에 인바운드 로드록 (702) 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (702) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (714) 로의 챔버 이송 포트 (716) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 7에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (714) 는 도 7에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 히팅된 페데스탈 (스테이션 1에 대해 718로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD와 PEALD 프로세스 모드 사이에서 스위칭할 수도 있다. 일부 실시예들에서, 증착 전구체에 대한 노출 및 제 2 반응물질 및 플라즈마에 대한 노출은 동일한 스테이션에서 수행된다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (714) 는 ALD 프로세스 스테이션 및 PEALD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 또한, 전처리 가스 또는 플라즈마에 대한 노출 및 ALD 프로세스는 동일한 스테이션 또는 상이한 스테이션에서 발생할 수도 있다. 도시된 프로세싱 챔버 (714) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 7은 프로세싱 챔버 (714) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (790) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (790) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 7은 또한 프로세스 툴 (700) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (750) 의 실시예를 도시한다. 시스템 제어기 (750) 는 하나 이상의 메모리 디바이스들 (756), 하나 이상의 대용량 저장 디바이스들 (754), 및 하나 이상의 프로세서들 (752) 을 포함할 수도 있다. 프로세서들 (752) 은 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 는 프로세스 툴 (700) 의 모든 액티비티들을 제어한다. 시스템 제어기 (750) 는 대용량 저장 디바이스 (754) 에 저장되고 메모리 디바이스 (756) 내로 로딩되어 프로세서 (752) 상에서 실행되는 시스템 제어 소프트웨어 (758) 를 실행한다. 대안적으로, 제어 로직은 제어기 (750) 내에서 하드 코딩될 수 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGA (field-programmable gate arrays)) 등이 이들 목적들을 위해서 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 필적할만한 하드 코딩된 로직이 대신에 사용될 수도 있다. 시스템 제어 소프트웨어 (758) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 플라즈마 노출 지속기간, UV 복사 지속기간, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (700) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (758) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (750) 와 연관된 대용량 저장 디바이스 (754) 및/또는 메모리 디바이스 (756) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (718) 상에 기판을 로딩하고 기판과 프로세스 툴 (700) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 코발트 전구체 가스들, 공-반응물질 가스들, 억제제 가스들 및 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따른 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (750) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (750) 의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (700) 의 아날로그 및 디지털 출력 접속부들에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, 압력 센서들 (예컨대 압력계들), 써모커플들, 등을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하도록 이들 센서들로부터 데이터를 사용할 수도 있다.
시스템 제어기 (750) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (750) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이고, 장치가 개시된 실시예들에 따른 방법을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (750) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (750) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (750) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (750) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (750) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (750) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (750) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (750) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (750) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (750) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다. 도 6 및 도 7이 본 명세서에 개시된 방법들을 수행하도록 사용될 수도 있는 챔버들 및 툴들의 예들을 제공하지만, 다양한 수정들이 이루어질 수도 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (750) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (19)

  1. 하나 이상의 피처들을 갖는 기판을 제공하는 단계로서, 피처 각각은 피처 개구부를 포함하는, 상기 기판을 제공하는 단계; 및
    상기 하나 이상의 피처들에 컨포멀한 (conformal) 코발트 층을 증착하기 위한 복수의 사이클들을 수행하는 단계로서, 사이클 각각은,
    상기 하나 이상의 피처들 상에 흡착된 코발트 전구체 층을 형성하도록 코발트 전구체의 도즈에 상기 피처를 노출하는 단계;
    상기 하나 이상의 피처들 상의 상기 흡착된 코발트 전구체 층과 반응하도록 공-반응물질의 도즈에 상기 흡착된 코발트 전구체 층을 노출하는 단계; 및
    상기 공-반응물질 및 질소-함유 코발트 성장 억제제의 혼합물의 도즈에 상기 피처를 노출하는 단계를 포함하는, 상기 복수의 사이클들을 수행하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 하나 이상의 피처들 상의 상기 흡착된 코발트 전구체 층과 반응하도록 상기 흡착된 코발트 전구체를 상기 공-반응물질의 도즈에 노출하는 단계는 상기 공-반응물질 및 상기 질소-함유 코발트 성장 억제제의 상기 혼합물의 도즈에 상기 피처를 노출하기 전에 수행되는, 방법.
  3. 제 1 항에 있어서,
    상기 하나 이상의 피처들 상의 상기 흡착된 코발트 전구체 층과 반응하도록 상기 흡착된 코발트 전구체를 상기 공-반응물질의 도즈에 노출하는 단계는 상기 공-반응물질 및 상기 질소-함유 코발트 성장 억제제의 상기 혼합물의 도즈에 상기 피처를 노출한 후에 수행되는, 방법.
  4. 제 1 항에 있어서,
    상기 코발트 전구체는 화학식 (I) 에 따른 구조를 갖고,
    Figure pat00005

    여기서 R1은 C1-C8-알킬이고, R2는 C1-C8 알킬이고, x는 0, 1 또는 2이고; 그리고 y는 0 또는 1인, 방법.
  5. 제 1 항에 있어서,
    상기 코발트 전구체는 화학식 (II) 에 따른 구조를 갖는, 방법.
    Figure pat00006
  6. 제 1 항에 있어서,
    상기 코발트 전구체는 디카르보닐 사이클로펜타디에닐 코발트, 코발트 카르보닐, 코발트 아미디네이트 전구체, 코발트 디아자디에닐 착체, 및 코발트 아미디네이트/구아니디네이트 전구체로 구성된 그룹으로부터 선택되는, 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 복수의 사이클들 동안 상기 기판 온도는 150 ℃ 이하의 온도로 유지되는, 방법.
  8. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 복수의 사이클들 동안 상기 기판 온도는 100 ℃ 이하의 온도로 유지되는, 방법.
  9. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 복수의 사이클들 동안 상기 기판 온도는 90 ℃ 이하의 온도로 유지되는, 방법.
  10. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 코발트 층은 배리어 층 상에 증착되는, 방법.
  11. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 공-반응물질은 수소 (H2) 인, 방법.
  12. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 공-반응물질은 수소 (H2) 이고, 그리고 상기 질소-함유 코발트 억제제는 암모니아 (NH3) 인, 방법.
  13. 제 12 항에 있어서,
    NH3는 상기 혼합물의 0.5 % 내지 20 % (volumetric) 인, 방법.
  14. 제 12 항에 있어서,
    NH3는 상기 혼합물의 0.5 % 내지 5 % (volumetric) 인, 방법.
  15. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    사이클 각각은 상기 피처를 상기 코발트 전구체의 도즈에 노출한 후 그리고 상기 흡착된 코발트 전구체를 상기 공-반응물질의 도즈에 노출하기 전 상기 기판이 하우징되는 챔버를 퍼지하는 단계를 더 포함하는, 방법.
  16. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    사이클 각각은 상기 피처를 상기 공-반응물질 및 상기 질소-함유 코발트 성장 억제제의 혼합물의 도즈에 노출한 후 상기 기판이 하우징되는 챔버를 퍼지하는 단계를 더 포함하는, 방법.
  17. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 피처를 상기 공-반응물질의 도즈에 노출하는 단계와 상기 피처를 상기 공-반응물질 및 상기 질소-함유 코발트 성장 억제제의 혼합물의 도즈에 노출하는 단계 사이에 퍼지가 없는, 방법.
  18. (a) 코발트 전구체를 프로세스 챔버로 도입하는 단계;
    (b) 상기 코발트 전구체의 도즈를 도입한 후, 상기 프로세스 챔버를 퍼지하는 단계;
    (c) 상기 프로세스 챔버를 퍼지한 후, H2 공-반응물질의 도즈를 도입하는 단계;
    (d) 상기 H2 공-반응물질의 도즈를 도입한 후, 상기 H2 공-반응물질 및 질소-함유 화합물의 혼합물의 도즈를 도입하는 단계로서, 상기 질소-함유 화합물은 상기 H2 공-반응물질 및 상기 질소-함유 화합물의 총량의 0.5 % 내지 20 % (volumetric) 인, 상기 혼합물의 도즈를 도입하는 단계;
    (e) 상기 H2 공-반응물질 및 질소-함유 화합물의 혼합물의 도즈를 도입한 후, 상기 프로세스 챔버를 퍼지하는 단계; 및
    상기 단계 (a) 내지 상기 단계 (e) 를 1 회 이상 반복하는 단계를 포함하는, 방법.
  19. 프로세스 챔버;
    상기 프로세스 챔버 및 연관된 플로우-제어 하드웨어로의 하나 이상의 가스 유입부들; 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기로서,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신가능하게 연결되고,
    상기 적어도 하나의 프로세서는 상기 플로우-제어 하드웨어와 적어도 동작가능하게 연결되고, 그리고
    상기 메모리는,
    (a) 코발트 전구체를 프로세스 챔버로 도입하는 단계;
    (b) 상기 코발트 전구체의 도즈를 도입한 후, 상기 프로세스 챔버를 퍼지하는 단계;
    (c) 상기 프로세스 챔버를 퍼지한 후, H2 공-반응물질의 도즈를 도입하는 단계;
    (d) 상기 H2 공-반응물질의 도즈를 도입한 후, 상기 H2 공-반응물질 및 질소-함유 화합물의 혼합물의 도즈를 도입하는 단계로서, 상기 질소-함유 화합물은 상기 H2 공-반응물질 및 상기 질소-함유 화합물의 총량의 0.5 % 내지 20 % (volumetric) 인, 상기 혼합물의 도즈를 도입하는 단계;
    (e) 상기 H2 공-반응물질 및 질소-함유 화합물의 혼합물의 도즈를 도입한 후, 상기 프로세스 챔버를 퍼지하는 단계; 및
    상기 단계 (a) 내지 상기 단계 (e) 를 1 회 이상 반복하는 단계를 위한 컴퓨터-실행가능 인스트럭션들을 저장하는, 상기 제어기를 포함하는, 장치.
KR1020180044769A 2017-04-20 2018-04-18 Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치 KR102599906B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/492,976 2017-04-20
US15/492,976 US10242879B2 (en) 2017-04-20 2017-04-20 Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition

Publications (2)

Publication Number Publication Date
KR20180118055A true KR20180118055A (ko) 2018-10-30
KR102599906B1 KR102599906B1 (ko) 2023-11-07

Family

ID=63854662

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180044769A KR102599906B1 (ko) 2017-04-20 2018-04-18 Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치

Country Status (4)

Country Link
US (1) US10242879B2 (ko)
KR (1) KR102599906B1 (ko)
CN (1) CN108847394B (ko)
TW (1) TWI759461B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200102362A (ko) * 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013148880A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020118100A1 (en) 2018-12-05 2020-06-11 Lam Research Corporation Void free low stress fill
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
CN111799329A (zh) 2019-04-08 2020-10-20 三星电子株式会社 半导体器件
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN115917037A (zh) 2020-05-27 2023-04-04 盖列斯特有限公司 从n-烷基取代的全氢化环三硅氮烷制备的硅基薄膜
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240052846A (ko) 2021-10-05 2024-04-23 어플라이드 머티어리얼스, 인코포레이티드 저 저항률 텅스텐 피처들을 형성하기 위한 방법들

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050008320A (ko) * 2003-07-15 2005-01-21 삼성전자주식회사 코발트 막 증착 방법
KR20110052436A (ko) * 2009-11-12 2011-05-18 노벨러스 시스템즈, 인코포레이티드 반도체 공정의 k값 회복 및 표면 세정을 위한 uv 및 환원제 처리
KR20120043077A (ko) * 2009-08-07 2012-05-03 시그마-알드리치 컴퍼니., 엘엘씨 고분자량 알킬알릴 코발트트리카르보닐 착체 및 유전체 박막 제조를 위한 그 용도
US20150093890A1 (en) * 2013-09-27 2015-04-02 James M. Blackwell Cobalt metal precursors
US20150162214A1 (en) * 2013-12-09 2015-06-11 Applied Materials, Inc. Methods Of Selective Layer Deposition
KR20160024351A (ko) * 2014-08-21 2016-03-04 램 리써치 코포레이션 보이드-프리 코발트 갭 충진을 위한 방법
KR20160061885A (ko) * 2014-11-24 2016-06-01 램 리써치 코포레이션 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR20160108174A (ko) * 2015-03-04 2016-09-19 램 리써치 코포레이션 Tsv들 (through silicon vias) 내로 구리의 전착을 위한 니켈 라이너 및 코발트 라이너의 전처리

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE20108954U1 (de) * 2001-05-29 2002-10-10 Ramsauer, Dieter, 42555 Velbert Stangenverschluß
JP2003022985A (ja) * 2001-07-10 2003-01-24 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびその製造装置
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US7858510B1 (en) 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US20120017784A1 (en) * 2009-01-20 2012-01-26 James Butcher Method of providing a printed date
JP5529613B2 (ja) * 2009-04-17 2014-06-25 キヤノン株式会社 光電変換装置及び撮像システム
JP4816773B2 (ja) * 2009-07-16 2011-11-16 株式会社デンソー 排気成分濃度センサの応答性検出装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8586473B1 (en) 2012-06-26 2013-11-19 GlobalFoundries, Inc. Methods for fabricating integrated circuits with ruthenium-lined copper
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP5855050B2 (ja) * 2013-07-10 2016-02-09 キヤノン株式会社 トランスデューサ、被検体情報取得装置
US9153482B2 (en) 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
US20160002784A1 (en) * 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050008320A (ko) * 2003-07-15 2005-01-21 삼성전자주식회사 코발트 막 증착 방법
KR20120043077A (ko) * 2009-08-07 2012-05-03 시그마-알드리치 컴퍼니., 엘엘씨 고분자량 알킬알릴 코발트트리카르보닐 착체 및 유전체 박막 제조를 위한 그 용도
KR20110052436A (ko) * 2009-11-12 2011-05-18 노벨러스 시스템즈, 인코포레이티드 반도체 공정의 k값 회복 및 표면 세정을 위한 uv 및 환원제 처리
US20150093890A1 (en) * 2013-09-27 2015-04-02 James M. Blackwell Cobalt metal precursors
US20150162214A1 (en) * 2013-12-09 2015-06-11 Applied Materials, Inc. Methods Of Selective Layer Deposition
KR20160024351A (ko) * 2014-08-21 2016-03-04 램 리써치 코포레이션 보이드-프리 코발트 갭 충진을 위한 방법
KR20160061885A (ko) * 2014-11-24 2016-06-01 램 리써치 코포레이션 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR20160108174A (ko) * 2015-03-04 2016-09-19 램 리써치 코포레이션 Tsv들 (through silicon vias) 내로 구리의 전착을 위한 니켈 라이너 및 코발트 라이너의 전처리

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200102362A (ko) * 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치

Also Published As

Publication number Publication date
US10242879B2 (en) 2019-03-26
CN108847394B (zh) 2024-03-15
CN108847394A (zh) 2018-11-20
KR102599906B1 (ko) 2023-11-07
TWI759461B (zh) 2022-04-01
TW201903197A (zh) 2019-01-16
US20180308701A1 (en) 2018-10-25

Similar Documents

Publication Publication Date Title
KR102599906B1 (ko) Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치
CN110959186B (zh) 在互连金属化中沉积钌层
US9349637B2 (en) Method for void-free cobalt gap fill
US9748137B2 (en) Method for void-free cobalt gap fill
US10283404B2 (en) Selective deposition of WCN barrier/adhesion layer for interconnect
US10438847B2 (en) Manganese barrier and adhesion layers for cobalt
JP2022547025A (ja) モリブデン堆積
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
KR20230027036A (ko) 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들
TW202200821A (zh) 用於反應氣體脈衝輸送的方法與設備、以及有形機器可讀媒體
TW202317798A (zh) 鉬的沉積
US20230290639A1 (en) Low resistance gate oxide metallization liner
JP2023502512A (ja) 金属相互接続構造におけるドーピングプロセス

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant