KR20180019782A - 선택적 에피택시 방법 - Google Patents

선택적 에피택시 방법 Download PDF

Info

Publication number
KR20180019782A
KR20180019782A KR1020187004686A KR20187004686A KR20180019782A KR 20180019782 A KR20180019782 A KR 20180019782A KR 1020187004686 A KR1020187004686 A KR 1020187004686A KR 20187004686 A KR20187004686 A KR 20187004686A KR 20180019782 A KR20180019782 A KR 20180019782A
Authority
KR
South Korea
Prior art keywords
silicon
germane
germanium
silane
trench
Prior art date
Application number
KR1020187004686A
Other languages
English (en)
Inventor
이-차우 후앙
후아 청
아비셰크 두베
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180019782A publication Critical patent/KR20180019782A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Inorganic Chemistry (AREA)

Abstract

본 개시내용의 실시예들은 일반적으로 실리콘 산화물 및 실리콘 질화물과 같은 유전체들에 대한 성장의 선택성을 잃지 않고 고품질 에피택셜 실리콘 함유 재료의 트렌치 충진을 위한 방법들에 관한 것이다. 이 방법들은 유전체 층에 형성된 트렌치를 할로겐화 실리콘 화합물 및 할로겐화 게르마늄 화합물을 포함하는 가스 혼합물에 노출시킴으로써 상기 트렌치 내에 실리콘 함유 재료를 에피택셜 성장시키는 단계를 포함한다. 일 실시예에서, 상기 할로겐화 실리콘 화합물은 염소화 실란을 포함하고 상기 할로겐화 게르마늄 화합물은 염소화 게르만을 포함한다.

Description

선택적 에피택시 방법
본 개시내용의 실시예들은 일반적으로 반도체 제조 프로세스들 및 디바이스들의 분야에 관한 것이고, 특히, 반도체 디바이스들을 형성하기 위해 실리콘 함유 막들을 퇴적하는 방법들에 관한 것이다.
반도체 산업은 대개 평면인 2D 트랜지스터들로부터 3-차원 게이트 구조물을 이용하는 3D 트랜지스터들로 이행하는 시대에 있다. 3D 게이트 구조물들에서, 채널, 소스 및 드레인이 기판으로부터 상승되고, 그 후 게이트가 채널을 3면에서 둘러싼다. 목표는, 전류를 상승된 채널로만 국한시키고, 전자들이 누설될 수 있는 임의의 경로를 무효화(abolish)하는 것이다. 이러한 유형의 3D 트랜지스터들 중 하나는 FinFET(Fin field-effect transistor)으로 알려져 있고, 거기에서 소스와 드레인을 접속하는 채널은 기판으로부터 돌출되는 얇은 "핀(fin)"이다. 이로 인해, 전류는 채널로 국한되고, 그에 의해 전자들의 누설이 방지된다.
전형적인 FinFET 구조물은 벌크 실리콘 기판 상에 형성된 유전체 층 스택을 가질 수 있다. 유전체 층 스택은 실리콘 산화물 및 실리콘 질화물을 포함할 수 있다. 유전체 층 스택은 소스/드레인 영역들에 필요한 얕은 트렌치 격리 구조를 위한 트렌치들을 형성하도록 에칭될 수 있다. 그 후 트렌치들은 선택적 에피택셜 프로세스를 사용하여 실리콘, 게르마늄, 또는 실리콘 게르마늄으로 충진된다. 트렌치 충진 동안, 에피택셜 재료, 예를 들어 실리콘 게르마늄은 트렌치들의 측벽 상의 실리콘 질화물 영역들보다 실리콘 산화물 영역들에 대해 더 선택적인 것으로 관찰되었다. 이러한 현상은 실리콘 산화물 측벽을 갖는 좁은 트렌치들에서의 에피택셜 성장을 매우 어렵게 하는 한편, 측벽 상의 실리콘 질화물 영역들에 대해 선택적인 동일한 성장을 갖는다. 에피택셜 재료가 Si (100) 표면에서 시작하는 경우, 성장의 그러한 선택성은 또한 에피택셜 재료가 <110> 방향들을 따라 배향된 트렌치들 내에 패싯들을 형성하게 한다. 에피택셜 재료의 표면 형태는 패싯들의 형성으로 인해 피해를 겪어, 결과적으로 결함들의 농도가 더 높아지고 전기적 속성들이 열악해진다.
그러므로, 실리콘 산화물 및 실리콘 질화물과 같은 유전체들에 대한 성장의 선택성을 잃지 않고 트렌치들 내에 실리콘 게르마늄을 성장시킬 수 있는 개선된 선택적 에피택셜 프로세스가 필요하다.
본 개시내용의 실시예들은 일반적으로 실리콘 산화물, 실리콘 질화물, 또는 이들의 조합을 포함하는 기판 또는 층들 상의 트렌치 격리 구조에서, 실리콘 게르마늄과 같은 실리콘 함유 재료의 선택적 에피택셜 성장을 위한 방법들에 관한 것이다. 일 실시예에서, 상기 방법은 유전체 층에 형성된 트렌치를 할로겐화 실리콘 화합물 및 할로겐화 게르마늄 화합물을 포함하는 가스 혼합물에 노출시킴으로써 상기 트렌치 내에 실리콘 함유 재료를 에피택셜 성장시키는 단계를 포함한다. 일례에서, 상기 할로겐화 실리콘 화합물은 염소화 실란을 포함하고 상기 할로겐화 게르마늄 화합물은 염소화 게르만을 포함한다.
다른 실시예에서, 상기 방법은 실리콘 기판 상에 유전체 층을 형성하는 단계, 상기 유전체 층에 트렌치를 형성하여 상기 트렌치를 통해 상기 실리콘 기판의 부분을 노출시키는 단계, 및 상기 트렌치를 할로겐화 실리콘 화합물 및 할로겐화 게르마늄 화합물을 포함하는 가스 혼합물에 노출시킴으로써 상기 트렌치 내에 실리콘 함유 재료를 에피택셜 성장시키는 단계를 포함한다.
또 다른 실시예에서, 상기 방법은 기판 위에 형성된 유전체 층의 영역을 염소화 게르만 가스 및 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 또는 테트라실란(Si4H10)을 포함하는 실리콘 함유 가스를 포함하는 가스 혼합물에 노출시킴으로써 상기 유전체 층 상에 실리콘 게르마늄을 에피택셜 성장시키는 단계를 포함하고, 상기 영역은 실리콘 산화물 및 실리콘 질화물을 포함한다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 실시예들에 따른 집적 회로를 제조하기 위한 예시적인 방법을 설명하는 흐름도이다.
도 2a 내지 도 2e는 도 1의 흐름도에 따른 제조의 특정 스테이지들 동안의 간략화된 개념적 집적 회로의 사시도들을 도시한다.
이해를 용이하게 하기 위해, 가능한 경우에는, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예에 개시된 요소들은 구체적인 언급 없이도 다른 실시예들에서 유익하게 이용될 수 있을 것으로 예상된다.
본 개시내용의 실시예들은 전자 신호들을 증폭하거나 스위칭하기 위해 이용되는 트랜지스터들과 같은 반도체 디바이스들을 제조하기 위한 방법들을 제공한다. 예를 들어, 개시된 방법들은 CMOS(Complementary Metal-Oxide-Semiconductor) 트랜지스터들의 제조에서 이용될 수 있다. 본 개시내용에 설명된 실시예들은 일반적인 용어 "집적 회로"를 예로서 사용하지만, 이들 실시예들은 바이폴라, N-형 또는 P-형 금속 산화물 반도체(NMOS 또는 PMOS), 또는 CMOS 등과 같은 임의의 집적 회로 기술들에 동등하게 적용 가능하다는 것을 이해해야 한다. 특히, 본 개시내용의 실시예들은 NMOS/PMOS 인버터들 또는 게이트들, CMOS 인버터들 또는 게이트들, 게이트 구조물을 포함하는 임의의 집적 회로 디바이스들, 또는 트랜지스터들(2D 또는 3D) 또는 다중 게이트 구조물들을 갖는 임의의 집적 회로 디바이스들을 제조하는 프로세스들에 유익할 수 있다.
도 1은 본 개시내용의 실시예들에 따른 집적 회로를 제조하기 위한 예시적인 방법(100)을 설명하는 흐름도를 도시한다. 도 1은, 도 1의 흐름도에 따른 제조의 특정 스테이지들 동안의 간략화된 개념적 집적 회로의 사시도들을 도시하는, 도 2a 내지 도 2e를 참조하여 예시적으로 설명된다. 본 기술분야의 통상의 기술자들은 도 2a 내지 도 2e의 구조물들이, 이해를 용이하게 하기 위해 대강의 상대적인 크기들 또는 치수들을 도시하기 위해 일반적으로 그려지지만, 일정한 비율로 그려진 것은 아니라는 것을 알 것이다. 본 기술분야의 통상의 기술자들은 트랜지스터 회로 및 관련된 구조물들을 형성하기 위한 완전한 프로세스가 도면들에 도시되거나 본 명세서에 설명되지는 않는다는 것을 더 알 것이다. 대신에, 단순함 및 명료함을 위해, 트랜지스터 회로 및 관련된 구조물을 형성하기 위한 프로세스 중에서 본 개시내용에 고유하거나 본 개시내용의 이해에 필요한 만큼만이 도시되고 설명된다. 추가로, 다양한 단계들이 도면들에 도시되고 본 명세서에 설명되지만, 그러한 단계들의 순서, 또는 중간 단계들의 존재 또는 부재에 관한 한정이 암시되지는 않는다. 순차적인 것으로 도시되거나 설명된 단계들은, 명시적으로 지정되지 않는 한은, 설명의 목적으로만 그렇게 되어 있는 것이고, 각각의 단계들이 전체적으로는 아니더라도 적어도 부분적으로, 실제로 동시에 또는 겹치는 방식으로 수행될 가능성을 배제하지 않는다.
방법(100)은 블록(102)에서 기판(200)을 프로세스 챔버에 로딩하는 것에 의해 시작된다. 프로세스 챔버는 임의의 적절한 열 프로세스 챔버 또는 플라즈마 강화 열 프로세스 챔버일 수 있다. 본 명세서에서 사용되는 "기판"이라는 용어는 프로세스 챔버 내에서 처리될 수 있는 임의의 객체를 광범위하게 커버하도록 의도된다. 예를 들어, 기판(200)은 실리콘 기판, 예를 들어 실리콘(도핑된 또는 도핑되지 않은), 결정질 실리콘(예를 들어, Si <100> 또는 Si <111>), 실리콘 산화물, 응력 가해진 실리콘, 도핑된 또는 도핑되지 않은 폴리실리콘, 또는 그와 유사한 것, 게르마늄, III-V 화합물 기판, 실리콘 게르마늄(SiGe) 기판, 실리콘 게르마늄 탄화물(SiGeC) 기판, 실리콘 게르마늄 산화물(SiGeO) 기판, 실리콘 게르마늄 옥시니트라이드(SiGeON) 기판, 실리콘 탄화물(SiC) 기판, 실리콘 카보니트라이드(SiCN) 기판, 실리콘 카보옥사이드(SiCO), epi 기판, 실리콘-온-인슐레이터(SOI) 기판, 탄소 도핑된 산화물, 실리콘 질화물, 액정 디스플레이(LCD), 플라즈마 디스플레이, 일렉트로 루미네센스(EL) 램프 디스플레이와 같은 디스플레이 기판, 태양 어레이, 태양 전지판, 발광 다이오드(LED) 기판, 패터닝된 또는 패터닝되지 않은 반도체 웨이퍼, 유리, 사파이어, 또는 금속들, 금속 합금들 및 다른 전도체 재료들과 같은 임의의 다른 재료들과 같은, 그 위에 퇴적된 재료를 가질 수 있는 임의의 기판일 수 있다. 기판은 평면 기판, 또는 패터닝된 기판일 수 있다. 패터닝된 기판들은 기판의 처리 표면 내에 또는 처리 표면 상에 형성된 전자 피쳐들을 포함하는 기판들이다. 어느 경우에서든, 기판은 단결정 표면들 및/또는 다결정 또는 비정질 표면들과 같은 비-단결정인 하나의 제2 표면을 포함할 수 있다. 기판은 다수의 층을 포함할 수 있거나, 예를 들어 트랜지스터들, 플래시 메모리 디바이스들, 및 그와 유사한 것과 같은 부분적으로 제조된 디바이스들을 포함할 수 있다. 일 실시예에서, 기판은 단결정 실리콘이다.
박스(104)에서는, 도 2a에 도시된 바와 같이, 기판(200) 상에 유전체 층(202)이 형성된다. 유전체 층(202)은 산화물, 질화물, 또는 다른 적절한 유전체 층을 포함하는 단층일 수 있거나, 산화물, 질화물, 및 다른 적절한 유전체 층을 포함하는 층 스택일 수 있다. 산화물들의 예들은 이산화 규소(SiO2), 산화 알루미늄(Al2O3), 탄소 도핑된 실리콘 산화물, 또는 실리콘 게르마늄 산화물들을 포함할 수 있지만, 이에 한정되지는 않는다. 질화물들의 예들은 실리콘 질화물 또는 실리콘 옥시니트라이드를 포함할 수 있다. 다른 적절한 유전체 재료는 티타늄 알루미늄 합금, 탄탈룸 알루미늄 합금, 티타늄 질화물, 티타늄 실리콘 질화물, 티타늄 알루미늄 질화물, 탄탈룸 질화물, 탄탈룸 실리콘 질화물, 하프늄 질화물, 하프늄 실리콘 질화물, 하프늄 디옥사이드-알루미나 합금, 알루미늄 질화물, 또는 이들의 조합을 포함할 수 있지만, 이에 한정되지는 않는다. 도시된 바와 같은 일 실시예에서, 유전체 층(202)은 실리콘 산화물(202a) 및 실리콘 질화물(202b)를 포함하는 층 스택이다. 실리콘 질화물(202b)은 도시된 바와 같이 실리콘 산화물(202a) 상에 퇴적될 수 있거나, 그 반대일 수 있다.
박스(106)에서는, 도 2b에 도시된 바와 같이, 유전체 층(202)에 아래로 기판(200)까지 트렌치들(204)이 형성된다. 각각의 트렌치들(204)은 측벽들 및 바닥 부분을 가지며, 폭이 약 20 nm 내지 30 nm일 수 있다. 트렌치들(204)은 종횡비가 높고, 예를 들어, 1:1(깊이 대 폭) 이상, 예를 들어 약 2:1 내지 약 10:1 이상, 예를 들어 20:1일 수 있다. 트렌치들(204)은 제거될 유전체 재료 및 응용에 따라서는 임의의 적절한 습식 에천트들 또는 건식 에천트들을 사용한 선택적 에칭 프로세스에 의해 형성될 수 있다. 도시된 바와 같은 실시예에서, 트렌치들(204)은 실리콘 산화물(202a) 및 실리콘 질화물(202b)의 부분들을 이방성으로 제거하여 하부 기판(200)을 노출시킴으로써 형성된다. 트렌치들(204)이 형성되면, 기판(200)의 최상부면(206)의 부분이 노출되고, 트렌치 측벽(208)은 실리콘 산화물 영역들(예를 들어, 실리콘 산화물(202a)) 및 실리콘 질화물 영역들(예를 들어 실리콘 질화물(202b))을 드러낼 것이다.
박스(108)에서는, 도 2c에 도시된 바와 같이, 트렌치들(204)이 실리콘 함유 에피택셜 재료(210)로 충진된다. 실리콘 함유 에피택셜 재료는 트렌치들(204)에서 에피택셜 성장된다. 에피택셜 성장은 기판(200)의 Si(100) 표면에서 시작하여 트렌치들(204)을 충진할 수 있다. 실리콘 함유 재료의 에피택셜 성장은 기판(200)을 프로세스 챔버 내로 도입된 하나 이상의 처리 시약에 노출시킴으로써 개시될 수 있다. 처리 시약들은 가스 혼합물 또는 분리된 가스 혼합물들의 형태로 동시에 또는 순차적으로 프로세스 챔버 내로 도입될 수 있다. 처리 시약들은 하나 이상의 퇴적 가스를 포함할 수 있다. 실리콘 함유 에피택셜 재료가 요구되는 경우들에서, 퇴적 가스는 할로겐화 실리콘 화합물을 포함하는 실리콘 소스를 포함할 수 있다. 실리콘 게르마늄 에피택셜 재료가 요구되는 경우, 퇴적 가스는 할로겐화 실리콘 화합물을 포함하는 실리콘 소스 및 할로겐화 게르마늄 화합물을 포함하는 게르마늄 소스를 포함할 수 있다. 대부분의 경우, 에피택셜 성장은 Cl2 및 HCl과 같은 에천트 가스들을 사용하지 않고 수행된다. 그러나, 일부 경우들에서, 에피택셜 성장은 재료 층의 형성을 돕기 위해 Cl2 및 HCl과 같은 에천트 가스들을 사용하여 수행된다. 일부 실시예들에서, 처리 시약은 적어도 하나의 도펀트 가스를 포함할 수 있다. 금속들, 할로겐들 또는 수소들과 같은 다른 원소들도 통상적으로 ppm(part per million) 농도들로 실리콘 함유 또는 게르마늄 함유 에피택셜 층 내에 통합될 수 있을 것으로 예상된다.
도펀트 가스는 전자 디바이스가 필요로 하는 제어 및 요구되는 경로에서의 방향성 전자 유동과 같이, 요구되는 전도체 특성 및 다양한 전기 특성들을 갖는 퇴적된 에피택셜 층을 제공한다. 응용에 따라서는, 붕소 함유 도펀트와 같은 p-형 도펀트 가스 또는 인 함유 도펀트와 같은 n-형 도펀트 가스가 퇴적 가스들의 가스 혼합물과 함께 프로세스 챔버 내로 도입될 수 있다. 인 함유 도펀트들은 포스핀(PH3)을 포함할 수 있다. 붕소 함유 도펀트들은 보란들 및 유기 보란들을 포함할 수 있다. 보란들은 보란, 디보란(B2H6), 트리보란, 테트라보란 및 펜타보란을 포함하는 한편, 알킬보란들은 실험식 RxBH(3-x)를 갖는 화합물들을 포함하며, 여기서 R = 메틸, 에틸, 프로필 또는 부틸이고, x = 1, 2 또는 3이다. 알킬보란들은 트리메틸보란((CH3)3B), 디메틸보란((CH3)2BH), 트리에틸보란((CH3CH2)3B) 및 디에틸보란((CH3CH2)2BH)을 포함한다. 도펀트들은 또한 아르신(arsine)(AsH3) 및 알킬포스핀, 예를 들어 실험식 RxPH(3-x)를 갖는 것을 포함할 수 있으며, 여기서 R = 메틸, 에틸, 프로필 또는 부틸이고, x = 1, 2 또는 3이다. 알킬포스핀들은 트리메틸포스핀((CH3)3P), 디메틸포스핀((CH3)2PH), 트리에틸포스핀((CH3CH2)3P) 및 디에틸포스핀((CH3CH2)2PH)을 포함한다. 알루미늄 및 갈륨 도펀트 소스들은 알킬화된 및/또는 할로겐화 유도체들, 예를 들어 실험식 RxMX(3-x)로 기술된 것들을 포함할 수 있으며, 여기서 M = Al 또는 Ga이고, R = 메틸, 에틸, 프로필 또는 부틸이고, X = Cl 또는 F이고, x = 0, 1, 2 또는 3이다. 알루미늄 및 갈륨 도펀트 소스들의 예들은 트리메틸알루미늄(Me3Al), 트리에틸알루미늄(Et3Al), 디메틸알루미늄클로라이드(Me2AlCl), 알루미늄 클로라이드(AlCl3), 트리메틸갈륨(Me3Ga), 트리에틸갈륨(Et3Ga), 디메틸갈륨클로라이드(Me2GaCl) 및 갈륨 클로라이드(GaCl3)를 포함한다.
하나의 예시적인 실시예에서, 실리콘 함유 에피택셜 재료(210)는 실리콘 게르마늄(SiGe)이다. 그러한 경우, 퇴적 가스는 실리콘 소스 및 게르마늄 소스를 포함할 수 있다. 놀랍게도 본 발명자들은 트렌치들 내의 SiGe의 에피택셜 성장이 실리콘 소스로서의 염소화 실란 가스 및 게르마늄 소스로서의 염소화 게르만 가스를 사용하여 유전체들(예를 들어, 트렌치 측벽(208) 상에 나타나는 실리콘 산화물 영역들 및 실리콘 질화물 영역들)에 대한 성장의 선택성을 잃지 않고 달성될 수 있음을 관찰하였다. 예시적인 염소화 실란 가스들은 실리콘 테트라클로라이드(SiCl4), 모노클로로실란(SiH3Cl), 디클로로실란(Si2H2Cl2), 트리클로로실란(SiHCl3), 헥사클로로디실란(Si2Cl6), 옥타클로로트리실란(Si3Cl8), 또는 이들 중 둘 이상의 조합을 포함할 수 있지만, 이에 한정되지는 않는다. 예시적인 염소화 게르만 가스들은 게르마늄 테트라클로라이드(GeCl4), 클로로게르만(GeH3Cl), 디클로로게르만(GeH2Cl2), 트리클로로게르만(GeHCl3), 헥사클로로디게르만(Ge2Cl6), 옥타클로로트리게르만(Ge3Cl8), 또는 이들 중 둘 이상의 조합을 포함할 수 있지만, 이에 한정되지는 않는다.
일부 실시예들에서, 실리콘 게르마늄의 에피택셜 성장은 브롬화 실리콘 화합물을 포함하는 실리콘 소스 및 브롬화 게르마늄 화합물을 포함하는 게르마늄 소스를 사용하여 달성될 수 있다. 예시적인 브롬화 실리콘 화합물은 SiBr4, HSiBr3, H2SiBr2, H3SiBr, 또는 이들 중 둘 이상의 조합과 같은 브롬화 실란일 수 있다.
일부 실시예들에서, 실리콘 게르마늄의 에피택셜 성장은 실리콘 소스로서 본 명세서에 설명된 바와 같은 염소화 실란 가스 및 브롬화 실란 가스를 사용하고, 게르마늄 소스로서 본 명세서에 설명된 바와 같은 염소화 게르만 가스 및 브롬화 게르만 가스를 사용하여 달성될 수 있다.
본 명세서에 설명된 다른 실시예들과 조합될 수 있는 일 실시예에서, 하나 이상의 퇴적 가스는 에피택셜 프로세스 중에 임의의 적절한 실리콘 함유 가스 및/또는 임의의 적절한 게르마늄 함유 가스와 동기하여 또는 동시에(즉, 공동 유동 방식으로) 유동할 수 있다. 적절한 실리콘 함유 가스들은 실란들, 할로겐화 실란들 또는 유기 실란들 중 하나 이상을 포함할 수 있다. 실란들은 실란(SiH4), 및 경험식 SixH(2x+2)를 갖는 고차 실란들, 예를 들어 디실란(Si2H6), 트리실란(Si3H8), 및 테트라실란(Si4H10), 또는 폴리클로로실란과 같은 다른 고차 실란을 포함할 수 있다. 할로겐화 실란들은 경험식 X'ySixH(2x+2-y) - 여기서 X' = F, Cl, Br 또는 I - 를 갖는 화합물들, 예를 들어 헥사클로로디실란(Si2Cl6), 테트라클로로실란(SiCl4), 디클로로실란(Cl2SiH2) 및 트리클로로실란(Cl3SiH)을 포함할 수 있다. 유기 실란들은 경험식 RySixH(2x+2-y) - 여기서 R = 메틸, 에틸, 프로필 또는 부틸 - 를 갖는 화합물들, 예를 들어 메틸실란((CH3)SiH3), 디메틸실란((CH3)2SiH2), 에틸실란((CH3CH2)SiH3), 메틸디실란((CH3)Si2H5), 디메틸디실란((CH3)2Si2H4) 및 헥사메틸디실란((CH3)6Si2)을 포함할 수 있다. 적절한 게르마늄 함유 가스들은 게르만(GeH4), 디게르만(Ge2H6), 트리게르만(Ge3H8), 또는 이들 중 둘 이상의 조합을 포함할 수 있지만, 이에 한정되지는 않는다.
일부 실시예들에서, 실리콘 게르마늄의 에피택셜 성장은 본 명세서에 설명된 바와 같은 염소화 게르만 가스, 게르마늄 함유 가스 및 실리콘 함유 가스를 사용하여 달성될 수 있다. 일례에서, 염소화 게르만 가스는 게르마늄 테트라클로라이드(GeCl4) 및/또는 디클로로게르만(GeH2Cl2)이고, 게르마늄 함유 가스는 게르만(GeH4)이고, 실리콘 함유 가스는 실란, 트리클로로실란(TCS), 디클로로실란(DCS), 또는 이들 중 둘 이상의 조합이다.
일부 실시예들에서, 실리콘 게르마늄의 에피택셜 성장은 본 명세서에 설명된 바와 같은 염소화 게르만 가스 및 실리콘 함유 가스를 사용하여 달성될 수 있다. 일례에서, 염소화 게르만 가스는 게르마늄 테트라클로라이드(GeCl4) 및/또는 디클로로게르만(GeH2Cl2)이고, 실리콘 함유 가스는 실란, 트리클로로실란(TCS), 디클로로실란(DCS), 또는 이들 중 둘 이상의 조합이다.
일부 실시예들에서, 실리콘 게르마늄의 에피택셜 성장은 본 명세서에 설명된 바와 같은 브롬화 게르만 가스, 게르마늄 함유 가스, 실리콘 함유 가스를 사용하여 달성될 수 있다.
일부 실시예들에서, 실리콘 게르마늄의 에피택셜 성장은 본 명세서에 설명된 바와 같은 브롬화 게르만 가스 및 실리콘 함유 가스를 사용하여 달성될 수 있다.
본 명세서에 설명된 실시예들 중 임의의 실시예에서, 캐리어 가스가 하나 이상의 퇴적 가스와 함께 유동할 수 있다. 캐리어 가스는 에피택셜 프로세스 중의 프로세스 온도 및/또는 사용되는 퇴적 가스(들)에 기초하여 선택될 수 있다. 적절한 캐리어 가스들은 질소, 수소, 아르곤, 헬륨, 또는 에피택셜 프로세스에 대하여 불활성인 다른 가스들을 포함한다. 질소는 낮은 온도(예를 들어, <850℃) 프로세스들을 특징으로하는 실시예들에서 캐리어 가스로서 이용될 수 있다.
본 명세서에 설명된 다른 실시예들과 조합될 수 있는 일 실시예에서, 하나 이상의 퇴적 가스는 에피택셜 재료의 형상(즉, 패싯팅) 또는 표면 형태를 튜닝하는 데 도움이 되도록 Cl2, H2, HCl, HBr, 또는 H, 또는 이들 중 둘 이상의 조합과 같은 프로세스 제어 가스와 결합하여 유동할 수 있다. 그러한 경우, 하나 이상의 퇴적 가스는 미리 결정된 간격(들)으로 제공되는 프로세스 제어 가스와 함께 프로세스 챔버 내로 연속적으로 유동될 수 있다. 일부 실시예들에서, 하나 이상의 퇴적 가스 및 프로세스 제어 가스는 에피택셜 프로세스 중에 프로세스 챔버 내로 개별적으로 유동될 수 있다.
SiGe 에피택셜 재료가 요구되는 하나의 예시적인 실시예에서, 처리 시약들은 디클로로실란(DCS)을 포함하는 실리콘 소스 및 게르마늄 테트라클로라이드(GeCl4)를 포함하는 게르마늄 소스를 포함할 수 있다. 200 mm 또는 300 mm 기판에 대해, DCS는 약 30 sccm 내지 약 80 sccm, 예를 들어 약 45 sccm 내지 약 65 sccm의 범위, 예를 들어 약 50 sccm의 유량으로 프로세스 챔버 내로 제공될 수 있다. GeCl4는 약 30 sccm 내지 약 80 sccm, 예를 들어 약 45 sccm 내지 약 65 sccm의 범위, 예를 들어 약 50 sccm의 유량으로 프로세스 챔버 내로 제공될 수 있다. 캐리어 가스는 약 0.8 SLM(standard liters per minute) 내지 약 27 SLM, 예를 들어 약 1.8 SLM 내지 약 18 SLM의 범위의 유량을 가질 수 있다. 도펀트 가스(사용된다면)는 약 0.1 sccm 내지 약 600 sccm, 예를 들어 약 0.3 sccm 내지 약 15 sccm, 예를 들어 약 1 sccm 내지 약 10 sccm의 범위의 유량으로 프로세스 챔버 내로 제공될 수 있다. 총 유동은 200mm 또는 300mm 기판에 대해, 약 2 SLM(standard liters per minute) 내지 약 30 SLM, 예를 들어 약 5 SLM 내지 약 20 SLM일 수 있다. 에피택셜 프로세스는 낮은 온도 프로세스(예를 들어, 650℃ 미만)일 수 있다. 하나의 예시적인 예에서, 에피택셜 프로세스는 800℃ 이하, 예를 들어 약 750℃ 이하, 예를 들어 약 500℃ 내지 약 750℃, 예를 들어 약 550℃ 내지 약 650℃, 예를 들어 약 600℃에서, 그리고 약 5 Torr 내지 약 760 Torr, 예를 들어 약 20 Torr 내지 약 100 Torr, 예를 들어 약 40 Torr의 챔버 압력에서 수행된다. 이들 파라미터는 응용, 처리될 기판, 및/또는 처리 챔버의 크기에 따라 달라질 수 있을 것으로 예상된다.
SiGe 에피택셜 재료가 요구되는 다른 예시적인 실시예에서, 처리 시약은 디클로로실란(DCS)을 포함하는 실리콘 소스 및 게르마늄 테트라클로라이드(GeCl4)를 포함하는 게르마늄 소스를 포함할 수 있다. 200 mm 또는 300 mm 기판에 대해, DCS는 약 300 sccm 내지 약 800 sccm, 예를 들어 약 450 sccm 내지 약 650 sccm의 범위, 예를 들어 약 500 sccm의 유량으로 프로세스 챔버 내로 제공될 수 있다. GeCl4는 약 300 sccm 내지 약 800 sccm, 예를 들어 약 450 sccm 내지 약 650 sccm의 범위, 예를 들어 약 500 sccm의 유량으로 프로세스 챔버 내로 제공될 수 있다. 캐리어 가스는 약 0.8 SLM(standard liters per minute) 내지 약 27 SLM, 예를 들어 약 1.8 SLM 내지 약 18 SLM의 범위의 유량을 가질 수 있다. 도펀트 가스(사용된다면)는 약 0.1 sccm 내지 약 600 sccm, 예를 들어 약 0.5 sccm 내지 약 150 sccm, 예를 들어 약 3 sccm 내지 약 100 sccm의 범위의 유량으로 프로세스 챔버 내로 제공될 수 있다. 총 유동은 200mm 또는 300mm 기판에 대해, 약 2 SLM(standard liters per minute) 내지 약 30 SLM, 예를 들어 약 5 SLM 내지 약 20 SLM일 수 있다. 에피택셜 프로세스는 낮은 온도 프로세스(예를 들어, 650℃ 미만)일 수 있다. 하나의 예시적인 예에서, 에피택셜 프로세스는 800℃ 이하, 예를 들어 약 750℃ 이하, 예를 들어 약 500℃ 내지 약 750℃, 예를 들어 약 550℃ 내지 약 650℃, 예를 들어 약 600℃에서, 그리고 약 5 Torr 내지 약 760 Torr, 예를 들어 약 20 Torr 내지 약 100 Torr, 예를 들어 약 40 Torr의 챔버 압력에서 수행된다.
박스(110)에서는, 트렌치들(204)이 에피택셜 재료(210)로 충진되면, 도 2d에 도시된 바와 같이, 에피택셜 재료(210)의 최상부면(212)이 유전체 층(202)의 최상부면과 실질적으로 같은 높이가 되도록 트렌치들(204) 내의 에피택셜 재료(210)의 부분들을 평탄화하기 위한 평탄화 프로세스가 수행될 수 있다. 도시된 바와 같은 실시예에서, 에피택셜 재료(210)의 최상부면(212)은 실리콘 질화물(202b)의 최상부면(214)과 같은 높이이다. 평탄화 프로세스는 화학적 기계적 연마(CMP)를 포함할 수 있다.
박스(112)에서는, 도 2e에 도시된 바와 같이, 유전체 층(202)의 부분, 즉 실리콘 질화물(202b)이 실리콘 산화물(202a) 및 에피택셜 재료(210)에 대해 선택적으로 제거되어 핀들(214)을 형성한다. 핀들(214)은 나중의 스테이지들에서 FinFET 트랜지스터를 위한 채널들을 형성하는 데에 이용될 수 있다.
본 개시내용의 실시예들에 설명된 개념은 트렌치 충진을 위한 다른 에피택셜 재료들에도 적용 가능하다. 일부 예들은 로직 및 메모리 응용들에서 이용될 수 있는 도핑되지 않은 실리콘, Si:CP, 순수 Ge, GeSn, GeP, GeB, 또는 GeSnB 등을 포함할 수 있다. 그러한 경우들에서, 가능한 실리콘 프리커서들은 할로겐화 실리콘 화합물들 및 선택적으로 위에 설명된 것들로서의 실리콘 함유 화합물들을 포함할 수 있고, 가능한 게르마늄 프리커서들은 할로겐화 게르마늄 화합물들 및 선택적으로 위에 설명된 것들로서의 게르마늄 함유 화합물들을 포함할 수 있다.
본 개시내용의 이점들은 염소화 실란을 포함하는 실리콘 소스 및 염소화 게르만을 포함하는 게르마늄 소스를 이용함으로써 유전체들에 대한 성장의 선택성을 잃지 않고 고품질 에피택셜 SiGe 재료의 효과적인 트렌치 충진을 포함한다. 에피택셜 SiGe 충진은 트렌치 측벽 상에 나타나는 실리콘 산화물 및 실리콘 질화물 둘 다에 대한 우수한 성장의 선택성으로 20 nm 내지 30 nm 폭의 트렌치들에서 수행될 수 있음이 관찰되었다. 특히, 에피택셜 재료의 트렌치 충진은 선택적으로 Cl2 및 HCl과 같은 전형적인 공동 유동 에천트 가스들을 사용하지 않고 수행될 수 있다. 트렌치 충진을 위해 할로겐화 실란 및 할로겐화 게르만을 사용하는 에피택셜 성장은 유전체 측벽들에서 더 양호한 습윤을 가능하게 하여, 결과적으로 트렌치들 내의 에피택셜 재료의 표면 형태가 더 우수하게 된다.
전술한 것은 본 개시내용의 실시예들에 관한 것이지만, 그것의 기본 범위로부터 벗어나지 않고서 본 개시내용의 다른 실시예들 및 추가 실시예들이 고안될 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판을 처리하는 방법으로서,
    유전체 층에 형성된 트렌치를 할로겐화 실리콘 화합물 및 할로겐화 게르마늄 화합물을 포함하는 가스 혼합물에 노출시킴으로써 상기 트렌치 내에 실리콘 함유 재료를 에피택셜 성장시키는 단계를 포함하고, 상기 트렌치는 측벽을 갖고, 상기 측벽은 산화물 및 질화물을 포함하는, 방법.
  2. 제1항에 있어서, 상기 할로겐화 실리콘 화합물은 염소화 실란을 포함하는, 방법.
  3. 제2항에 있어서, 상기 염소화 실란은 실리콘 테트라클로라이드(SiCl4), 모노클로로실란(SiH3Cl), 디클로로실란(Si2H2Cl2), 트리클로로실란(SiHCl3), 헥사클로로디실란(Si2Cl6), 옥타클로로트리실란(Si3Cl8), 또는 이들 중 둘 이상의 조합을 포함하는, 방법.
  4. 제1항에 있어서, 상기 할로겐화 게르마늄 화합물은 염소화 게르만을 포함하는, 방법.
  5. 제4항에 있어서, 상기 염소화 게르만은 게르마늄 테트라클로라이드(GeCl4), 클로로게르만(GeH3Cl), 디클로로게르만(GeH2Cl2), 트리클로로게르만(GeHCl3), 헥사클로로디게르만(Ge2Cl6), 옥타클로로트리게르만(Ge3Cl8), 또는 이들 중 둘 이상의 조합을 포함하는, 방법.
  6. 기판을 처리하는 방법으로서,
    실리콘 기판 상에 유전체 층을 형성하는 단계 - 상기 유전체 층은 산화물 및 질화물을 포함함 -;
    상기 유전체 층에 트렌치를 형성하여 상기 트렌치를 통해 상기 실리콘 기판의 부분을 노출시키는 단계; 및
    상기 트렌치를 염소화 실란을 포함하는 할로겐화 실리콘 화합물 및 염소화 게르만을 포함하는 할로겐화 게르마늄 화합물을 포함하는 가스 혼합물에 노출시킴으로써 상기 트렌치 내에 실리콘 함유 재료를 에피택셜 성장시키는 단계를 포함하는, 방법.
  7. 제6항에 있어서, 상기 염소화 실란은 실리콘 테트라클로라이드(SiCl4), 모노클로로실란(SiH3Cl), 디클로로실란(Si2H2Cl2), 트리클로로실란(SiHCl3), 헥사클로로디실란(Si2Cl6), 옥타클로로트리실란(Si3Cl8), 또는 이들 중 둘 이상의 조합을 포함하는, 방법.
  8. 제6항에 있어서, 상기 염소화 게르만은 게르마늄 테트라클로라이드(GeCl4), 클로로게르만(GeH3Cl), 디클로로게르만(GeH2Cl2), 트리클로로게르만(GeHCl3), 헥사클로로디게르만(Ge2Cl6), 옥타클로로트리게르만(Ge3Cl8), 또는 이들 중 둘 이상의 조합을 포함하는, 방법.
  9. 제6항에 있어서, 상기 산화물은 이산화 규소(SiO2), 산화 알루미늄(Al2O3), 탄소 도핑된 실리콘 산화물, 또는 실리콘 게르마늄 산화물을 포함하고, 상기 질화물은 실리콘 질화물 또는 실리콘 옥시니트라이드를 포함하는, 방법.
  10. 제6항에 있어서, 상기 가스 혼합물은 브롬화 실리콘 화합물을 추가로 포함하는, 방법.
  11. 제6항에 있어서, 상기 가스 혼합물은 브롬화 게르마늄 화합물을 추가로 포함하는, 방법.
  12. 제6항에 있어서, 상기 가스 혼합물은 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 테트라실란(Si4H10), 또는 이들 중 둘 이상의 조합을 추가로 포함하는, 방법.
  13. 제6항에 있어서, 상기 가스 혼합물은 게르만(GeH4), 디게르만(Ge2H6), 트리게르만(Ge3H8), 또는 이들 중 둘 이상의 조합을 추가로 포함하는, 방법.
  14. 제6항에 있어서, 상기 가스 혼합물은 Cl2 또는 HCl을 포함하는 에천트 가스를 추가로 포함하는, 방법.
  15. 기판을 처리하는 방법으로서,
    기판 위에 형성된 유전체 층의 영역을 염소화 게르만 가스 및 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 또는 테트라실란(Si4H10)을 포함하는 실리콘 함유 가스를 포함하는 가스 혼합물에 노출시킴으로써 상기 유전체 층 상에 실리콘 게르마늄을 에피택셜 성장시키는 단계를 포함하고, 상기 영역은 실리콘 산화물 및 실리콘 질화물을 포함하는, 방법.
KR1020187004686A 2015-07-15 2016-06-07 선택적 에피택시 방법 KR20180019782A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562192801P 2015-07-15 2015-07-15
US62/192,801 2015-07-15
US15/156,870 US20170018427A1 (en) 2015-07-15 2016-05-17 Method of selective epitaxy
US15/156,870 2016-05-17
PCT/US2016/036230 WO2017011097A1 (en) 2015-07-15 2016-06-07 Method of selective epitaxy

Publications (1)

Publication Number Publication Date
KR20180019782A true KR20180019782A (ko) 2018-02-26

Family

ID=57757285

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187004686A KR20180019782A (ko) 2015-07-15 2016-06-07 선택적 에피택시 방법

Country Status (5)

Country Link
US (2) US20170018427A1 (ko)
EP (1) EP3323147A4 (ko)
KR (1) KR20180019782A (ko)
TW (1) TWI677906B (ko)
WO (1) WO2017011097A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10163627B2 (en) * 2017-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) * 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11097953B2 (en) 2018-10-11 2021-08-24 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing liquid polysilanes and isomer enriched higher silanes
US11401166B2 (en) 2018-10-11 2022-08-02 L'Air Liaquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes
US11230474B2 (en) 2018-10-11 2022-01-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes
US10752507B2 (en) 2018-10-11 2020-08-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing liquid polysilanes and isomer enriched higher silanes
KR102608830B1 (ko) * 2019-06-12 2023-11-30 어플라이드 머티어리얼스, 인코포레이티드 디바이스들 및 구조들을 제조하기 위한 선택적 방법들
US11282890B2 (en) * 2020-01-21 2022-03-22 Omnivision Technologies, Inc. Shallow trench isolation (STI) structure for suppressing dark current and method of forming
US11145380B1 (en) * 2020-04-29 2021-10-12 International Business Machines Corporation Analog nonvolatile memory cells using dopant activation

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294285A (en) * 1986-02-07 1994-03-15 Canon Kabushiki Kaisha Process for the production of functional crystalline film
US7041170B2 (en) * 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
KR100373853B1 (ko) * 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
WO2003105206A1 (en) * 2002-06-10 2003-12-18 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7524769B2 (en) * 2005-03-31 2009-04-28 Tokyo Electron Limited Method and system for removing an oxide from a substrate
KR100790869B1 (ko) * 2006-02-16 2008-01-03 삼성전자주식회사 단결정 기판 및 그 제조방법
US7754587B2 (en) * 2006-03-14 2010-07-13 Freescale Semiconductor, Inc. Silicon deposition over dual surface orientation substrates to promote uniform polishing
KR101716113B1 (ko) * 2010-11-03 2017-03-15 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US8642454B2 (en) * 2011-05-19 2014-02-04 International Business Machines Corporation Low temperature selective epitaxy of silicon germanium alloys employing cyclic deposit and etch
US9136383B2 (en) * 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US20140264607A1 (en) * 2013-03-13 2014-09-18 International Business Machines Corporation Iii-v finfets on silicon substrate
WO2014192870A1 (ja) * 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および基板処理方法
US9252014B2 (en) * 2013-09-04 2016-02-02 Globalfoundries Inc. Trench sidewall protection for selective epitaxial semiconductor material formation

Also Published As

Publication number Publication date
US20180047569A1 (en) 2018-02-15
EP3323147A1 (en) 2018-05-23
US20170018427A1 (en) 2017-01-19
WO2017011097A1 (en) 2017-01-19
TW201703119A (zh) 2017-01-16
TWI677906B (zh) 2019-11-21
EP3323147A4 (en) 2019-08-28

Similar Documents

Publication Publication Date Title
US11018003B2 (en) Method of selective silicon germanium epitaxy at low temperatures
US9929055B2 (en) Method to grow thin epitaxial films at low temperature
US20180047569A1 (en) Method of selective epitaxy
US8207023B2 (en) Methods of selectively depositing an epitaxial layer
US20170148918A1 (en) Materials for tensile stress and low contact resistance and method of forming
US7897495B2 (en) Formation of epitaxial layer containing silicon and carbon
US10205002B2 (en) Method of epitaxial growth shape control for CMOS applications
KR101432150B1 (ko) 실리콘을 함유하는 에피택셜 층들의 형성
KR100941545B1 (ko) 선택적 에피택시 공정의 제어
US20070082451A1 (en) Methods to fabricate mosfet devices using a selective deposition process
US9812569B2 (en) Semiconductor device and fabricating method thereof
WO2014070600A1 (en) Methods for selective and conformal epitaxy of highly doped si-containing materials for three dimensional structures
WO2020096696A1 (en) Methods and apparatus for silicon-germanium pre-clean
US10002759B2 (en) Method of forming structures with V shaped bottom on silicon substrate
US20180019121A1 (en) Method and material for cmos contact and barrier layer
CN114072544A (zh) 各向异性的外延生长

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E601 Decision to refuse application