KR20160014105A - Methods, structures and designs for self-aligning local interconnects used in integrated circuits - Google Patents

Methods, structures and designs for self-aligning local interconnects used in integrated circuits Download PDF

Info

Publication number
KR20160014105A
KR20160014105A KR1020167001612A KR20167001612A KR20160014105A KR 20160014105 A KR20160014105 A KR 20160014105A KR 1020167001612 A KR1020167001612 A KR 1020167001612A KR 20167001612 A KR20167001612 A KR 20167001612A KR 20160014105 A KR20160014105 A KR 20160014105A
Authority
KR
South Korea
Prior art keywords
linear conductor
conductor structures
structures
gate electrode
type
Prior art date
Application number
KR1020167001612A
Other languages
Korean (ko)
Other versions
KR101669395B1 (en
Inventor
마이클 씨 스메일링
스코트 티 베커
Original Assignee
텔라 이노베이션스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 텔라 이노베이션스, 인코포레이티드 filed Critical 텔라 이노베이션스, 인코포레이티드
Publication of KR20160014105A publication Critical patent/KR20160014105A/en
Application granted granted Critical
Publication of KR101669395B1 publication Critical patent/KR101669395B1/en

Links

Images

Classifications

    • G03F1/14
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • G06F17/5077
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

자기-정렬된 국부적 상호접속부들을 위한 방법들, 구조들, 및 설계들이 제공된다. 방법은, 기판 내에 있게 될 확산 영역들을 설계하는 단계를 포함한다. 복수의 게이트들의 일부는 활성 게이트들이 되도록 설계되고, 복수의 게이트들의 일부는 분리 영역들 위에 형성되도록 설계된다. 방법은, 동일한 방향을 따르는 규칙적이고 반복하는 정렬로 복수의 게이트들을 설계하는 단계를 포함하며, 복수의 게이트들의 각각은 유전체 스페이서들을 갖도록 설계된다. 또한, 방법은, 복수의 게이트들 사이에 또는 복수의 게이트들에 인접하게 국부적 상호접속부 층을 설계하는 단계를 포함한다. 국부적 상호접속부 층은 도전성이고, 기판 위에 배치되어, 활성 게이트들의 확산 영역들의 일부와의 또는 활성 게이트들의 확산 영역들의 일부로의 전기적 접촉 및 상호접속을 허용한다. 국부적 상호접속부 층은 복수의 게이트들의 유전체 스페이서들에 의해 자기-정렬된다.Methods, structures, and designs are provided for self-aligned local interconnects. The method includes designing diffusion regions to be within the substrate. Some of the plurality of gates are designed to be active gates, and some of the plurality of gates are designed to be formed over the isolation regions. The method includes designing a plurality of gates in a regular and repeating alignment along the same direction, wherein each of the plurality of gates is designed to have dielectric spacers. The method also includes designing a local interconnect layer between the plurality of gates or adjacent the plurality of gates. The local interconnect layer is conductive and disposed on the substrate to permit electrical contact and interconnection to a portion of the active regions of the active gates or to portions of the active regions of the active regions. The local interconnect layer is self-aligned by the dielectric spacers of the plurality of gates.

Description

집적 회로에서 사용되는 국부적 상호접속부들을 자기-정렬시키기 위한 방법, 구조 및 설계{METHODS, STRUCTURES AND DESIGNS FOR SELF-ALIGNING LOCAL INTERCONNECTS USED IN INTEGRATED CIRCUITS}[0001] METHODS, STRUCTURES AND DESIGNS FOR SELF-ALIGNING LOCAL INTERCONNECTS [0002] USED IN INTEGRATED CIRCUITS FOR SELF-ALIGNING LOCAL INTERCONNECTORS USED IN INTEGRATED CIRCUITS [0003]

본 발명의 분야Field of the Invention

본 발명은 일반적으로 집적 회로들에 관한 것으로, 특히 집적 회로 내의 반도체 디바이스들을 상호접속시키기 위한 자기-정렬된 국부적 상호접속부 (interconnect) 들의 설계 및 제조에 관한 것이지만 이에 한정되지는 않는다.The present invention relates generally to integrated circuits, and more particularly, but not exclusively, to the design and manufacture of self-aligned local interconnects for interconnecting semiconductor devices in integrated circuits.

배경background

반도체 기술이 계속 진보함에 따라, 단일 반도체 칩 상에 점점 더 많은 디바이스들을 포함하는 점점 더 작은 집적 회로들의 제조로 초 대규모 집적으로 향하는 경향이 계속되고 있다.As semiconductor technology continues to advance, there is a trend toward very large scale integration with the manufacture of smaller and smaller integrated circuits that contain increasingly more devices on a single semiconductor chip.

로직 및 메모리 기능부들의 밀도를 증가시키기 위해 오래전부터 디바이스들의 스케일링이 사용되어 왔다. 이러한 스케일링은, 포토리소그래피 및 다른 프로세스 단계들에서의 개선들로 인해 가능하였다. 그러나, 광학 리소그래피는 비용 효과 개선 커브의 끝에 도달하였으므로, 밀도를 개선하기 위한 다른 접근법들이 요구된다.Scaling of devices has long been used to increase the density of logic and memory functions. This scaling was possible due to improvements in photolithography and other process steps. However, since optical lithography has reached the end of the cost-effectiveness improvement curve, other approaches are needed to improve density.

상호접속부는 반도체 칩에서 NMOS 및 PMOS 트랜지스터들과 저항기들 및 캐패시터들과 같은 다른 컴포넌트들 사이의 접속들을 제공한다. 일반적으로, 먼저 반도체 디바이스들 및 패시브 컴포넌트들 상에 유전체 층들을 증착하고 평탄화함으로써 상호접속부들이 제조된다. 다음으로, 유전체 층들 내에 피드-스루 (feed-thru) 들이 형성된다. 마지막으로, 피드-스루들을 접속시키기 위해 유전체 층들 위에 도체들이 형성되고 라우팅 (route) 된다. 회로 노드 상호접속을 완성하기 위해, 유전체들, 피드-스루들, 및 도체들의 다수의 층들로 스택이 형성된다. 상호접속부들을 제조하는 이러한 프로세스는 일반적으로 "금속배선 (metallization)" 이라 지칭된다. 반도체 칩 상의 디바이스들의 밀도가 증가됨에 따라, 금속배선의 복잡도도 또한 증가된다.The interconnects provide connections between NMOS and PMOS transistors and other components such as resistors and capacitors in the semiconductor chip. Generally, interconnects are fabricated by first depositing and planarizing dielectric layers on semiconductor devices and passive components. Next, feed-thrus are formed in the dielectric layers. Finally, conductors are formed and routed over the dielectric layers to connect the feed-throughs. To complete the circuit node interconnect, a stack is formed with a plurality of layers of dielectrics, feed-throughs, and conductors. This process of manufacturing the interconnects is generally referred to as "metallization ". As the density of devices on the semiconductor chip increases, the complexity of the metallization also increases.

국부적 상호접속부들은 상호접속부들의 특수한 형태일 수 있다. 일반적으로, 국부적 상호접속부들은, 예컨대 기능 셀 내부와 같이, 단거리 (short distance) 들에 대해 사용된다. 종래의 회로들은 국부적 및 전역적 (global) 접속들 양자 모두에 대해 동일한 상호접속부 레벨들을 사용한다.The local interconnects may be a special form of interconnects. In general, local interconnects are used for short distances, such as inside functional cells. Conventional circuits use the same interconnect levels for both local and global connections.

통상적으로, 확산 영역들과 Vdd 및 Vss의 접촉들은 각각, PMOS 및 NMOS 확산 영역들로부터 Vdd 및 Vss 라인들을 향해 연장하는 L 형상 또는 T 형상의 굴곡된 확산 영역들을 제조하는 것을 요구한다. 굴곡된 영역들은 제조하기 위해 더 고가의 포토리소그래피 장비를 요구하기 때문에 바람직하지 않다. 다르게는, Vdd 및 Vss 레일들은 직사각형 확산 영역들 위에서 연장될 수도 있고, 그 확산 영역들로 접촉들이 형성될 수도 있다. 그러나, 파워 레일들이 신호들을 위해 사용될 수도 있는 트랙들을 점유하고, 파워 레일들이 더 이상 셀 경계에 위치되지 않아서 수직으로 인접하는 셀들 사이에서 공유될 수 없기 때문에, 확산 영역들 위에서 파워 레일들을 갖는 것은 비효율적이다.Typically, the contacts of the diffusion regions and Vdd and Vss each require fabricating L-shaped or T-shaped bent diffusion regions extending from the PMOS and NMOS diffusion regions towards the Vdd and Vss lines. Curved regions are undesirable because they require more expensive photolithographic equipment to fabricate. Alternatively, the Vdd and Vss rails may extend over the rectangular diffusion regions, and contacts may be formed in the diffusion regions. However, having power rails on top of diffusion regions is inefficient because the power rails occupy tracks that may be used for signals, and power rails are no longer located at cell boundaries and can not be shared among vertically adjacent cells. to be.

이러한 컨텍스트 내에서 본 발명의 실시형태들이 발생한다.Embodiments of the present invention occur within this context.

넓게 말하자면, 본 발명의 실시형태들은, 회로의 국부적 상호접속부들의 정의를 가능하게 하기 위한 제조 방법들, 구조들, 레이아웃들, 설계 방법들, 및 도전성 구조들을 정의한다. 본 발명의 실시형태들에 따르면, 국부적 상호접속부들은, 제조 프로세스에 응답하여, 이들이 게이트 전극들 사이 또는 게이트 전극들 옆의 채널들 또는 영역들에서 정렬하므로, 여기서 "자기-정렬된" 국부적 상호접속부들이라 지칭된다. 국부적 상호접속부들은, 재료의 일부를 제거하고 선택된 국부적 상호접속부들을 완성하기 위해 요구되는 부분들만을 남기도록 패터닝될 수 있는 자기-정렬된 배향으로 정의된 것들이다.Broadly speaking, embodiments of the present invention define fabrication methods, structures, layouts, design methods, and conductive structures for enabling the definition of local interconnections in a circuit. In accordance with embodiments of the present invention, the local interconnects are arranged in the channels or regions between the gate electrodes or in the regions adjacent to the gate electrodes in response to the fabrication process, where the "self-aligned" . The local interconnects are those defined in a self-aligned orientation that can be patterned to leave only the portions required to remove some of the material and complete selected local interconnects.

다수의 유익한 특징들 중 하나는, 회로 레이아웃이 직사각형 또는 실질적으로 확산 영역들에서 행해질 수 있다는 것이다. 이들 직사각형 확산 영역들은, 굴곡들 또는 연장들을 갖는 확산 영역들보다 더 양호한 정확도로 제조될 수 있다. 또한, 자기-정렬된 국부적 상호접속부들은, 확산 영역 연장들을 요구하지 않으면서, 트랜지스터들의 소스 및 드레인들과의 전력 접속들 (즉, Vdd 및 Vss) 을 행하기 위해 사용될 수 있다. 또한, 자기-정렬된 국부적 상호접속부들은 트랜지스터 확산 영역들로의 특정 접촉부들에 대한 필요성을 제거할 수 있다. 이하 더 상세히 설명될 바와 같이, 국부적 상호접속부들은 확산 영역들과의 직접적이고 일체적인 (integral) 접촉을 행한다. 따라서, 국부적 상호접속부들은, 제 1 금속 트랙들, 특정 비아들, 및 몇몇 경우들에서, (예컨대, NMOS 트랜지스터 소스/드레인들과 PMOS 트랜지스터 소스/드레인들 사이의 접속들을 위한) 제 2 금속 트랙에 대한 필요성을 제거하도록 기능하는, 기판 레벨 상의 이전에 이용가능하지 않았던 금속 라우팅을 제공한다.One of the many beneficial features is that the circuit layout can be done in rectangular or substantially diffusion regions. These rectangular diffusion regions can be fabricated with better accuracy than diffusion regions with bends or elongations. In addition, the self-aligned local interconnects may be used to make power connections (i.e., Vdd and Vss) with the sources and drains of the transistors, without requiring diffusion region extensions. In addition, the self-aligned local interconnects can eliminate the need for specific contacts to the transistor diffusion regions. As will be described in more detail below, the local interconnections make direct and integral contact with the diffusion regions. Thus, the local interconnections may be formed in the first metal tracks, in certain vias, and in some cases, on a second metal track (e.g., for connections between NMOS transistor source / drains and PMOS transistor source / To provide a previously unavailable metal routing on the substrate level, which serves to eliminate the need for a metal layer.

또한, 활성 트랜지스터 채널들에서 통상적인 확산 접촉부들을 제거함으로써, 확산 영역들 내의 스트레인 층이 변형되지 않는다. 이는, 스트레인 층들을 강화하는 모빌리티의 효과를 개선한다. 또한, 확산 접촉부들이 금속-1 트랙들의 더 넓은 선택으로 접속되도록 허용하는 것은 회로 설계에서 더 많은 유연성을 제공하고, 따라서 레이아웃을 강화하고 더 효율적인 배치 및 라우팅으로 향하게 한다.Also, by removing conventional diffusion contacts in the active transistor channels, the strain layer in the diffusion regions is not deformed. This improves the effect of mobility to strengthen the strain layers. Also, allowing the diffusion contacts to be connected with a wider selection of metal-1 tracks provides more flexibility in circuit design, thus enhancing layout and directing to more efficient placement and routing.

일 실시형태에서, 국부적 상호접속부 구조들을 설계하기 위한 방법이 개시된다. 방법은 기판 내에 있게 될 확산 영역들을 설계하는 단계를 포함한다. 복수의 게이트들의 일부는 활성 게이트들이 되도록 설계되고, 복수의 게이트들의 일부는 분리 영역들 위에 형성되도록 설계된다. 방법은 동일한 방향을 따르는 규칙적이고 반복하는 정렬로 복수의 게이트들을 설계하는 단계를 포함하며, 복수의 게이트들의 각각은 유전체 스페이서들을 갖도록 설계된다. 또한, 방법은 복수의 게이트들 사이에 또는 복수의 게이트들에 인접하게 국부적 상호접속부 층을 설계하는 단계를 포함한다. 국부적 상호접속부 층은 도전성이고, 기판 위에 배치되어, 활성 게이트들의 확산 영역들의 일부와의 또는 활성 게이트들의 확산 영역들의 일부로의 전기적 접촉 및 상호접속을 허용한다. 국부적 상호접속부 층은 복수의 게이트들의 유전체 스페이서들에 의해 자기-정렬된다.In one embodiment, a method for designing local interconnect structures is disclosed. The method includes designing diffusion regions to be within the substrate. Some of the plurality of gates are designed to be active gates, and some of the plurality of gates are designed to be formed over the isolation regions. The method includes designing a plurality of gates in a regular and repeating alignment along the same direction, wherein each of the plurality of gates is designed to have dielectric spacers. The method also includes designing a local interconnect layer between the plurality of gates or adjacent the plurality of gates. The local interconnect layer is conductive and disposed on the substrate to permit electrical contact and interconnection to a portion of the active regions of the active gates or to portions of the active regions of the active regions. The local interconnect layer is self-aligned by the dielectric spacers of the plurality of gates.

본 발명들의 장점들은 다수이다. 가장 뚜렷하게는, 자기-정렬된 국부적 상호접속부들이 더 적은 굴곡들, 연장들 등을 갖는 확산 영역을 허가하는 것이다. 또한, 자기-정렬된 국부적 상호접속부들은, 요구되는 접촉들의 수, 금속 1 트랙 이용, 확산들로의 접촉을 행하기 위해 요구되는 비아들의 수, 및 이어서 금속 2 트랙 이용을 감소시킨다. 따라서, 더 많은 트랙들이 라우팅을 위해 개방된다. 또한, 자기-정렬된 국부적 상호접속부들의 사용은 확산 접촉부들로의 금속의 사용을 감소시키고, 이는 기판 상의 스트레인 재료들과의 간섭을 감소시킨다. 따라서, 확산 영역들로의 대부분의 금속을 제거함으로써, 디바이스 효율이 상당히 상승된다. 또한, 자기-정렬된 국부적 상호접속부는 셀 또는 셀들 내의 접속들을 위한 금속-1 트랙 할당들에서 더 많은 유연성을 제공하여, 밀도를 개선하고 후속하는 배치 및 라우팅을 간략화한다.The advantages of the present invention are numerous. Most notably, self-aligned local interconnects allow diffusion regions with fewer curvatures, extensions, and the like. In addition, the self-aligned local interconnects reduce the number of contacts required, the use of one metal track, the number of vias required to make contact with the diffusions, and subsequently metal two track usage. Thus, more tracks are opened for routing. In addition, the use of self-aligned local interconnects reduces the use of metal to diffusion contacts, which reduces interference with strain materials on the substrate. Thus, by removing most of the metal into the diffusion regions, the device efficiency is significantly increased. In addition, the self-aligned local interconnects provide more flexibility in metal-1 track assignments for connections within cells or cells, thereby improving density and simplifying subsequent placement and routing.

포토 정렬된 프로세스에 비해 국부적 상호접속부들의 제조를 위한 자기-정렬된 프로세스의 다른 장점은, 자기-정렬된 국부적 상호접속부들의 제조가 게이트들의 측벽 스페이서들과 국부적 상호접속부들을 정렬시키기 위해 리소그래피에 대한 의존성을 요구하지 않는다는 것이다. 리소그래피가 에러의 마진을 갖는다는 것은 공지되어 있고, 따라서, 집적 회로에서 게이트들의 측벽 스페이서들을 향한 국부적 상호접속부 층에서의 작은 시프트가 "짧은" 경우에도, 디바이스는 원하지 않은 결과를 초래할 것이다.Another advantage of the self-aligned process for the fabrication of local interconnects compared to the photo aligned process is that the fabrication of the self-aligned local interconnects is dependent on lithography for aligning the sidewall spacers and local interconnects of the gates Is not required. It is known that lithography has a margin of error and therefore, even if the small shift in the local interconnect layer towards the sidewall spacers of the gates in the integrated circuit is "short", the device will result in undesired results.

본 발명들의 다른 양태들 및 장점들은, 본 발명의 원리들을 예로써 예시하는, 첨부 도면들과 함께 취해진 다음의 상세한 설명으로부터 명백하게 될 것이다.Other aspects and advantages of the present invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.

본 발명은 첨부 도면들과 관련하여 다음의 상세한 설명에 의해 용이하게 이해될 것이다. 이 설명을 용이하게 하기 위해, 유사한 참조 번호들은 유사한 구조 엘리먼트들을 지시한다.
도 1은, 본 발명의 일 실시형태에 따른, 동적 어레이 아키텍쳐를 정의하기 위해 사용되는 층들의 일반화된 스택을 도시한다.
도 2a는, 본 발명의 일 실시형태에 따른, 제약된 토폴로지의 정의를 용이하게 하기 위한 동적 어레이 상에 투사될 예시적인 베이스 격자를 도시한다.
도 2b는, 본 발명의 예시적인 실시형태에 따른, 다이의 개별적인 영역들에 걸쳐 투사된 개별적인 베이스 격자들을 도시한다.
도 3은, 본 발명의 일 실시형태에 따른, 예시적인 동적 어레이의 확산 층 레이아웃을 도시한다.
도 4는, 본 발명의 일 실시형태에 따른, 게이트 전극 층 및 도 3의 확산 층을 도시한다.
도 5a는, 본 발명의 일 실시형태에 따른, PMOS 및 NMOS 트랜지스터를 사용하는 로직 인버터의 회로 표현을 예시한다.
도 5b는, 본 발명의 일 실시형태에 따른, 자기-정렬된 국부적 상호접속부들의 사용을 설명하기 위한 예시적인 로직 인버터의 평면도를 예시한다.
도 6a는, 본 발명의 일 실시형태에 따른, 트랜지스터 소스/드레인들, 전극들, 및 게이트 전극들을 둘러싸는 측벽 스페이서들을 도시하는 예시적인 로직 인버터의 평면도를 예시한다.
도 6b는, 본 발명의 일 실시형태에 따른, 트랜지스터 웰, 트랜지스터 소스/드레인들, 게이트 전극들, 측벽 스페이서들, 및 STI 영역들을 도시하는 도 6a의 예시적인 로직 인버터의 커트라인 A-A'의 단면도를 예시한다.
도 7a는, 본 발명의 일 실시형태에 따른, 도 6a에 도시된 언더라잉 (underlying) 엘리먼트들을 커버하는 국부적 상호접속부 층을 갖는 예시적인 로직 인버터의 섹션을 도시한다.
도 7b는, 본 발명의 일 실시형태에 따른, 도 6b에 도시된 언더라잉 엘리먼트들을 커버하는 국부적 상호접속부 층을 갖는 예시적인 로직 인버터의 섹션의 단면도이다.
도 8a는, 본 발명의 일 실시형태에 따른, 국부적 상호접속부 층의 어닐링을 통한 실리사이드의 형성을 예시한다.
도 8b는, 본 발명의 일 실시형태에 따른, 기판 위의 국부적 상호접속부 층의 상부 상에 하드 마스크 층을 증착하는 것을 예시한다.
도 9a는, 본 발명의 일 실시형태에 따른, 도 8b의 엘리먼트들을 커버하는 폴리머 층을 예시한다.
도 9b는, 본 발명의 일 실시형태에 따른, 폴리머 층이 플라즈마 에칭을 통해 부분적으로 제거된 기판의 단면도를 예시한다.
도 9c는, 본 발명의 일 실시형태에 따른, 폴리머 층이 대략적으로 게이트 전극들의 상부까지 에칭 백 (etch back) 된 기판의 평면도를 예시한다.
도 10a는, 본 발명의 일 실시형태에 따른, 유전체 스페이서들로부터 폴리머를 제거하기 위한 습식 에칭 이후의 예시적인 로직 인버터의 평면도를 예시한다.
도 10b는, 본 발명의 일 실시형태에 따른, 유전체 스페이서들을 커버하는 폴리머의 제거 이후의 예시적인 로직 인버터의 단면도를 예시한다.
도 11a는, 본 발명의 일 실시형태에 따른, 게이트 전극들 및 유전체 스페이서들로부터 국부적 상호접속부 층 및 하드 마스크 층을 에칭한 이후의 예시적인 로직 인버터의 단면도를 예시한다.
도 11b는, 본 발명의 일 실시형태에 따른, 잔류 폴리머 층 및 하드 마스크 층의 선택적인 에칭 이후의 예시적인 로직 인버터의 단면도를 예시한다.
도 12는, 본 발명의 일 실시형태에 따른, 잔류 폴리머 층 및 하드 마스크 층의 선택적인 에칭 이후의 예시적인 로직 인버터의 평면도를 예시한다.
도 13은, 본 발명의 일 실시형태에 따른, 원하는 위치들에서 국부적 상호접속부 층을 보호하기 위해 국부적 상호접속부 층의 부분들을 마스킹한 이후의 예시적인 로직 인버터의 평면도를 예시한다.
도 14는, 본 발명의 일 실시형태에 따른, 실리사이드화된 및 비-실리사이드화된 국부적 상호접속부의 잔류 영역들을 도시하는 예시적인 로직 인버터의 평면도를 예시한다.
도 15는, 본 발명의 일 실시형태에 따른, 도시된 기능 상호접속에 접촉들 및 금속 라인들이 부가된, 도 14의 예시적인 로직 인버터의 평면도를 예시한다.
도 16은, 본 발명의 일 실시형태에 따른, 게이트 라인의 갭 내의 자기-정렬된 국부적 상호접속부를 도시하는 예시적인 로직 인버터의 평면도를 예시한다.
도 17a 내지 도 17d는, 본 발명의 일 실시형태에 따른, 게이트로의 접속들을 행하기 위해 국부적 상호접속부 금속을 사용하는 예시적인 로직 인버터의 단면도들을 예시한다.
도 18은, 본 발명의 일 실시형태에 따른, 게이트 라인의 갭 내의 자기-정렬된 국부적 상호접속부를 도시하고, 스페이서를 "클라이밍 (climb)" 할 시에 게이트에 접속을 행하는 예시적인 로직 인버터의 평면도를 예시한다.
The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings. To facilitate this description, like reference numerals designate like structural elements.
Figure 1 illustrates a generalized stack of layers used to define a dynamic array architecture, in accordance with an embodiment of the invention.
Figure 2a illustrates an exemplary base grid to be projected onto a dynamic array to facilitate definition of a constrained topology, in accordance with an embodiment of the invention.
Figure 2b illustrates individual base gratings projected over individual areas of the die, in accordance with an exemplary embodiment of the present invention.
Figure 3 illustrates an exemplary dynamic array diffusion layer layout, in accordance with one embodiment of the present invention.
Figure 4 illustrates a gate electrode layer and a diffusion layer of Figure 3, according to one embodiment of the present invention.
5A illustrates a circuit representation of a logic inverter using PMOS and NMOS transistors, in accordance with an embodiment of the invention.
5B illustrates a top view of an exemplary logic inverter to illustrate the use of self-aligned local interconnects, in accordance with an embodiment of the present invention.
6A illustrates a top view of an exemplary logic inverter illustrating sidewall spacers surrounding transistor source / drains, electrodes, and gate electrodes, in accordance with an embodiment of the present invention.
Figure 6B is a cross-sectional view of the exemplary logic inverter of Figure 6A, showing the transistor wells, transistor source / drains, gate electrodes, sidewall spacers, and STI regions, along a cut line A-A ' Fig.
Figure 7A illustrates a section of an exemplary logic inverter having a local interconnect layer that covers the underlying elements shown in Figure 6A, in accordance with an embodiment of the invention.
Figure 7b is a cross-sectional view of a section of an exemplary logic inverter having a local interconnect layer covering the underlying elements shown in Figure 6b, in accordance with an embodiment of the invention.
Figure 8A illustrates the formation of a silicide through annealing of a local interconnect layer, in accordance with an embodiment of the present invention.
Figure 8b illustrates depositing a hard mask layer on top of a local interconnect layer on a substrate, in accordance with an embodiment of the invention.
Figure 9A illustrates a polymer layer covering the elements of Figure 8B, in accordance with an embodiment of the present invention.
Figure 9b illustrates a cross-sectional view of a substrate in which a polymer layer is partially removed through plasma etching, in accordance with an embodiment of the present invention.
Figure 9c illustrates a top view of a substrate in which the polymer layer is etched back to approximately the top of the gate electrodes, according to one embodiment of the present invention.
Figure 10a illustrates a top view of an exemplary logic inverter after wet etching to remove polymer from dielectric spacers, in accordance with an embodiment of the present invention.
Figure 10b illustrates a cross-sectional view of an exemplary logic inverter after removal of a polymer covering dielectric spacers, in accordance with an embodiment of the present invention.
11A illustrates a cross-sectional view of an exemplary logic inverter after etching a local interconnect layer and a hard mask layer from gate electrodes and dielectric spacers, in accordance with an embodiment of the invention.
11B illustrates a cross-sectional view of an exemplary logic inverter after selective etching of a residual polymer layer and a hard mask layer, in accordance with an embodiment of the present invention.
Figure 12 illustrates a plan view of an exemplary logic inverter after selective etching of a residual polymer layer and a hard mask layer, in accordance with an embodiment of the present invention.
Figure 13 illustrates a top view of an exemplary logic inverter after masking portions of the local interconnect layer to protect the local interconnect layer at desired locations, in accordance with an embodiment of the present invention.
Figure 14 illustrates a top view of an exemplary logic inverter illustrating residual regions of silicided and non-silicided local interconnects, in accordance with an embodiment of the invention.
Figure 15 illustrates a top view of the exemplary logic inverter of Figure 14, with contacts and metal lines added to the functional interconnections shown, in accordance with an embodiment of the present invention.
16 illustrates a plan view of an exemplary logic inverter illustrating self-aligned local interconnects in a gap of a gate line, in accordance with an embodiment of the present invention.
Figures 17A-17D illustrate cross-sectional views of an exemplary logic inverter using local interconnect metals for making connections to a gate, in accordance with an embodiment of the invention.
18 depicts a self-aligned local interconnect within a gap of a gate line, according to one embodiment of the present invention, and illustrates an exemplary logic inverter that is connected to a gate when "climbing & Fig.

집적 회로들에서 "자기-정렬된 국부적 상호접속부들" 을 설계, 레이아웃-아웃, 제작, 제조, 및 구현하기 위한 방법들 및 프로세스들을 위한 발명의 실시형태들이 개시된다. 다음의 설명에서, 본 발명의 철저한 이해를 제공하기 위해 다수의 특정 세부사항들이 설명된다. 일 실시형태에서, 자기-정렬된 국부적 상호접속부들을 제조하는 프로세스가 제공된다. 다른 실시형태들에서, 예로써 자기-정렬된 국부적 상호접속부들을 사용하는 방법 및 레이아웃 기술들이 개시된다. 이들 자기-정렬된 국부적 상호접속부들을 사용하는 것의 이점들 및 장점들이 또한 특정한 로직 셀을 특별히 참조하여 이하 약술된다. 그러나, 예시적인 로직 셀이 자기-정렬되는 국부적 상호접속부들의 사용에 한정되지 않는다는 것을 이해해야 한다. 자기-정렬된 국부적 상호접속부들의 사용은 임의의 회로 레이아웃, 로직 디바이스, 로직 셀, 로직 프리미티브 (primitive), 상호접속부 구조, 설계 마스크 등으로 확장될 수 있다. 따라서, 다음의 설명에서, 본 발명의 철저한 이해를 제공하기 위해 다수의 특정 세부사항들이 설명된다. 그러나, 본 발명이 이들 특정 세부사항들의 일부 또는 전부가 없이도 실시될 수도 있다는 것이 당업자에게 명백할 것이다. 다른 경우들에서, 본 발명을 불필요하게 불명료히 하지 않기 위해 공지의 프로세스 동작들은 상세히 설명되지 않았다.Disclosed are embodiments of the invention for methods and processes for designing, layout-out, fabrication, fabrication, and implementation of "self-aligned local interconnects" in integrated circuits. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. In one embodiment, a process for fabricating self-aligned local interconnects is provided. In other embodiments, a method and layout techniques for using self-aligned local interconnects as an example are disclosed. The advantages and advantages of using these self-aligned local interconnects are also outlined below with particular reference to a particular logic cell. It should be understood, however, that the exemplary logic cell is not limited to the use of self-aligned local interconnections. The use of self-aligned local interconnects may be extended to any circuit layout, logic device, logic cell, logic primitive, interconnect structure, design mask, and the like. Accordingly, in the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it will be apparent to those skilled in the art that the present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.

자기-정렬된 국부적 상호접속부들은 집적 회로들의 제조에서 다수의 애플리케이션들을 갖는다. 집적 회로들 내의 국부적 상호접속부들의 자기-정렬은, 리소그래피 에러 마진들을 제거하며, 집적 회로 상의 국부적 상호접속부의 작은 오정렬 조차도 전기적 단락을 유발하고/하거나 디바이스를 동작불능하게 할 수도 있으므로, 결과적으로 디바이스 손실을 제거한다.The self-aligned local interconnects have a number of applications in the manufacture of integrated circuits. Self-alignment of local interconnects in integrated circuits eliminates lithographic error margins and even small misalignment of local interconnects on an integrated circuit can cause electrical shorts and / or disable the device, .

또한, 자기-정렬된 국부적 상호접속부들은 다양한 다른 목적들을 위해 사용될 수도 있다. 하나의 그러한 목적은, 트랜지스터들의 확산 영역들로부터 금속 접촉부들을 제거하기 위해 자기-정렬된 국부적 상호접속부들을 사용하는 것이다.Also, the self-aligned local interconnects may be used for various other purposes. One such purpose is to use self-aligned local interconnects to remove metal contacts from the diffusion regions of the transistors.

또한, 집적 회로들에서 "자기-정렬된" 국부적 상호접속부들을 제조하는 프로세스는, 리소그래피 프로스세들을 통한 정밀한 정렬을 요구하는 다른 기술들에 비해 유리하다. 알려진 바와 같이, 피쳐 사이즈들이 계속 줄어들게 됨에 따라, 마스크들을 정확하게 정렬하기 위한 능력이 그에 따라가지 못하게 되었다. 또한, 이웃하는 형상들로부터의 간섭 패턴들이 보강 또는 상쇄 간섭을 생성할 수 있다. 보강 간섭의 경우에는, 원하지 않는 형상들이 의도하지 않게 생성될 수도 있다. 상쇄 간섭의 경우에는, 원하는 형상들이 의도하지 않게 제거될 수도 있다. 어떤 경우에도, 의도된 것과 상이한 방식으로 특정한 형상이 프린트되어, 가능하게는 디바이스 고장을 유발한다. 광학 근접 보정 (optical proximity correction; OPC) 과 같은 보정 방법들은 이웃하는 형상들로부터의 영향을 예측하고, 프린트된 형상이 원하는 대로 제조되도록 마스크를 변형하는 것을 시도한다. 그러나, 기재된 바와 같이, 광 상호작용 예측 (light interaction prediction) 의 품질은, 프로세스 지오메트리들이 줄어들게 됨에 따라, 그리고 광 상호작용들이 더 복잡하게 됨에 따라 감소하고 있다.In addition, the process of fabricating "self-aligned" local interconnects in integrated circuits is advantageous over other techniques requiring precise alignment through lithographic processes. As is known, as the feature sizes continue to shrink, the ability to precisely align the masks has failed to follow. In addition, interference patterns from neighboring features can create a reinforcement or destructive interference. In the case of constructive interference, unwanted shapes may be generated inadvertently. In the case of destructive interference, desired shapes may be inadvertently removed. In any case, a particular shape is printed in a manner different from that intended, possibly causing device failure. Correction methods such as optical proximity correction (OPC) attempt to predict the effects from neighboring features and to modify the mask so that the printed features are manufactured as desired. However, as described, the quality of light interaction prediction is decreasing as process geometries are reduced and as optical interactions become more complex.

이러한 오버뷰를 유념하면서, 다음의 도면들은 예시적인 구조들, 제조 단계들, 레이아웃 지오메트리들, 마스크들, 및 상호접속부 레이아웃들을 예시할 것이다. 이들 모두는, 레이아웃, 마스크들, 마스크 정의들을 갖는 컴퓨터 파일들, 및 반도체 기판 상의 결과의 층들 중 어느 하나로 제시될 수 있다. 결국, 이하 설명되는 제조 프로세스들이 단지 예시적이며, "자기-정렬된" 국부적 상호접속부 라인의 사상 및 정의가 유지되는 한, 몇몇 단계들이 다른 단계들로 대체되거나 또는 생략될 수도 있다는 것이 이해되어야 한다.With this overview in mind, the following figures will illustrate exemplary structures, fabrication steps, layout geometries, masks, and interconnect layouts. All of these can be presented in any of the layers of the layout, masks, computer files with mask definitions, and resultant layers on a semiconductor substrate. As a result, it should be understood that the manufacturing processes described below are merely illustrative, and that some steps may be replaced or omitted with other steps as long as the ideas and definitions of "self-aligned" local interconnect lines are maintained .

일 실시형태에서, 본 발명의 방법들 및 구조들은, 실질적으로 균일한 피쳐 배향들의 캔버스를 정의하는 일치하는 피쳐 배향의 장점을 취한다. 캔버스에서, 트랜지스터 디바이스들에 대한 활성 영역들을 정의하기 위해 기판 내에 다수의 확산 영역들이 정의된다. 또한, 캔버스는 기판 위에 공통 방향으로 배향된 다수의 선형 게이트 전극 세그먼트들을 포함한다. 선형 게이트 전극 세그먼트들의 몇몇은 확산 영역 위에 배치된다. 확산 영역 위에 배치된 선형 게이트 전극 세그먼트들의 각각은, 확산 영역 위에 정의된 필수 활성 부분, 및 확산 영역 너머로 기판 위에서 연장하도록 정의된 균일성 연장 부분을 포함한다. 또한, 선형 게이트 전극 세그먼트들은 로직 게이트 기능을 가능하게 하기 위해 가변 길이들을 갖도록 정의된다. 캔버스는, 게이트 전극 세그먼트들의 공통 방향을 실질적으로 수직한 방향으로 횡단하도록, 게이트 전극 세그먼트들 위의 레벨 내에 배치된 다수의 선형 도체 세그먼트들을 더 포함한다. 다수의 선형 도체 세그먼트들은, 기판 위의 공통 라인 내의 인접하는 선형 도체 세그먼트들 사이의 종단-종단 (end-to-end) 간격을 최소화하도록 정의된다.In one embodiment, the methods and structures of the present invention take advantage of coincident feature orientation that defines a canvas of substantially uniform feature orientations. In the canvas, multiple diffusion regions are defined within the substrate to define active regions for transistor devices. The canvas also includes a plurality of linear gate electrode segments oriented in a common direction on the substrate. Some of the linear gate electrode segments are disposed over the diffusion region. Each of the linear gate electrode segments disposed over the diffusion region includes an essential active portion defined over the diffusion region and a uniformity extension defined to extend over the substrate over the diffusion region. In addition, the linear gate electrode segments are defined to have variable lengths to enable the logic gate function. The canvas further includes a plurality of linear conductor segments disposed in a level above the gate electrode segments such that the common direction of the gate electrode segments is traversed in a substantially perpendicular direction. The plurality of linear conductor segments are defined to minimize the end-to-end spacing between adjacent linear conductor segments in a common line on the substrate.

도면들을 묘사하고 실시형태들을 설명하는데 있어서, 공지의 제조 프로세스의 다양한 세부사항들은 설명되는 실시형태들에 대한 명료성 및 집중을 제공하기 위해 생략되었다. 또한, 제조 프로세스와 관련된 다수의 용어들은 당업계에 공지되어 있기 때문에 상세히 설명되지 않는다.In describing the drawings and in describing the embodiments, various details of known manufacturing processes have been omitted in order to provide clarity and focus on the described embodiments. Also, a number of terms related to the manufacturing process are not described in detail since they are well known in the art.

I. 일치하는 상대적인 피쳐 배향을 구현하는 캔버스 설계의 오버뷰I. An overview of the canvas design that implements matching relative feature orientation

도 1은, 본 발명의 일 실시형태에 따른, 동적 어레이 아키텍쳐를 정의하기 위해 사용되는 층들의 일반화된 스택을 도시하는 도면이다. 도 1에 대하여 설명된 바와 같이, 동적 어레이 아키텍쳐를 정의하기 위해 사용되는 층들의 일반화된 스택이 CMOS 제작 프로세스의 철저한 설명을 표현하도록 의도되지 않았다는 것이 인식되어야 한다. 그러나, 동적 어레이는 표준 CMOS 제작 프로세스들에 따라 구축될 것이다. 일반적으로 말하자면, 동적 어레이 아키텍쳐는, 동적 어레이의 언더라잉 구조의 정의, 및 영역 이용 및 제작성 (manufacturability) 의 최적화를 위해 동적 어레이를 어셈블링하기 위한 기술들 양자 모두를 포함한다. 따라서, 동적 어레이는 반도체 제작 능력들을 최적화하도록 설계된다.1 is a diagram illustrating a generalized stack of layers used to define a dynamic array architecture, in accordance with an embodiment of the invention. It should be appreciated that, as described with respect to FIG. 1, the generalized stack of layers used to define the dynamic array architecture is not intended to express a thorough description of the CMOS fabrication process. However, the dynamic array will be built according to standard CMOS fabrication processes. Generally speaking, the dynamic array architecture includes both definitions of the underlay structure of the dynamic array and techniques for assembling the dynamic array for optimization of area utilization and manufacturability. Thus, the dynamic array is designed to optimize semiconductor fabrication capabilities.

동적 어레이의 언더라잉 구조의 정의에 대하여, 동적 어레이는, 베이스 기판 (예컨대, 반도체 웨이퍼) (201) 상, 예컨대 실리콘 기판 또는 실리콘-온-인슐레이터 (SOI) 기판 상에서 계층화된 방식으로 구축된다. 확산 영역들 (203) 은 베이스 기판 (201) 내에 정의된다. 일반적으로, 확산 영역들 (203) 은 분리 영역들 또는 셸로우 트렌치 분리 (STI) 영역들에 의해 분리된다. 확산 영역들 (203) 은, 베이스 기판 (201) 의 전기적 특성들을 변형하는 목적을 위해 불순물들이 도입되는, 베이스 기판 (201) 의 선택된 영역들을 표현한다. 확산 영역들 (203) 위에는, 확산 접촉부들 (205) 이 확산 영역들 (203) 과 도체 라인들 사이의 접속을 가능하게 하도록 정의된다. 예컨대, 확산 접촉부들 (205) 은, 소스 및 드레인 확산 영역들 (203) 과 이들의 각각의 도체 네트 (net) 들 사이의 접속을 가능하게 하도록 정의된다. 또한, 트랜지스터 게이트들을 형성하기 위해 확산 영역들 (203) 위에 게이트 전극 피쳐들 (207) 이 정의된다. 게이트 전극 접촉부들 (209) 은 게이트 전극 피쳐들 (207) 과 도체 라인들 사이의 접속을 가능하게 하도록 정의된다. 예컨대, 게이트 전극 접촉부들 (209) 은 트랜지스터 게이트들과 이들의 각각의 도체 네트들 사이의 접속을 가능하게 하도록 정의된다.For the definition of the underlying structure of a dynamic array, the dynamic array is constructed in a layered manner on a base substrate (e.g. a semiconductor wafer) 201, such as a silicon substrate or a silicon-on-insulator (SOI) substrate. The diffusion regions 203 are defined in the base substrate 201. Generally, the diffusion regions 203 are separated by isolation regions or by shell low trench isolation (STI) regions. The diffusion regions 203 represent selected regions of the base substrate 201 where impurities are introduced for the purpose of modifying the electrical properties of the base substrate 201. Above the diffusion regions 203, diffusion contacts 205 are defined to enable connection between diffusion regions 203 and conductor lines. For example, diffusion contacts 205 are defined to enable connection between source and drain diffusion regions 203 and their respective conductor nets (net). Gate electrode features 207 are also defined over the diffusion regions 203 to form transistor gates. Gate electrode contacts 209 are defined to enable connection between gate electrode features 207 and conductor lines. For example, gate electrode contacts 209 are defined to enable connection between transistor gates and their respective conductor nets.

상호접속부 층들은 확산 접촉부 (205) 층 및 게이트 전극 접촉부 층 (209) 위에서 정의된다. 상호접속부 층들은, 제 1 금속 (금속 1) 층 (211), 제 1 비아 (비아 1) 층 (213), 제 2 금속 (금속 2) 층 (215), 제 2 비아 (비아 2) 층 (217), 제 3 금속 (금속 3) 층 (219), 제 3 비아 (비아 3) 층 (221), 및 제 4 금속 (금속 4) 층 (223) 을 포함한다. 금속 및 비아 층들은 원하는 회로 접속의 정의를 가능하게 한다. 예컨대, 금속 및 비아 층들은, 회로의 로직 기능이 실현되도록, 다양한 확산 접촉부들 (205) 과 게이트 전극 접촉부들 (209) 의 전기적 접속을 가능하게 한다. 동적 어레이 아키텍쳐가 특정 수의 상호접속부 층들, 즉 금속 및 비아 층들로 한정되지 않는다는 것이 인식되어야 한다. 일 실시형태에서, 동적 어레이는, 제 4 금속 (금속 4) 층 (223) 너머로, 부가 상호접속부 층들 (225) 을 포함할 수도 있다. 다르게는, 다른 실시형태에서, 동적 어레이는 4개 미만의 금속 층들을 포함할 수도 있다.Interconnection layers are defined above the diffusion contact layer 205 and the gate electrode contact layer 209. The interconnect layers are formed by depositing a first metal (metal 1) layer 211, a first via (via 1) layer 213, a second metal (metal 2) 217, a third metal (metal 3) layer 219, a third via (via 3) layer 221, and a fourth metal (metal 4) layer 223. The metal and via layers enable the definition of the desired circuit connection. For example, the metal and via layers enable electrical connection of the various diffusion contacts 205 and the gate electrode contacts 209 to realize the logic function of the circuit. It should be appreciated that the dynamic array architecture is not limited to any particular number of interconnect layers, i.e., metal and via layers. In one embodiment, the dynamic array may include additional interconnect layers 225, over a fourth metal (metal 4) layer 223. Alternatively, in other embodiments, the dynamic array may include fewer than four metal layers.

동적 어레이는, (확산 영역 층 (203) 이외의) 층들이 그 내부에서 정의될 수 있는 레이아웃 피쳐 형상들에 대하여 제약되도록 정의된다. 구체적으로, 확산 영역 층 (203) 이외의 각각의 층에서, 실질적으로 선형-형상화된 레이아웃 피쳐들이 허용된다. 소정의 층 내의 선형-형상화된 레이아웃 피쳐는, 일치하는 수직 단면 형상을 갖고, 기판 위에서 단일 방향으로 연장하는 것을 특징으로 한다. 그러나, 몇몇 라인들에 접촉들이 행해질 필요가 있는 경우에, 몇몇 작은 수직 돌출들이 허용될 수도 있지만, 이들 작은 수직 돌출들은 방향에서의 실질적인 변화를 구성하지 않아야 한다. 따라서, 선형-형상화된 레이아웃 피쳐들은 1-차원으로 변하는 구조들을 정의한다. 확산 영역들 (203) 은, 필요한 경우에 허용되더라도, 1-차원으로 변하도록 요구되지 않는다. 구체적으로, 기판 내의 확산 영역들 (203) 은, 기판의 최상부면과 일치하는 면에 대하여 임의의 2-차원으로 변하는 형상을 갖도록 정의될 수 있다. 일 실시형태에서, 다수의 확산 굴곡 토폴로지들은, 트랜지스터의 게이트 전극을 형성하는 예컨대 폴리실리콘과 같은 도전성 재료와 확산에서의 굴곡 사이의 상호작용이 예측가능하고 정확하게 모델링될 수 있도록 한정된다. 소정의 층 내의 선형-형상화된 레이아웃 피쳐들은 서로에 대해 평행하도록 배치된다. 따라서, 소정의 층 내의 선형-형상화된 레이아웃 피쳐들은 기판 위에서 공통 방향으로 기판과 평행하게 연장한다.The dynamic array is defined such that the layers (other than the diffusion region layer 203) are constrained to the layout feature shapes that can be defined therein. Specifically, in each layer other than the diffusion region layer 203, substantially linear-shaped layout features are allowed. The linear-shaped layout features in a given layer have a matching vertical cross-sectional shape and are characterized by extending in a single direction on the substrate. However, when some contacts need to be made on some lines, some small vertical projections may be allowed, but these small vertical projections should not constitute a substantial change in direction. Thus, linear-shaped layout features define structures that vary in one-dimensional. Diffusion areas 203 are not required to change to one-dimensional, even if allowed, if necessary. Specifically, the diffusion regions 203 in the substrate can be defined to have any two-dimensionally varying shape with respect to the plane coinciding with the top surface of the substrate. In one embodiment, multiple diffuse curved topologies are defined such that the interaction between a conductive material, such as polysilicon, forming the gate electrode of the transistor, and the bend in diffusion, can be predicted and accurately modeled. The linear-shaped layout features in a given layer are arranged to be parallel to each other. Thus, the linear-shaped layout features within a given layer extend parallel to the substrate in a common direction on the substrate.

일 실시형태에서, 동적 어레이의 언더라잉 레이아웃 방법은, 소정의 층 내의 이웃하는 형상들의 노출을 보강하기 위해 리소그래피 프로세스에서 광 웨이브들의 보강 광 간섭을 사용할 수도 있다 (하지만 반드시 사용할 필요는 없다). 따라서, 소정의 층 내의 평행한 선형-형상화된 레이아웃 피쳐들의 간격은, 리소그래피 보정 (예컨대, OPC/RET) 이 최소화되거나 또는 제거되도록, 정상 광 웨이브 (standing light wave) 들의 보강 광 간섭 근방에서 설계된다. 따라서, 종래의 OPC/RET-기반 리소그래피 프로세스들과 대조적으로, 여기서 정의되는 동적 어레이는, 이웃하는 피쳐들 사이의 광 상호작용에 대해 보상하기 위해 시도하기 보다는, 이웃하는 피쳐들 사이의 광 상호작용을 활용한다.In one embodiment, the underlaying layout method of the dynamic array may (but need not necessarily) use the enhanced optical interference of the optical waves in the lithographic process to augment the exposure of neighboring features in a given layer. Thus, the spacing of parallel linear-shaped layout features within a given layer is designed near the reinforced optical interference of standing light waves such that lithographic correction (e.g., OPC / RET) is minimized or eliminated . Thus, in contrast to conventional OPC / RET-based lithographic processes, a dynamic array, as defined herein, can be used for optical interactions between neighboring features, rather than attempting to compensate for optical interactions between neighboring features .

소정의 선형-형상화된 레이아웃 피쳐에 대한 정상 광 웨이브가 정확하게 모델링될 수 있기 때문에, 소정의 층 내의 평행하게 배치된 이웃하는 선형-형상화된 레이아웃 피쳐들과 연관된 정상 광 웨이브들이 어떻게 상호작용할지를 예측하는 것이 가능하다. 따라서, 하나의 선형-형상화된 피쳐를 노출시키기 위해 사용되는 정상 광 웨이브가 그것의 이웃하는 선형-형상화된 피쳐들의 노출에 어떻게 기여할지를 예측하는 것이 가능하다. 이웃하는 선형-형상화된 피쳐들 사이의 광 상호작용의 예측은, 소정의 형상을 렌더링하기 위해 사용되는 광이 그것의 이웃하는 형상들을 보강하도록, 최적의 피쳐-피쳐 간격의 식별을 가능하게 한다. 소정의 층 내의 피쳐-피쳐 간격은 피쳐 피치로서 정의되며, 여기서 피치는 소정의 층 내의 인접하는 선형-형상화된 피쳐들 사이의 중심-중심 분리 거리이다.Since the normal optical wave for a given linear-shaped layout feature can be accurately modeled, it is possible to predict how normal optical waves associated with parallelly arranged neighboring linear-shaped layout features within a given layer will interact It is possible. It is therefore possible to predict how a normal light wave used to expose one linear-shaped feature will contribute to the exposure of its neighboring linear-shaped features. Prediction of optical interactions between neighboring linear-shaped features enables identification of the optimal feature-feature spacing such that the light used to render the desired shape reinforces its neighboring features. The feature-feature spacing in a given layer is defined as the feature pitch, where the pitch is the center-center separation distance between adjacent linear-shaped features in a given layer.

일 실시형태에서, 이웃하는 피쳐들 사이의 원하는 노출 보강을 제공하기 위해, 소정의 층 내의 선형-형상화된 레이아웃 피쳐들은, 이웃하는 피쳐들로부터의 광의 보강 및 상쇄 간섭이 이웃의 모든 피쳐들의 최상의 렌더링을 생성하기 위해 최적화되도록 이격된다. 소정의 층 내의 피쳐-피쳐 간격은 피쳐들을 노출시키기 위해 사용되는 광의 파장에 비례한다. 소정의 피쳐로부터 약 5개의 광 파장 거리 내에서 각각의 피쳐를 노출시키기 위해 사용되는 광은 그 소정의 피쳐의 노출을 어느 정도까지 강화하도록 기능할 것이다. 이웃하는 피쳐들을 노출시키기 위해 사용되는 광 웨이브들의 보강 간섭의 활용은, 제작 장비 능력이 최대화되고, 리소그래피 프로세스 동안의 광 상호작용들에 관한 고려사항들에 의해 한정되지 않을 수 있게 한다.In one embodiment, the linear-shaped layout features in a given layer are arranged so that the reinforcement and destructive interference of light from neighboring features provides the best rendering of all neighboring features Quot; < / RTI > The feature-feature spacing within a given layer is proportional to the wavelength of light used to expose the features. The light used to expose each feature within about five light wavelength distances from a given feature will function to a certain extent to enhance the exposure of that feature. The utilization of the constructive interference of the optical waves used to expose the neighboring features allows the fabrication equipment capability to be maximized and not to be limited by considerations regarding optical interactions during the lithography process.

상술된 바와 같이, 동적 어레이는, (확산 이외의) 각각의 층 내의 피쳐들이 형상에서 실질적으로 선형이도록 요구되고, 공통 방향으로 기판 위에서 횡단하도록 평행한 방식으로 배향되는 제약된 토폴로지를 통합한다. 동적 어레이의 제약된 토폴로지를 이용하여, 포토리소그래피 프로세스에서 광 상호작용은 레지스트 상으로의 레이아웃의 정확한 전사가 달성되도록 최적화될 수 있다.As discussed above, the dynamic array incorporates a constrained topology in which features in each layer (other than diffusion) are required to be substantially linear in shape and oriented in a parallel manner to traverse over the substrate in a common direction. Using the constrained topology of the dynamic array, optical interaction in the photolithographic process can be optimized to achieve accurate transfer of the layout onto the resist.

도 2a는, 본 발명의 일 실시형태에 따른, 제약된 토폴로지의 정의를 용이하게 하기 위한 동적 어레이 상에 투사될 예시적인 베이스 격자를 도시하는 도면이다. 베이스 격자는 적절하게 최적화된 피치로 동적 어레이의 각각의 층 내의 선형-형상화된 피쳐들의 평행 배치를 용이하게 하기 위해 사용될 수 있다. 동적 어레이의 부분으로서 물리적으로 정의되지 않지만, 베이스 격자는 동적 어레이의 각각의 층 상의 투사로서 고려될 수 있다. 또한, 베이스 격자가 동적 어레이의 각각의 층 상의 포지션에 대하여 실질적으로 일치하는 방식으로 투사되어, 정확한 피쳐 스택 및 정렬을 용이하게 한다는 것이 이해되어야 한다.Figure 2a is a diagram illustrating an exemplary base grid to be projected onto a dynamic array to facilitate definition of a constrained topology, in accordance with an embodiment of the present invention. The base grating can be used to facilitate parallel placement of linear-shaped features within each layer of the dynamic array at appropriately optimized pitches. Although not physically defined as part of the dynamic array, the base lattice can be considered as a projection on each layer of the dynamic array. It should also be appreciated that the base grating is projected in a manner that substantially coincides with the position on each layer of the dynamic array to facilitate accurate feature stacking and alignment.

도 2a의 예시적인 실시형태에서, 베이스 격자는, 제 1 레퍼런스 방향 (x) 및 제 2 레퍼런스 방향 (y) 에 따른, 직사각형 격자, 즉 데카르트 격자로서 정의된다. 제 1 및 제 2 레퍼런스 방향들의 격자점-격자점 간격은 최적화된 피쳐-피쳐 간격으로 선형-형상화된 피쳐들의 정의를 가능하기 위해 필요한 대로 정의될 수 있다. 또한, 제 1 레퍼런스 방향 (x) 의 격자점 간격은 제 2 레퍼런스 방향 (y) 의 격자점 간격과 상이할 수 있다. 일 실시형태에서, 단일 베이스 격자는, 전체 다이에 걸친 각각의 층 내의 다양한 선형-형상화된 피쳐들의 위치결정을 가능하게 하기 위해, 전체 다이에 걸쳐 투사된다. 그러나, 다른 실시형태들에서, 다이의 개별적인 영역들 내의 상이한 피쳐-피쳐 간격 요구조건들을 지원하기 위해 다이의 개별적인 영역들에 걸쳐 개별적인 베이스 격자들이 투사될 수 있다. 도 2b는, 본 발명의 예시적인 실시형태에 따른, 다이의 개별적인 영역들에 걸쳐 투사되는 개별적인 베이스 격자들을 도시하는 도면이다.In the exemplary embodiment of FIG. 2A, the base grating is defined as a rectangular grating, that is, a Cartesian grating, along the first reference direction x and the second reference direction y. The lattice point-lattice point spacing of the first and second reference directions can be defined as needed to enable the definition of features that are linear-shaped with optimized feature-feature spacing. Further, the lattice point spacing in the first reference direction (x) may be different from the lattice point spacing in the second reference direction (y). In one embodiment, a single base grating is projected across the entire die to enable positioning of various linear-shaped features within each layer over the entire die. However, in other embodiments, individual base gratings may be projected over individual areas of the die to support different feature-feature spacing requirements within the individual areas of the die. Figure 2B is a diagram illustrating individual base gratings projected over individual areas of the die, in accordance with an exemplary embodiment of the present invention.

동적 어레이의 레이아웃 아키텍쳐는 베이스 격자 패턴에 따른다. 따라서, 확산에서 방향의 변화들이 발생하는 위치, 게이트 전극 및 금속 선형-형상화된 피쳐들이 위치되는 위치, 접촉들이 위치되는 위치, 선형-형상화된 게이트 전극 및 금속 피쳐들에서 개구들이 있는 위치 등을 표현하기 위해 격자점들을 사용하는 것이 가능하다. 격자점들의 피치, 즉 격자점-격자점 간격은, 선형-형상화된 피쳐들이 격자점들 중심에 있는, 소정의 피쳐 라인 폭의 이웃하는 선형-형상화된 피쳐들의 노출이 서로를 보강하도록, 소정의 피쳐 라인 폭에 대해 설정되어야 한다. 도 1의 동적 어레이 스택 및 도 2a의 예시적인 베이스 격자를 참조하면, 일 실시형태에서, 제 1 레퍼런스 방향 (x) 의 격자점 간격은 요구되는 게이트 전극 피치에 의해 설정된다. 이 동일한 실시형태에서, 제 2 레퍼런스 방향 (y) 의 격자점 피치는 금속 1 피치에 의해 설정된다. 예컨대, 90 nm 로직 프로세스 기술에서, 제 2 레퍼런스 방향 (y) 의 격자점 피치는 약 0.24 미크론이다. 일 실시형태에서, 금속 1 및 금속 2 층들은 공통 간격 및 피치를 가질 것이다. 금속 2 층 위에서 상이한 간격 및 피치가 사용될 수도 있다.The layout architecture of the dynamic array follows the base grid pattern. Thus, the position at which changes in direction in the diffusion occur, the position at which the gate electrode and metal linear-shaped features are located, the position at which the contacts are located, the location of openings in the linearly shaped gate electrode and metal features, It is possible to use lattice points to do this. The pitch of the lattice points, or lattice point-lattice point spacing, is such that the exposure of neighboring linear-shaped features of a given feature line width at the center of the lattice points of the linear- It should be set for the feature line width. Referring to the dynamic array stack of FIG. 1 and the exemplary base grating of FIG. 2A, in one embodiment, the lattice point spacing of the first reference direction x is set by the required gate electrode pitch. In this same embodiment, the lattice point pitch in the second reference direction y is set by the metal one pitch. For example, in a 90 nm logic process technique, the lattice point pitch in the second reference direction y is about 0.24 microns. In one embodiment, the metal 1 and metal 2 layers will have a common spacing and pitch. Different spacing and pitches over the two metal layers may be used.

동적 어레이의 다양한 층들은, 인접한 층들 내의 선형-형상화된 피쳐들이 서로에 대하여 횡단방향 (crosswise) 방식으로 연장하도록 정의된다. 예컨대, 인접한 층들의 선형-형상화된 피쳐들은 직교하여, 즉 서로에 대하여 수직으로 연장할 수도 있다. 또한, 하나의 층의 선형-형상화된 피쳐들은 예컨대 약 45 도와 같은 각으로 인접한 층의 선형-형상화된 피쳐들을 횡단하여 연장할 수도 있다. 예컨대, 일 실시형태에서, 하나의 층의 선형-형상화된 피쳐는 제 1 레퍼런스 방향 (x) 으로 연장하고, 인접한 층의 선형-형상화된 피쳐들은 제 1 (x) 및 제 2 (y) 레퍼런스 방향들에 대하여 대각선으로 연장한다. 인접한 층들에서 횡단방향 방식으로 배치된 선형-형상화된 피쳐들을 갖는 동적 어레이에서 설계를 라우팅하기 위해, 개구들이 선형-형상화된 피쳐들에서 정의될 수 있고, 필요한 대로 접촉들 및 비아들이 정의될 수 있다는 것이 인식되어야 한다.The various layers of the dynamic array are defined such that the linear-shaped features in adjacent layers extend in a crosswise manner with respect to each other. For example, the linear-shaped features of adjacent layers may be orthogonal, i.e. extending perpendicular to each other. In addition, the linearly shaped features of one layer may extend across the linearly shaped features of each adjacent layer, such as about 45 degrees. For example, in one embodiment, the linear-shaped features of one layer extend in a first reference direction x, and the linear-shaped features of adjacent layers extend in a first (x) and a second (y) As shown in Fig. In order to route the design in a dynamic array with linear-shaped features arranged in a transverse fashion in adjacent layers, openings can be defined in linear-shaped features and contacts and vias can be defined as needed Should be recognized.

동적 어레이는, 예측가능하지 않은 리소그래피 상호작용들을 제거하기 위해, 레이아웃 형상들 내의 굴곡들 (또는 방향에서의 실질적인 변화들) 의 사용을 최소화한다. 구체적으로, OPC 또는 다른 RET 프로세싱 이전에, 동적 어레이는 디바이스 사이즈들의 제어를 가능하게 하기 위해 확산 층에서 굴곡들을 허용하지만, 확산 층 위의 층들에서 실질적인 굴곡들 (또는 방향에서의 실질적인 변화들) 을 허용하지 않는다.The dynamic array minimizes the use of curvatures (or substantial changes in direction) in the layout shapes to remove unpredictable lithographic interactions. Specifically, prior to OPC or other RET processing, the dynamic array allows for flexures in the diffusion layer to enable control of device sizes, but allows for substantial flexures (or substantial changes in direction) in the layers above the diffusion layer Do not allow it.

확산으로부터 금속 2까지의 동적 어레이 층들의 예시적인 구축이 도 3 및 도 4를 참조하여 설명된다. 도 3 및 도 4에 대하여 설명되는 동적 어레이는 단지 예로써 제공되며, 동적 어레이 아키텍쳐의 한정들을 전달하도록 의도되지 않는다는 것이 인식되어야 한다. 동적 어레이는, 임의의 집적 회로 설계, 임의의 로직 셀, 베이스 셀, 아키텍쳐, 또는 설계 레이아웃들을 본질적으로 정의하기 위해 여기서 제시되는 원리들에 따라 사용될 수 있다. 설계들은, 물리적인 칩들, 웨이퍼들, 기판들 상에 이루어지거나 또는 종이, 필름 상에 그려지거나, 또는 파일들로 저장될 수 있다. 파일들로 저장되는 경우에, 파일들은 임의의 컴퓨터 판독가능 디바이스 상에 저장될 수 있다. 컴퓨터 판독가능 디바이스는 로컬 컴퓨터, 네트워킹된 컴퓨터 상에 저장될 수 있고, 파일들은 인터넷 또는 로컬 네트워크를 통해 전송, 공유, 또는 사용될 수 있다.An exemplary construction of the dynamic array layers from diffusion to metal 2 is described with reference to FIGS. 3 and 4. FIG. It should be appreciated that the dynamic arrays described with respect to Figures 3 and 4 are provided by way of example only and are not intended to convey limitations of the dynamic array architecture. The dynamic array may be used in accordance with the principles set forth herein to essentially define any integrated circuit design, any logic cell, base cell, architecture, or design layout. The designs can be made on physical chips, wafers, substrates, or can be drawn on paper, film, or stored as files. When stored as files, the files may be stored on any computer readable device. The computer-readable device may be stored on a local computer, a networked computer, and the files may be transferred, shared, or used over the Internet or a local network.

도 3은, 본 발명의 일 실시형태에 따른, 예시적인 동적 어레이의 확산 층 레이아웃을 도시한다. 도 3의 확산 층은 p-확산 영역 (401) 및 n-확산 영역 (403) 을 도시한다. 확산 영역들이 언더라잉 베이스 격자에 따라 정의되지만, 확산 영역들은 확산 층 위의 층들과 연관된 선형-형상화된 피쳐 제약들을 경험하지 않는다. 그러나, 주입 레이아웃들은 더 많은 형상 연장 및 굴곡들을 요구할 수도 있는 종래 기술 설계들에서보다 더 간단하다는 것이 주의된다. 도시된 바와 같이, n+ 주입 영역들 (412) 및 p+ 주입 영역들 (414) 은, 외부 조그 (jog) 들 및 노치 (notch) 들 없이, (x), (y) 격자 상에 직사각형들로서 정의된다. 이 스타일은 더 큰 주입 영역들의 사용을 허가하고, OPC/RET에 대한 필요성을 감소시키며, 예컨대 365 nm의 i-라인 조명 (illumination) 과 같은, 더 낮은 레졸루션 및 더 낮은 비용 리소그래피 시스템들의 사용을 가능하게 한다.
Figure 3 illustrates an exemplary dynamic array diffusion layer layout, in accordance with one embodiment of the present invention. The diffusion layer of FIG. 3 shows a p-diffusion region 401 and an n-diffusion region 403. Although the diffusion regions are defined according to the underlying base grating, the diffusion regions do not experience the linear-shaped feature constraints associated with the layers on the diffusion layer. However, it is noted that the implant layouts are simpler than in prior art designs which may require more profile extensions and flexures. As shown, n + implant regions 412 and p + implant regions 414 are defined as rectangles on the (x), (y) lattice without external jogs and notches . This style allows the use of larger injection areas, reduces the need for OPC / RET, and allows for the use of lower resolution and lower cost lithography systems, such as i-line illumination, for example at 365 nm. .

*도 4는, 본 발명의 일 실시형태에 따른, 도 3의 확산 층 위의 도 3의 확산 층에 인접한 게이트 전극 층을 도시하는 도면이다. CMOS 기술에서의 당업자가 인식하는 바와 같이, 게이트 전극 피쳐들 (501) 은 트랜지스터 게이트들을 정의한다. 게이트 전극 피쳐들 (501) 은 제 2 레퍼런스 방향 (y) 으로 동적 어레이에 걸쳐 평행한 관계로 연장하는 선형 형상화된 피쳐들로서 정의된다. 일 실시형태에서, 게이트 전극 피쳐들 (501) 은 공통 폭을 갖도록 정의된다. 그러나, 다른 실시형태에서, 게이트 전극 피쳐들의 하나 이상은 상이한 폭을 갖도록 정의될 수 있다. 게이트 전극 피쳐들 (501) 의 피치 (중심-중심 간격) 는, 이웃하는 게이트 전극 피쳐들 (501) 에 의해 제공되는 리소그래피 보강, 즉 공명 이미징의 최적화를 보장하면서 최소화된다. 설명의 목적들을 위해, 소정의 라인에서 동적 어레이에 걸쳐 연장하는 게이트 전극 피쳐들 (501) 은 게이트 전극 트랙이라 지칭된다.Figure 4 is a diagram showing a gate electrode layer adjacent to the diffusion layer of Figure 3 on the diffusion layer of Figure 3, in accordance with an embodiment of the invention. As will be appreciated by those skilled in the CMOS art, gate electrode features 501 define transistor gates. The gate electrode features 501 are defined as linear shaped features extending in a parallel relationship across the dynamic array in a second reference direction y. In one embodiment, the gate electrode features 501 are defined to have a common width. However, in other embodiments, one or more of the gate electrode features may be defined to have different widths. The pitch (center-to-center spacing) of the gate electrode features 501 is minimized while ensuring the optimization of lithographic reinforcement, i.e., resonance imaging, provided by the neighboring gate electrode features 501. For purposes of discussion, gate electrode features 501 extending over a dynamic array in a given line are referred to as gate electrode tracks.

게이트 전극 피쳐들 (501) 은 이들이 확산 영역들 (403 및 401) 을 횡단함에 따라 n-채널 및 p-채널 트랜지스터들을 각각 형성한다. 최적의 게이트 전극 피쳐 (501) 프린팅은, 몇몇 격자 위치들에서 확산 영역이 존재하지 않을 수도 있지만, 모든 격자 위치에서 게이트 전극 피쳐들 (501) 을 드로잉 (draw) 함으로써 달성된다. 또한, 긴 연속하는 게이트 전극 피쳐들 (501) 은, 동적 어레이의 내부 내의 게이트 전극 피쳐들의 종단들에서 라인 종단 단축 효과 (line end shortening effect) 들을 개선하려는 경향이 있다. 또한, 게이트 전극 프린팅은, 실질적으로 모든 굴곡들이 게이트 전극 피쳐들 (501) 로부터 제거되는 경우에 상당히 개선된다.The gate electrode features 501 form n-channel and p-channel transistors as they traverse the diffusion regions 403 and 401, respectively. Optimal gate electrode feature 501 printing is accomplished by drawing gate electrode features 501 at all lattice locations, although diffusion regions may not be present at some lattice positions. In addition, the long continuous gate electrode features 501 tend to improve line end shortening effects at the ends of the gate electrode features within the interior of the dynamic array. In addition, gate electrode printing is significantly improved when substantially all of the curvatures are removed from the gate electrode features 501.

게이트 전극 트랙들의 각각은, 구현될 특정한 로직 기능에 대해 요구되는 전기적 접속을 제공하기 위해 동적 어레이에 걸쳐 선형으로 횡단하는데 있어서 임의의 횟수로 중단, 즉 끊어질 수도 있다. 소정의 게이트 전극 트랙이 중단되도록 요구되는 경우에, 중단점에서의 게이트 전극 트랙 세그먼트들의 종단들 사이의 분리는, 제작 능력 및 전기적 효과들을 고려하는 것이 가능한 정도까지 최소화된다. 일 실시형태에서, 특정한 층 내의 피쳐들 사이에서 공통 종단-종단 간격이 사용되는 경우에 최적의 제작성이 달성된다.Each of the gate electrode tracks may be paused or disconnected at any number of times in a linear traversal across the dynamic array to provide the electrical connection required for the particular logic function to be implemented. When a predetermined gate electrode track is required to be interrupted, the separation between the ends of the gate electrode track segments at the breakpoint is minimized to such an extent that fabrication capability and electrical effects can be taken into account. In one embodiment, optimal fabrication is achieved when common termination-termination spacing is used between features in a particular layer.

II. 캔버스 상에서 자기-정렬된 국부적 상호접속부들을 사용하는 로직 셀 설
II. Logic cell design using self-aligned local interconnects on the canvas

*도 5a는 예시적인 로직 인버터의 회로 표현을 예시한다. 그러나, 상술된 바와 같이, 로직 인버터는, 임의의 다른 프리미티브, 셀, 로직 디바이스, 또는 프로세스 방법으로 구현될 수 있는, 자기-정렬된 국부적 상호접속부들을 만드는 프로세스를 전달하기 위해 단지 도시되고 설명된다. 도시된 바와 같이, PMOS 트랜지스터 (110) 및 NMOS 트랜지스터 (112) 는 로직 인버터를 만들기 위해 커플링된다. PMOS 트랜지스터 (110) 의 소스는 Vdd (118) 에 접속되고, PMOS 트랜지스터 (112) 의 드레인은 NMOS 트랜지스터 (112) 의 드레인에 접속된다. NMOS 트랜지스터 (112) 의 소스는 접지 (Vss) (120) 에 접속된다. 공통 입력 (116) 이 트랜지스터들에 제공되고, PMOS 트랜지스터 (110) 의 드레인과 NMOS 트랜지스터 (112) 의 드레인의 접속에서 출력 (114) 이 제공된다. 다시, 인버터 로직은 본 발명의 실시형태들의 이해를 제공하기 위해 예로서 사용된다. 그러나, 실시형태들이 임의의 다른 타입의 로직 셀들, 디바이스들, 및 집적 회로들의 제조에서 또한 채용될 수도 있다는 것을 당업자는 인식할 것이다.Figure 5A illustrates a circuit representation of an exemplary logic inverter. However, as discussed above, the logic inverter is only shown and described for communicating the process of making self-aligned local interconnects, which may be implemented in any other primitive, cell, logic device, or process method. As shown, PMOS transistor 110 and NMOS transistor 112 are coupled to make a logic inverter. The source of the PMOS transistor 110 is connected to the Vdd 118 and the drain of the PMOS transistor 112 is connected to the drain of the NMOS transistor 112. The source of the NMOS transistor 112 is connected to the ground (Vss) A common input 116 is provided to the transistors and an output 114 is provided at the drain of the PMOS transistor 110 and the drain of the NMOS transistor 112. Again, inverter logic is used as an example to provide an understanding of embodiments of the present invention. However, those skilled in the art will recognize that embodiments may also be employed in the manufacture of any other type of logic cells, devices, and integrated circuits.

도 5b는, 각각 P (64) 및 N (68) 확산 영역들을 Vdd (50) 및 Vss (54) 에 접속시키기 위해 자기-정렬된 국부적 상호접속부들 (58/60) 을 갖는 예시적인 로직 인버터의 평면도를 예시한다. 또한, 자기-정렬된 국부적 상호접속부 (62) 는 PMOS 트랜지스터의 드레인을 NMOS 트랜지스터의 드레인에 접속시키기 위해 사용된다. 일 실시형태에서, 집적 회로 내의 모든 자기-정렬된 국부적 상호접속부들은 기판 상에서 게이트 전극 채널들에 평행하게 이어져 있다. 국부적 상호접속부들을 하나의 방향으로 놓는 것의 다수의 장점들 중 하나는, 그렇지 않으면 자기-정렬된 국부적 상호접속부들을 사용하여 이루어지는 접속들을 만들 필요가 있을 수도 있는 하나의 금속 층을 국부적 상호접속부 층이 대체할 수 있다는 것이다. 금속 1 라인들 (50, 72, 70, 및 54) 은 게이트 전극 라인 (74) 에 수직한 하나의 방향으로 정렬된다. 금속 라인들의 정렬은 다른 실시형태들에서 상이할 수 있다.5b shows an exemplary logic inverter with self-aligned local interconnects 58/60 for connecting P (64) and N (68) diffusion regions to Vdd 50 and Vss 54, respectively. Fig. In addition, the self-aligned local interconnect 62 is used to connect the drain of the PMOS transistor to the drain of the NMOS transistor. In one embodiment, all self-aligned local interconnects in the integrated circuit are parallel to the gate electrode channels on the substrate. One of the many advantages of placing local interconnects in one direction is that the local interconnect layer replaces one metal layer, which may otherwise need to be made using self-aligned local interconnects. I can do it. The metal first lines 50, 72, 70, and 54 are aligned in one direction perpendicular to the gate electrode line 74. The alignment of the metal lines may be different in other embodiments.

계속 도 5b를 참조하면, 자기-정렬된 국부적 상호접속부들을 채용하는 것의 다수의 장점들이 있다. 일 예에서, P 확산 영역 (64) 을 Vdd 라인 (50) 에 접속시키는 자기-정렬된 국부적 상호접속부 (58) 는 Vdd 라인 (50) 을 향해 연장하는 L 형상화된 확산 영역을 제조하는 것의 필요성을 제거한다. 몇몇 설계들에서, 자기-정렬된 국부적 상호접속부 (58) 는, 확산 영역 (64) 을 Vdd 라인 (50) 에 접속시키기 위한 금속 스트랩에 대한 필요성을 제거한다. 금속 스트랩 및 연관된 접촉의 제거는 디바이스 성능을 증가시키고 디바이스 사이즈를 감소시킨다. 확산 영역들에 접속하는 금속 스트랩이 실리콘의 유익한 스트레이닝 (straining) 과 간섭하는 하나 이상의 접촉들을 요구할 수도 있기 때문에, 성능이 증가된다. 따라서, 확산 영역들로의 금속 접촉부들을 감소시키는 것은, 몇몇 설계 구성들에 대해 필요하지 않은 한, 디바이스 성능을 상승시킬 것이다.Continuing with FIG. 5B, there are a number of advantages of employing self-aligned local interconnects. In one example, the self-aligned local interconnect 58 connecting the P diffusion region 64 to the Vdd line 50 has the need to fabricate an L shaped diffusion region extending toward the Vdd line 50 Remove. In some designs, the self-aligned local interconnect 58 eliminates the need for a metal strap to connect the diffusion region 64 to the Vdd line 50. Removal of metal straps and associated contacts increases device performance and reduces device size. The performance is increased because the metal strap connecting to the diffusion regions may require one or more contacts that interfere with the beneficial straining of silicon. Thus, reducing the metal contacts to the diffusion regions will increase device performance unless needed for some design configurations.

도 6a는, P 확산 영역 (64) 및 N 확산 영역 (68), 및 P 확산 영역 (64) 및 N 확산 영역 (68) 위의 게이트 전극 라인 (74) 을 도시하는 부분적으로 제조된 집적 회로의 평면도를 예시한다. 이 예시적인 부분도에서, 다른 게이트 전극 라인들 (74a, 74b) 은 셸로우 트렌치 분리 (STI) 영역들 위에 놓여 있다. 게이트 전극들 (74, 74a, 74b) 은 양측 상에 유전체 스페이서들 (또는 게이트 측벽 스페이서들) 을 포함한다.6A illustrates a partially fabricated integrated circuit showing a P diffusion region 64 and an N diffusion region 68 and a gate electrode line 74 over P diffusion region 64 and N diffusion region 68 Fig. In this exemplary partial view, the other gate electrode lines 74a, 74b lie above the shell row trench isolation (STI) regions. The gate electrodes 74, 74a, 74b include dielectric spacers (or gate sidewall spacers) on either side.

설명의 편의를 위해 도시되지 않았지만, 게이트 전극들의 종단들이 또한 유전체 스페이서들을 가질 수도 있다. 설계에 의해, 게이트 전극 라인들이 기판들 상에 균일하게 배치되므로, 게이트 전극 라인들의 몇몇은 STI 영역들 위에 형성된다. 따라서, STI 위에 형성된 게이트들은 비활성 게이트들이다. 활성 게이트는, 게이트 전극이 확산 영역 위에 배치되는 경우에 형성되고, 트랜지스터가 정의될 수 있다. 일 실시형태에서, 부분적으로 제조된 집적 회로는 표준 CMOS 제조 프로세스를 사용하여 제조된다.Although not shown for convenience of explanation, the ends of the gate electrodes may also have dielectric spacers. By design, since the gate electrode lines are uniformly arranged on the substrates, some of the gate electrode lines are formed on the STI regions. Thus, the gates formed on the STI are inactive gates. The active gate is formed when the gate electrode is disposed over the diffusion region, and a transistor can be defined. In one embodiment, the partially fabricated integrated circuit is fabricated using a standard CMOS fabrication process.

도 6b는 도 6a의 부분적으로 제조된 집적 회로의 단면도를 예시한다. 도면들이 치수들의 정확한 표현 또는 정확한 상대적인 치수들을 제공하도록 의도되지 않았다는 것이 이해되어야 한다. 다른 한편으로는, 도면들은 피쳐들 및 층들의 배치, 및 프로세싱의 예시적인 시퀀스를 일반적으로 전달하는 것으로 이해되어야 한다. 또한, 몇몇 시퀀스 단계들은, 이들이 당업계에 공지되어 있으며 여기서 예시되는 프로세스 및 시퀀스 플로우들에 중요하지 않으므로, 그림으로 예시되지 않는다는 것이 이해되어야 한다.Figure 6b illustrates a cross-sectional view of the partially fabricated integrated circuit of Figure 6a. It is to be understood that the drawings are not intended to provide an exact representation of the dimensions or exact relative dimensions. On the other hand, the figures should be understood to generally convey the arrangement of features and layers, and an exemplary sequence of processing. It should also be understood that some sequence steps are not illustrated in the figures, as they are not known to the art and are not critical to the process and sequence flows illustrated herein.

이를 유념하면서, 부분적으로 제조된 집적 회로는, 실리콘 웨이퍼 위에 형성되고, 웰 (182) 및 셸로우 트렌치 분리 (STI) 영역들 (180) 을 포함하여, 집적 회로 내의 인접한 활성 디바이스들 사이의 분리를 제공한다. 웰 (182) 은 확산 영역들 (184) 및 게이트 전극 (74) 을 포함한다. 게이트 전극들은 게이트 전극 라인들의 측면들을 따라 형성된 유전체 스페이서들 (또한 측벽 스페이서들이라 알려짐) (230) 을 포함한다. 상술된 바와 같이, 설계를 최적화하기 위해, 게이트 전극들 (또는 라인들) 은 서로에 대해 평행한 배향으로 제조된다. 따라서, 여기서 설명되는 바와 같이, "채널들" 은 각각의 게이트 전극들 사이에서 정의된다. 따라서, 2개의 인접한 게이트 전극 채널들 사이의 간격은 게이트 전극 라인들의 규칙적인 간격에 의해 좌우된다. 이하 더 상세히 설명될 바와 같이, 결과의 자기-정렬된 국부적 상호접속부들은 인접한 게이트 전극들 사이의 채널들 내에 (또는 이웃하는 게이트 전극이 존재하지 않는 경우에 게이트 전극 옆에) 있을 것이다. 자기-정렬된 국부적 상호접속부들이 채널들 내에 대부분 잔류할 것이기 때문에, 자기-정렬된 국부적 상호접속부들은 자기-정렬할 것이다.With this in mind, a partially fabricated integrated circuit is formed on a silicon wafer and includes isolation between adjacent active devices in the integrated circuit, including well 182 and shell low trench isolation (STI) to provide. Well 182 includes diffusion regions 184 and a gate electrode 74. The gate electrodes include dielectric spacers 230 (also known as sidewall spacers) formed along the sides of the gate electrode lines. As discussed above, to optimize the design, the gate electrodes (or lines) are fabricated in an orientation parallel to each other. Thus, as described herein, "channels" are defined between each gate electrode. Thus, the spacing between two adjacent gate electrode channels is governed by the regular spacing of the gate electrode lines. As will be described in greater detail below, the resulting self-aligned local interconnections will be in channels between adjacent gate electrodes (or next to the gate electrode if no neighboring gate electrode is present). Because the self-aligned local interconnects will most likely remain within the channels, the self-aligned local interconnects will self-align.

도 7a 및 도 7b에서, 국부적 상호접속부 층 (196) 은 확산 영역들 (184), 게이트 전극들 (74, 74a, 74b), 및 스페이서들 위에 형성된다. 예로써, 국부적 상호접속부 층 (196) 의 형성은 금속 증착 프로세스를 통해 이루어질 수 있다. 가시화의 편의를 위해, 국부적 상호접속부 층 (196) 은 도 7a에서 반투명 층으로서 도시된다. 도 7b의 단면은 도 6b의 피쳐들 위에 증착된 국부적 상호접속부 층 (196) 을 도시한다.7A and 7B, a local interconnect layer 196 is formed over the diffusion regions 184, gate electrodes 74, 74a, 74b, and spacers. By way of example, formation of the local interconnect layer 196 may be accomplished through a metal deposition process. For ease of visualization, the local interconnect layer 196 is shown as a translucent layer in FIG. 7A. The cross-section of Figure 7b shows the local interconnect layer 196 deposited over the features of Figure 6b.

일 실시형태에서, 국부적 상호접속부 층 (196) 은 일반적으로 금속성이다. 더 구체적인 실시형태에서, 금속은 대부분 니켈 (Ni) 일 수도 있다. 다른 실시형태들에서, 금속은 티타늄, 백금, 또는 코발트일 수 있다. 또 다른 실시형태에서, 니켈과 백금의 조합이 사용될 수 있다. 바람직하게는, 국부적 상호접속부 층에서 사용되는 금속의 순도는 산업 표준 금속들을 준수해야 한다. 일 실시형태에서, 국부적 상호접속부 층은 물리 기상 증착 (PVD) 기술을 사용하여 증착된다. 다른 실시형태들에서, 국부적 상호접속부 층의 증착은 화학 기상 증착 (CVD) 또는 원자층 증착 (ALD) 을 통해 행해질 수도 있다.In one embodiment, the local interconnect layer 196 is generally metallic. In a more specific embodiment, the metal may be mostly nickel (Ni). In other embodiments, the metal may be titanium, platinum, or cobalt. In another embodiment, a combination of nickel and platinum may be used. Preferably, the purity of the metal used in the local interconnect layer must conform to industry standard metals. In one embodiment, the local interconnect layer is deposited using physical vapor deposition (PVD) techniques. In other embodiments, the deposition of the local interconnect layer may be done via chemical vapor deposition (CVD) or atomic layer deposition (ALD).

상호접속부 층 (196) 을 증착한 이후에, 상호접속부 층의 금속은 언더라잉 실리콘과 반응되고, 게이트 전극 내에 존재하는 경우에 폴리실리콘과 반응된다. 일 예에서, 반응은 열 프로세싱 단계를 통해 용이하게 된다. 반응은 다수의 프로세스 조건들 하에서 수행될 수 있지만, 예로서, 니켈 층에 대해, 온도는 섭씨 약 200 내지 400 도 사이의 범위일 수 있고, 약 5 내지 약 60 초의 범위의 시간 동안 유지될 수 있으며; 더 높은 온도들이 다른 금속들에 대해 사용될 수도 있다. 다른 예에서, 온도는 섭씨 약 300 도로 설정될 수 있고, 약 30 초 동안 프로세싱될 수 있다. 반응 단계는 일반적으로 질소 또는 다른 불활성 가스들을 사용하는 챔버에서 수행된다.After depositing the interconnect layer 196, the metal of the interconnect layer is reacted with the underlying silicon and, if present in the gate electrode, with the polysilicon. In one example, the reaction is facilitated through a thermal processing step. The reaction may be performed under a number of process conditions, but for example, for a nickel layer, the temperature may range between about 200 and 400 degrees Celsius and may be maintained for a time in the range of about 5 to about 60 seconds ; Higher temperatures may be used for other metals. In another example, the temperature can be set at about 300 degrees Celsius and can be processed for about 30 seconds. The reaction step is generally carried out in a chamber using nitrogen or other inert gases.

도 8a에 도시된 바와 같이, 반응 프로세스의 결과로서, 실리사이드 (196') 가 노출된 실리콘 영역들 위에 형성된다. 따라서, 실리사이드화 (즉, 실리사이드 (196') 의 형성) 는, 노출된 실리콘 기판 부분 및 존재하는 경우에 노출된 폴리실리콘 게이트 위에서 발생한다. 알려진 바와 같이, 실리사이드 (196') 는 층이 얇은 경우에도 양호한 전도를 제공한다. 실리콘을 접촉하지 않은 국부적 상호접속부 층 (196) 금속의 부분들은 당연히, 반응 프로세스 이후에 금속으로서 잔류할 것이다. 도면들에서, 도 8a는, 반응하지 않았던 국부적 상호접속부 층 (196) 의 금속과 대조적으로 실리사이드 (196') 를 음영으로 도시한다.As shown in FIG. 8A, as a result of the reaction process, silicide 196 'is formed over the exposed silicon regions. Thus, silicidation (i.e., formation of silicide 196 ') occurs over exposed silicon substrate portions and polysilicon gates that are exposed if present. As is known, the silicide 196 'provides good conduction even when the layer is thin. Portions of the local interconnect layer 196 metal that are not in contact with silicon will, of course, remain as a metal after the reaction process. In the figures, FIG. 8A shaded the silicide 196 ', in contrast to the metal of the local interconnect layer 196 that did not react.

도 8b는 하드 마스크 층 (199) 이 국부적 상호접속부 층 (196) 위에 증착된 이후의 결과를 예시한다. 일 실시형태에서, 하드 마스크 층 (199) 은 산화물 (예컨대, SiO2 등) 이다. 다른 실시형태에서, 하드 마스크 층 (199) 은 질화물 (예컨대, 질화 실리콘 등) 이다. 또 다른 실시형태에서, 하드 마스크 층 (199) 은 비정질 탄소 (APF) 이다. 하드 마스크 층 (199) 은 다수의 방식들로 형성될 수 있으며, 하나의 그러한 예시적인 방식은 CVD, ALD, 또는 PECVD 프로세스 중 하나를 채용하는 것이다. 이 실시형태에서, 하드 마스크 층 (199) 은, 도전성 접속이 요구되지 않는 국부적 상호접속부 층 (196) 의 부분들을 제거하는 후속하는 제거 단계들 동안에 국부적 상호접속부 층 (196) 을 보호하기 위해 사용된다.FIG. 8B illustrates the result after the hard mask layer 199 is deposited over the local interconnect layer 196. In one embodiment, the hard mask layer 199 may be an oxide (e.g., SiO 2, etc.). In another embodiment, hardmask layer 199 is a nitride (e.g., silicon nitride, etc.). In yet another embodiment, hardmask layer 199 is amorphous carbon (APF). The hardmask layer 199 can be formed in a number of ways, one such example approach employing one of the CVD, ALD, or PECVD processes. In this embodiment, the hard mask layer 199 is used to protect the local interconnect layer 196 during subsequent removal steps to remove portions of the local interconnect layer 196 where no conductive connection is required .

도 9a는, 본 발명의 일 실시형태에 따른, 폴리머 층 (210) 이 하드 마스크 층 (199) 위에 형성된 이후의 도 8b의 단면도를 도시한다. 폴리머 층 (210) 은 공지의 다수의 방식들로 적용될 수 있다. 일 예에서, 폴리머 층 (210) 은 하드 마스크 층 (199) 의 표면 위에서 스핀 코팅되는 것이 바람직하다. 다른 실시형태에서, 폴리머 층 (210) 은, 원하는 개발 프로세스에 따라, 포지티브 또는 네거티브인 포토레지스트 재료일 수 있다. 포토레지스트의 다른 타입들은, 예컨대, 비민감화된 (unsensitized) 포토레지스트들, 폴리메틸 메타크릴레이트 레지스트들 (PMMA) 등을 포함할 수 있다. 적용되면, 도 9b에 도시된 바와 같이, 폴리머 층 (210) 은 하드 마스크 층 (199) 이 노출될 때까지 부분적으로 그리고 균등하게 제거된다. 제거는 플라즈마 에칭 동작을 사용하여 수행되는 것이 바람직하다. 하나의 예시적인 에칭 프로세스는 산소 플라즈마에서 수행될 수 있다. 이 단계에서, 에칭 프로세스는, 가장 먼저 노출된 하드 마스크 층 (199) 까지 아래로 실질적으로 균등한 제거 프로파일을 달성하기 위해 사실상 이방성인 것이 바람직하다. 도 9b에서 예시된 에칭 동작을 언제 중지시킬지를 결정하기 위해 표준 종단-점 검출 기술들이 사용될 수도 있다. 도 9c는, 노출된 하드 마스크 층 (199) 및 잔류 폴리머 층 (210) 을 도시하는 평면도이다. 이 스테이지에서, 게이트 측벽 스페이서들 (즉, 유전체 스페이서들) (230) 이 폴리머 층 (210) 에 의해 또한 여전히 커버된다.Figure 9A illustrates a cross-sectional view of Figure 8B after a polymer layer 210 is formed over the hardmask layer 199, in accordance with an embodiment of the present invention. The polymer layer 210 may be applied in a number of known ways. In one example, the polymer layer 210 is preferably spin-coated on the surface of the hard mask layer 199. In another embodiment, the polymer layer 210 may be a positive or negative photoresist material, depending on the desired development process. Other types of photoresist may include, for example, unsensitized photoresists, polymethyl methacrylate resists (PMMA), and the like. If applied, the polymer layer 210 is partially and uniformly removed until the hard mask layer 199 is exposed, as shown in FIG. 9B. The removal is preferably performed using a plasma etch operation. One exemplary etching process may be performed in an oxygen plasma. At this stage, the etch process is preferably substantially anisotropic to achieve a substantially uniform removal profile down to the first exposed hardmask layer 199. Standard termination-point detection techniques may be used to determine when to stop the etching operation illustrated in Figure 9B. FIG. 9C is a plan view showing the exposed hard mask layer 199 and the residual polymer layer 210. FIG. In this stage, gate sidewall spacers (i.e., dielectric spacers) 230 are also still covered by the polymer layer 210.

게이트 전극 라인들을 균일한 규칙적인 간격으로 배치하는 것의 다른 장점은 폴리머 층 (210) 이 실질적으로 동등한 두께를 가지고 균일하게 정의된다는 것임이 주의되어야 한다. 그러한 균일한 간격이 없이는, 폴리머 층 (210) 은 두께에서 변화들을 나타낼 수도 있으며, 이는 바람직하지 않다. 예컨대, 폴리머 층 (210) 의 두께가 기판 위에서 실질적으로 균일하지 않은 경우에, 상대적으로 더 적은 폴리머 재료가 커버하는 몇몇 게이트 전극들이 먼저 노출되어, 특정 게이트들 위의 하드 마스크의 오버 에칭 (over etching) 을 가능하게 할 수도 있다.It should be noted that another advantage of disposing the gate electrode lines at regular regular intervals is that the polymer layer 210 is defined uniformly with substantially equivalent thickness. Without such uniform spacing, the polymer layer 210 may exhibit variations in thickness, which is undesirable. For example, if the thickness of the polymer layer 210 is not substantially uniform over the substrate, some of the gate electrodes covered by the relatively less polymeric material may be exposed first to overetch the hardmask over the specific gates ). ≪ / RTI >

게이트 전극들 (74, 74a, 74b) 의 상부 위의 하드 마스크 층 (199) 이 노출되면, 등방성 에칭이 수행된다. 등방성 에칭은, 게이트 전극 유전체 스페이서들 (230) 상의 폴리머 층 (210) 과 같은, 폴리머 층 (210) 의 측면 부분들 (238) 을 제거하도록 설계된다. 도 10a 및 도 10b에 예시된 바와 같이, 이 등방성 에칭이 완료된 이후에, 폴리머 층 (210) 은, 게이트 유전체 스페이서들 (230) 에 오프셋 (offset) 되고 자기-정렬된 게이트 전극들 (74, 74a, 74b) 사이에서 스트립들의 형태로 잔류해야 한다. 따라서, 폴리머 층 (210) 은, 게이트 전극 라인들 (74, 74a, 74b) 및 게이트 유전체 스페이서들 (230) 을 제외한 기판 상의 모든 곳에서 잔류할 것이다.When the hard mask layer 199 on top of the gate electrodes 74, 74a, 74b is exposed, isotropic etching is performed. Isotropic etching is designed to remove side portions 238 of the polymer layer 210, such as the polymer layer 210 on the gate electrode dielectric spacers 230. 10A and 10B, after the isotropic etching is completed, the polymer layer 210 is offset from the gate dielectric spacers 230 and self-aligned with the gate electrodes 74, 74a , 74b) in the form of strips. Thus, the polymer layer 210 will remain everywhere on the substrate except for the gate electrode lines 74, 74a, 74b and the gate dielectric spacers 230.

도 11a는, 폴리머 층 (210) 에 의해 커버되지 않은 하드 마스크 층 (199) 이 제거된 이후의 기판의 단면을 예시한다. 선택된 하드 마스크의 재료에 따라, 제거는 다수의 알려진 습식 또는 건식 에칭 프로세스들을 사용하여 수행될 수 있다. 일 실시형태에서, 노출된 하드 마스크 층 (199) 이 제거되면, 유전체 스페이서들 (230) 위에서 국부적 상호접속부 층 (196) 재료의 부분을 제거하기 위해 에칭이 지속될 수 있다. 국부적 상호접속부 층 (196) 의 이 부분의 제거는 국부적 상호접속부 층 (196)/실리사이드 (196') 와 유전체 스페이서들 (230) 사이에서 약간의 분리를 제공할 것이다. 이 포인트에서, 폴리머 층 (210) 에 의해 커버된, 잔류 국부적 상호접속부 층 (196) 재료, 실리사이드 (196') 재료, 및 하드 마스크 층 (199) 은 유전체 스페이서들 (230) 에 의해 정렬된 그 유전체 스페이서들 (230) 사이의 채널들 내에서 이어져 있을 것이다.11A illustrates a cross-section of a substrate after a hard mask layer 199 not covered by the polymer layer 210 has been removed. Depending on the material of the selected hardmask, the removal may be performed using a number of known wet or dry etching processes. In one embodiment, when the exposed hardmask layer 199 is removed, etching may be continued to remove portions of the material of the local interconnect layer 196 over the dielectric spacers 230. The removal of this portion of the local interconnect layer 196 will provide some isolation between the local interconnect layer 196 / silicide 196 'and the dielectric spacers 230. At this point, the residual local interconnect layer 196 material, the silicide 196 'material, and the hard mask layer 199, which are covered by the polymer layer 210, Lt; RTI ID = 0.0 > 230 < / RTI >

도 11b는, (실리사이드 부분들 (196') 을 포함하는) 국부적 상호접속부 층 (196) 위에서부터, 폴리머 층 (210) 및 하드 마스크 층 (199) 을 제거하기 위해 다른 선택적 에칭 동작이 수행된 이후의 기판의 단면도를 예시한다. 도시된 바와 같이, 국부적 상호접속부 층 (196) 재료 및 실리사이드 부분들 (196') 은 유전체 스페이서들 (230) 사이에서 자기-정렬될 것이다. 도 12는 도 11b의 기판의 평면도를 도시한다. 도시된 바와 같이, 국부적 상호접속부 층 (196) 은 게이트 유전체 스페이서들 (230) 사이의 채널들에서 이어져 있다. 상술된 바와 같이, 에칭의 결과로서, 자기-정렬된 국부적 상호접속부 층 (196) 은 또한 유전체 스페이서들 (230) 로부터 거리 (231) 만큼 이격된다. 도 12는 또한 P (64) 및 N (68) 확산 영역들 (이들 영역들 양자 모두는 확산 영역 (184) 으로서 단면도들에서 예시된다) 을 예시한다.11B illustrates another selective etch operation after removal of the polymer layer 210 and hard mask layer 199 from the top of the local interconnect layer 196 (including the silicide portions 196 ' Sectional view of the substrate of FIG. As shown, the local interconnect layer 196 material and silicide portions 196 'will be self-aligned between the dielectric spacers 230. Figure 12 shows a top view of the substrate of Figure 11b. As shown, the local interconnect layer 196 extends in the channels between the gate dielectric spacers 230. As described above, as a result of the etching, the self-aligned local interconnect layer 196 is also spaced a distance 231 from the dielectric spacers 230. 12 also illustrates P (64) and N (68) diffusion regions (both of which are illustrated in cross-sectional views as diffusion regions 184).

도 13은 본 발명의 일 실시형태에 따른, 에칭을 용이하게 할 패터닝 동작을 예시한다. 일 실시형태에서, 포토레지스트가 스핀 코팅되고, 그 후 표준 포토리소그래피를 사용하여 노출되어, 마스크 (300) 를 정의할 수 있다. 도시된 바와 같이, 마스크 (300) 는, 에칭 동작이 수행된 이후에 잔류할 국부적 상호접속부 층 (196) 의 부분들을 커버하도록 정의된다. 노출된 실리콘 또는 존재하는 경우에 폴리 실리콘 위에 실리사이드 (196') 를 형성하는 반응된 재료가, 마스크 (300) 에 의해 커버되지 않더라도, 에칭 이후에 또한 잔류할 것이다. 일 실시형태에서, 마스크 (300) 가 게이트 전극들 (74, 74a, 74b) 위에 대략 놓이도록 정의될 수 있으므로, 마스크 (300) 는 엄격한 레이아웃 제약들 없이 쉽게 정의될 수 있다.13 illustrates a patterning operation to facilitate etching, according to an embodiment of the present invention. In one embodiment, the photoresist is spin coated and then exposed using standard photolithography to define the mask 300. As shown, the mask 300 is defined to cover portions of the local interconnect layer 196 that will remain after the etching operation is performed. The reacted material that forms the silicide 196 'over the exposed silicon or polysilicon, if present, will also remain after etching, even if it is not covered by the mask 300. In one embodiment, the mask 300 can be easily defined without strict layout constraints, since the mask 300 can be defined to be approximately over the gate electrodes 74, 74a, 74b.

국부적 상호접속부 층 (196) 재료가 채널들 내에만 놓이고, 유전체 스페이서들 (230) 사이에서 이미 자기-정렬되었으므로, 엄격한 레이아웃 제약들이 요구되지 않는다는 것이 인식되어야 한다. 다시, 그러나, 국부적 상호접속부 층 (196) 의 보호되지 않은 부분들을 제거하기 위해 채용된 에칭 이후에 실리사이드 (196') 재료가 잔류할 것이다. 전기적으로, 국부적 상호접속부 층 (196) 및 실리사이드 (196') 재료는, 규칙적인 상호접속부 금속배선 라인과 유사한, 도전성 링크 또는 접속 또는 도전성 라인을 정의할 것이다.It should be appreciated that strict layout constraints are not required because the local interconnect layer 196 material is only within the channels and is already self-aligned between the dielectric spacers 230. Again, however, the silicide 196 'material will remain after etching employed to remove unprotected portions of the local interconnect layer 196. Electrically, the local interconnect layer 196 and the silicide 196 'material will define a conductive link or connection or conductive line similar to a regular interconnect metal line.

도 14는 마스크 (300) 의 에칭 및 후속적인 제거 이후의 기판의 평면도를 예시한다. 도시된 바와 같이, 국부적 상호접속부 층 (196) 은, 마스크 (300) 가 재료를 보호하였던 채널들에서 잔류할 것이며, 따라서 진정한 자기-정렬된 국부적 상호접속부 피쳐들을 형성한다. 따라서, 잔류 국부적 상호접속부 층 (196) 은, 유전체 스페이서들 (230) 사이에서 정의된 채널 내에서 임의의 원하는 상호접속부를 기능적으로 완성할 것이다. 마스크 (300) 의 제거 이후에, 어닐링 동작이 수행될 수 있다. 예컨대, 어닐링은, 니켈에 대해 대략 섭씨 450 도에서 대략 30 초 동안 동작되는 급속 열 어닐링 (rapid thermal annealing; RTA) 프로세스일 수도 있다.14 illustrates a top view of the substrate after etching and subsequent removal of the mask 300. FIG. As shown, the local interconnect layer 196 will remain in the channels where the mask 300 has protected the material, thus forming true self-aligned local interconnect features. Thus, the residual local interconnect layer 196 will functionally complete any desired interconnections within the channel defined between the dielectric spacers 230. [ After the removal of the mask 300, an annealing operation may be performed. For example, annealing may be a rapid thermal annealing (RTA) process operating at approximately 450 degrees Celsius for approximately 30 seconds for nickel.

도 5b를 다시 참조하면, 도 15에 도시된 바와 같이, 금속 1 라인들은 게이트 전극 라인들 (74, 74a, 74b) 에 수직하여 제조될 수 있다. 또한, 예시적인 로직 회로를 형성하기 위해 필요한, 다양한 층들 사이에서 전기적 전도를 제공하기 위해, 원하는 위치들에서 접촉들이 형성된다.Referring again to FIG. 5B, as shown in FIG. 15, metal 1 lines may be fabricated perpendicular to the gate electrode lines 74, 74a, 74b. In addition, contacts are formed at desired locations to provide electrical conduction between the various layers, which is necessary to form an exemplary logic circuit.

일 실시형태에서, 금속-1 트랙들 (702) 은 서로에 더 근접하게 제조될 수 있으며, 이는 더 용이한 라우팅 및 원하는 접속들을 가능하게 할 수도 있다. 당연히, 라인들 사이의 피치는, 제조 능력, 특정한 회로, 레이아웃, 및 설계 및/또는 회로의 타입에 대한 레이아웃 제약들에 의존할 것이다. 자기-정렬된 국부적 상호접속부들 (196) 이 금속-1 트랙들 (702) 에 수직하게 정렬되므로, 자기-정렬된 국부적 상호접속부들 (196) 과 선택된 금속-1 트랙들 사이에서 접촉을 정의/선택하는데 있어서 공간의 견지에서 더 큰 자유도가 이용가능하다. 따라서, 자기-정렬된 국부적 상호접속부들의 이전에 논의된 장점들 이외에도, 자기-정렬된 국부적 상호접속부들은 또한 위의 레벨들에서 금속 트랙들을 라우팅하는 것에서 더 많은 자유를 제공하는 것을 보조하며, 이는 이어서 설계 및 제조에서 유연성을 제공한다.In one embodiment, metal-1 tracks 702 may be fabricated closer together to each other, which may facilitate easier routing and desired connections. Naturally, the pitch between the lines will depend on the manufacturing capabilities, the particular circuit, the layout, and layout constraints on the type of design and / or circuit. Aligned and localized interconnects 196 are vertically aligned with the metal-1 tracks 702 so that the contact between the self-aligned local interconnects 196 and the selected metal- A greater degree of freedom is available in terms of space in selection. Thus, in addition to the previously discussed advantages of self-aligned local interconnects, self-aligned local interconnects also assist in providing more freedom in routing metal tracks at the above levels, Provides flexibility in design and manufacturing.

도 16은, 본 발명의 자기-정렬된 국부적 상호접속부들을 사용하여 제조된 예시적인 인버터 로직 셀을 예시한다. 회로는, 게이트 전극 라인 (74a) 이 게이트 전극 갭 (703) 을 제공하기 위해 2개의 섹션들로 분할된 것을 제외하고는, 도 5a에 예시된 회로와 유사하다. 예시의 편의를 위해 1개의 갭만이 도시된 것이 주의될 수도 있다. 다른 실시형태들에서, 하나 이상의 게이트 전극 라인들이 하나 이상의 게이트 전극 갭들을 가질 수 있다. 일 실시형태에서, 게이트 전극 갭 (703) 은 게이트 전극 라인 (74a) 에 수직하게 정렬된 자기-정렬된 국부적 상호접속부들을 제조하기 위해 사용될 수 있다. 이들 게이트 전극 갭들 (703) 내의 자기-정렬된 국부적 상호접속부들은, 게이트 전극 라인 (74a) 에 평행한 2개의 자기-정렬된 국부적 상호접속부들 또는 2개 이상의 디바이스들을 접속시키기 위해 사용될 수 있다. 또한, 게이트 전극 갭들 (703) 내의 자기-정렬된 국부적 상호접속부들은 금속 트랙 라우팅을 용이하게 하고, 금속-1 트랙들의 몇몇에 대한 필요성을 제거할 수 있다.
Figure 16 illustrates an exemplary inverter logic cell fabricated using the self-aligned local interconnections of the present invention. The circuit is similar to the circuit illustrated in Figure 5A, except that the gate electrode line 74a is divided into two sections to provide a gate electrode gap 703. It may be noted that only one gap is shown for convenience of illustration. In other embodiments, one or more gate electrode lines may have one or more gate electrode gaps. In one embodiment, the gate electrode gap 703 can be used to fabricate self-aligned local interconnects vertically aligned with the gate electrode line 74a. The self-aligned local interconnections in these gate electrode gaps 703 may be used to connect two self-aligned local interconnects or two or more devices parallel to the gate electrode line 74a. In addition, the self-aligned local interconnections in the gate electrode gaps 703 facilitate metal track routing and eliminate the need for some of the metal-1 tracks.

*도 17a 내지 도 17d는, 본 발명의 다른 실시형태에 따른, 게이트 전극 (74) 으로의 접촉을 행하기 위해, 국부적 상호접속부 층 (196) 을 사용하는 접속을 용이하게 하기 위해 사용되는 프로세스 동작들을 예시한다. 이해의 편의를 위해, 도 18에 또한 도시된 단면 (400) 을 참조한다. 도 17a는 도 10b까지 설명된 프로세싱과 유사한 프로세싱에서의 스테이지를 표현한다. 그러나, 게이트 전극 (74) 의 스페이서 (230) 의 측벽 위에 실질적으로 놓인 영역 (402) 위에 마스크 (404) 가 또한 형성된다. 스페이서 (230) 를 따라 놓인 재료 위에 보호가 제공되는 한, 정확한 사이징이 특별히 중요하지 않다. 이는, 나중의 에칭으로부터 이 영역 내의 국부적 상호접속부 재료 (196) 를 보호한다. 마스크 (404) 는, 선택된 제조 프로세스에 따라, 하드 마스크들 또는 포토레지스트 마스크들로부터 정의될 수 있다.17A-17D illustrate the process operations used to facilitate connection using the local interconnect layer 196 to make contact to the gate electrode 74, in accordance with another embodiment of the present invention. . For ease of understanding, reference is made to cross section 400 also shown in Fig. FIG. 17A represents a stage in processing similar to the processing described up to FIG. 10B. However, a mask 404 is also formed over the region 402 that lies substantially on the sidewalls of the spacers 230 of the gate electrode 74. As long as protection is provided over the material placed along the spacer 230, accurate sizing is not particularly important. This protects the local interconnect material 196 in this area from later etching. The mask 404 may be defined from hard masks or photoresist masks, depending on the selected fabrication process.

도 17b는 노출된 하드 마스크 층 (199) 을 제거하기 위해 에칭 동작이 사용된 이후의 프로세싱을 도시한다. 도시된 바와 같이, 도 11a의 프로세스와 유사하게, 노출된 하드 마스크 층 (199) 및 국부적 상호접속부 층 (196) 이 제거된다. 이제, 도 17c에 도시된 바와 같이, 마스크 (404), 폴리머 층 (210), 및 하드 마스크 (199) 가 제거되어, 국부적 상호접속부 층 (196) 을 남긴다. 또한, 도 17c는, 국부적 상호접속부 층 (196) 이 잔류하도록 의도된 위치들에서 국부적 상호접속부 층 (196) 을 보호하기 위해 사용되는 마스크 (300') 를 도시한다. 마스크 (300') 는 영역 (402) 내의 국부적 상호접속부 층 (196) 까지 그리고 그 위를 보호하는 것으로 도시된다. 따라서, 마스크 (404) 가 사용되었기 때문에, 국부적 상호접속부 층 (106) 이 스페이서 (230) 의 측벽 상에 잔류할 것이고, 따라서 게이트 전극 (74) 의 실리사이드 (196') 재료로의 국부적 상호접속부 층 (196) 의 결과의 접속을 허용한다. 결과로서, 게이트 전극 (74) 으로의 접속을 행하기 위해, 상부 금속 레벨들 및 접촉부들에 대한 필요성 없이, 기판의 레벨에서 접속이 행해진다.FIG. 17B shows the processing after the etching operation is used to remove the exposed hard mask layer 199. FIG. As shown, similar to the process of FIG. 11A, the exposed hardmask layer 199 and the local interconnect layer 196 are removed. The mask 404, the polymer layer 210, and the hard mask 199 are now removed, leaving a local interconnect layer 196, as shown in Figure 17C. 17C also illustrates a mask 300 'used to protect the local interconnect layer 196 at locations where the local interconnect layer 196 is intended to remain. The mask 300 'is shown as protecting over and above the local interconnect layer 196 in the region 402. Thus, because the mask 404 has been used, the local interconnect layer 106 will remain on the sidewalls of the spacers 230, and therefore the local interconnect layers < RTI ID = 0.0 > (196). As a result, in order to make a connection to the gate electrode 74, a connection is made at the level of the substrate, without the need for upper metal levels and contacts.

도 18은, 영역 (402) 내의 게이트 전극 (74) 으로의 접속을 행하기 위해 유전체 스페이서 (230) 를 클라이밍하는 국부적 상호접속부 층 (196) 의 예시적인 사용을 도시한다. 이 예에서, (스페이서 (230) 위로 가는) 국부적 상호접속부 층 (196) 은 게이트 전극 (74) 으로의 전기적 접속을 행한다. 그러나, 스페이서들 (230) 위로 클라이밍하는 접속들을 형성하기 위해 사용되는 구조들 및 방법들이 다수의 상이한 설계들, 회로들, 셀들, 및 로직 상호접속부들에서 사용될 수 있다는 것이 이해되어야 한다.18 illustrates an exemplary use of a local interconnect layer 196 that clips the dielectric spacers 230 to make a connection to the gate electrode 74 in the region 402. FIG. In this example, the local interconnect layer 196 (going over the spacer 230) makes an electrical connection to the gate electrode 74. It should be understood, however, that the structures and methods used to form the climbing connections over the spacers 230 can be used in a number of different designs, circuits, cells, and logic interconnections.

자기-정렬된 국부적 상호접속부들을 사용하는 방식들을 정의하는 방법들, 설계들, 레이아웃들, 및 구조들이 개시되었다. 이들 자기-정렬된 국부적 상호접속부들을 사용하는 것의 이익들 및 장점들이 임의의 특정한 회로, 셀, 또는 로직에 구속되지 않는다는 것을 유념해야 한다. 반대로, 이들 자기-정렬된 국부적 상호접속부 방법들 및 구조들의 개시는 임의의 회로 레이아웃, 로직 디바이스, 로직 셀, 로직 프리미티브, 상호접속부 구조, 설계 마스크 등으로 확장될 수 있다. 그리고, (칩, 더 큰 전체 시스템 또는 구현의 임의의 부분 또는 영역에서) 자기-정렬된 국부적 상호접속부들을 정의하기 위해 사용되는 결과의 레이아웃, 설계, 구성, 또는 데이터는 파일 상에 전자적으로 저장될 수 있다. 파일은 컴퓨터 판독가능 매체 상에 저장될 수 있고, 컴퓨터 판독가능 매체는 인터넷과 같은 네트워크를 통해 공유, 전송, 또는 통신될 수 있다.Methods, designs, layouts, and structures have been disclosed that define ways of using self-aligned local interconnects. It should be noted that the benefits and advantages of using these self-aligned local interconnects are not tied to any particular circuit, cell, or logic. Conversely, the disclosure of these self-aligned local interconnect methods and structures may be extended to any circuit layout, logic device, logic cell, logic primitive, interconnect structure, design mask, and the like. And the layout, design, configuration, or data of the results used to define the self-aligned local interconnects (in any part or area of the chip, larger overall system or implementation) may be stored electronically on the file . The file may be stored on a computer readable medium and the computer readable medium may be shared, transmitted, or communicated over a network, such as the Internet.

따라서, 상기 실시형태들을 유념하면서, 본 발명이, 제조 프로세스, 제조 단계들, 제조 단계들의 시퀀스, 제조에서 사용되는 케미컬, 제조에서 사용되는 프로세스들, 구성들, 및 다양한 컴포넌트들의 상대적인 위치들에서 다른 변화들을 채용할 수도 있다는 것이 이해되어야 한다. 본 발명이 여러 바람직한 실시형태들의 견지에서 설명되었지만, 본 명세서를 읽고 도면들을 연구할 시에, 당업자는 이들의 다양한 변형물, 부가물, 치환물, 및 균등물을 실현할 것이 명백할 것이다. 따라서, 본 발명은 본 발명의 진정한 사상 및 범위 내에 속하는 모든 그러한 변형물, 부가물, 치환물, 및 균등물을 포함하도록 의도된다.Thus, while bearing in mind the above embodiments, it is to be understood that the invention is not limited to the particular embodiments set forth herein, but that the invention may be practiced otherwise than as described in the context of a manufacturing process, a sequence of manufacturing steps, a sequence of manufacturing steps, a chemical used in manufacturing, It should be understood that changes may be employed. While the present invention has been described in terms of several preferred embodiments, it will be apparent to those skilled in the art, upon reading the specification and studying the drawings, that various modifications, additions, substitutions, and equivalents will occur to those skilled in the art. Accordingly, the invention is intended to include all such modifications, additions, substitutions, and equivalents as fall within the true spirit and scope of the present invention.

Claims (22)

적어도 4 개의 선형 도전체 구조들로서, 상기 적어도 4 개의 선형 도전체 구조들은 각각 서로 평행한 방식으로 제 1 방향의 길이 방향으로 연장되도록 형성되고, 각각은 게이트 전극 부분과 상기 게이트 전극 부분으로부터 떨어진 연장 부분을 포함하며, 상기 적어도 4 개의 선형 도전체 구조들의 상기 게이트 전극 부분들 각각은 상이한 트랜지스터들의 게이트 전극들을 형성하고, 상기 적어도 4 개의 선형 도전체 구조들의 상기 연장 부분들은 적어도 2 개의 상이한 연장 부분 길이들을 가지고, 상기 적어도 4 개의 선형 도전체 구조들 중 2 개의 선형 도전체 구조들은 각각 제 1 확산 타입의 공유된 확산 영역을 가지는 제 1 트랜지스터 타입의 2 개의 트랜지스터들을 형성하고, 상기 적어도 4 개의 선형 도전체 구조들 중 2 개의 선형 도전체 구조들은 각각 제 2 확산 타입의 공유된 확산 영역을 가지는 제 2 트랜지스터 타입의 2 개의 트랜지스터들을 형성하며, 상기 제 1 확산 타입의 상기 공유된 확산 영역은 상기 제 2 확산 타입의 상기 공유된 확산 영역에 전기적으로 접속되는, 상기 적어도 4 개의 선형 도전체 구조들; 및
상기 적어도 4 개의 선형 도전체 구조들 중 적어도 2 개의 선형 도전체 구조들을 따라 상기 제 1 방향으로 확장될 수 있도록, 상기 적어도 4 개의 선형 도전체 구조들 중 적어도 2 개의 선형 도전체 구조들 사이에 형성된 국부적 상호접속부 도전체 구조를 포함하는, 집적 회로.
At least four linear conductor structures, each of the at least four linear conductor structures being formed to extend in a longitudinal direction in a first direction in a mutually parallel manner, each of the gate electrode portions and the extending portion Wherein each of the gate electrode portions of the at least four linear conductor structures form gate electrodes of different transistors and the extending portions of the at least four linear conductor structures have at least two different extending portion lengths Wherein two of the at least four linear conductor structures form two transistors of a first transistor type each having a shared diffusion region of a first diffusion type and the at least four linear conductors Two of the linear conductor structures in the structures are the second Wherein the shared diffusion region of the first diffusion type is electrically connected to the shared diffusion region of the second diffusion type, The at least four linear conductor structures; And
A plurality of linear conductor structures formed between at least two linear conductor structures of the at least four linear conductor structures so as to be able to extend in the first direction along at least two linear conductor structures of the at least four linear conductor structures. And a local interconnect conductor structure.
제 1 항에 있어서,
상기 제 1 방향에 수직한 제 2 방향으로 측정된, 상기 적어도 4 개의 선형 도전체 구조들 중 어느 선형 도전체 구조의 길이 방향으로 배향된 중심선들 사이의 거리가 동일 피치(pitch)의 정수배인, 집적 회로.
The method according to claim 1,
Wherein a distance between longitudinally oriented center lines of any of the at least four linear conductor structures measured in a second direction perpendicular to the first direction is an integer multiple of the same pitch, integrated circuit.
제 2 항에 있어서,
트랜지스터의 게이트 전극을 형성하지 않고 상기 적어도 4 개의 선형 도전체 구조들과 동일한 레벨 내에 형성되는 적어도 하나의 비-게이트 도전체 구조를 더 포함하는, 집적 회로.
3. The method of claim 2,
And at least one non-gate conductor structure formed within the same level as the at least four linear conductor structures without forming a gate electrode of the transistor.
제 3 항에 있어서,
상기 제 1 방향에 수직한 상기 제 2 방향으로 측정된, 상기 적어도 4 개의 선형 도전체 구조들 중 인접하게 위치한 개별 선형 도전체 구조들의 길이 방향으로 배향된 중심선들 사이의 거리가 상기 동일 피치인, 집적 회로.
The method of claim 3,
Wherein a distance between center lines oriented in the longitudinal direction of adjacently located individual linear conductor structures of the at least four linear conductor structures measured in the second direction perpendicular to the first direction is the same pitch, integrated circuit.
제 3 항에 있어서,
상기 상이한 트랜지스터들은 집합적으로 포지셔닝된 제 1 트랜지스터 타입의 트랜지스터들 및 집합적으로 포지셔닝된 제 2 트랜지스터 타입의 트랜지스터들을 포함하고, 상기 적어도 4 개의 선형 도전체 구조들의 상기 연장 부분들은 상기 제 1 트랜지스터 타입의 트랜지스터들의 집합적인 포지션 및 상기 제 2 트랜지스터 타입의 트랜지스터들의 집합적인 포지션 사이의 영역 내에 형성된, 집적 회로.
The method of claim 3,
Wherein the different transistors comprise collectively positioned first transistor type transistors and collectively positioned second transistor type transistors, wherein the extending portions of the at least four linear conductor structures are connected to the first transistor type < RTI ID = 0.0 > Of the second transistor type and the collective position of the transistors of the second transistor type.
제 1 항에 있어서,
트랜지스터의 게이트 전극을 형성하지 않고 상기 적어도 4 개의 선형 도전체 구조들과 동일한 레벨 내에 형성되며, 상기 제 1 방향에 수직인 제 2 방향으로 측정되었을 때 제일 가까운 선형 도전체 구조에서 적어도 360 나노미터(nm) 내에 있는 비-게이트 선형 도전체 구조; 및
상기 비-게이트 선형 도전체 구조 및 상기 제일 가까운 선형 도전체 구조의 한 레벨 아래에 위치하며 그 사이에서 연장되는 구역의 부분 내에 형성된 확산 영역을 더 포함하는, 집적 회로.
The method according to claim 1,
Wherein the first conductivity type is formed in the same level as the at least four linear conductor structures without forming the gate electrode of the transistor and the second conductivity type is formed in the nearest linear conductor structure when measured in a second direction perpendicular to the first direction, non-gate linear conductor structure within the < RTI ID = 0.0 > And
Further comprising a diffusion region formed in a portion of the non-gate linear conductor structure and a portion of the region located between and lying one level below the nearest linear conductor structure.
제 6 항에 있어서,
상기 비-게이트 선형 도전체 구조 및 상기 제일 가까운 선형 도전체 구조가 상기 제 1 방향에 수직한 상기 제 2 방향으로 측정되었을 때 실질적으로 동일한 사이즈를 갖는, 집적 회로.
The method according to claim 6,
Wherein the non-gate linear conductor structure and the nearest linear conductor structure have substantially the same size when measured in the second direction perpendicular to the first direction.
제 1 항에 있어서,
상기 제 1 확산 타입의 상기 공유된 확산 영역이 상기 국부적 상호접속부 도전체 구조를 통해 상기 제 2 확산 타입의 상기 공유된 확산 영역에 전기적으로 접속되는, 집적 회로.
The method according to claim 1,
Wherein the shared diffusion region of the first diffusion type is electrically connected to the shared diffusion region of the second diffusion type through the local interconnect conductor structure.
제 1 항에 있어서,
상기 제 1 방향에 수직한 제 2 방향의 길이 방향으로 연장되도록 형성된 제 1 선형 도전체 상호접속부 구조를 더 포함하는, 집적 회로.
The method according to claim 1,
Further comprising: a first linear conductor interconnect structure formed to extend in a longitudinal direction in a second direction perpendicular to the first direction.
제 9 항에 있어서,
상기 제 1 방향에 수직한 상기 제 2 방향에 길이 방향으로 연장되도록 형성되고, 상기 제 1 선형 도전체 상호접속부 구조의 옆에 이격되게 형성된 제 2 선형 도전체 상호접속부 구조를 더 포함하는, 집적 회로.
10. The method of claim 9,
Further comprising a second linear conductor interconnect structure formed to extend longitudinally in the second direction perpendicular to the first direction and spaced laterally of the first linear conductor interconnect structure, .
제 1 항에 있어서,
상기 제 1 방향에 길이 방향으로 연장되도록 형성된 제 1 선형 도전체 상호접속부 구조를 더 포함하는, 집적 회로.
The method according to claim 1,
Further comprising a first linear conductor interconnect structure formed to extend longitudinally in the first direction.
제 11 항에 있어서,
상기 제 1 방향에 길이 방향으로 연장되도록 형성되고, 상기 제 1 선형 도전체 상호접속부 구조의 옆에 이격되게 형성된 제 2 선형 도전체 상호접속부 구조를 더 포함하는, 집적 회로.
12. The method of claim 11,
Further comprising a second linear conductor interconnect structure formed to extend longitudinally in the first direction and spaced apart next to the first linear conductor interconnect structure.
제 12 항에 있어서,
상기 제 1 방향에 수직한 제 2 방향으로 측정된, 상기 적어도 4 개의 선형 도전체 구조들 중 어느 선형 도전체 구조의 길이 방향으로 배향된 중심선들 사이의 거리가 동일 피치의 정수배이고,
상기 제 1 방향에 수직한 상기 제 2 방향으로 측정된, 상기 제 1 및 제 2 선형 도전체 상호접속부 구조들의 길이 방향으로 배향된 중심선들 사이의 거리가 상기 동일 피치의 유리수 배(rational multiple)인, 집적 회로.
13. The method of claim 12,
The distance between the longitudinally oriented center lines of any of the at least four linear conductor structures measured in a second direction perpendicular to the first direction is an integer multiple of the same pitch,
Wherein a distance between longitudinally oriented center lines of the first and second linear conductor interconnect structures measured in the second direction perpendicular to the first direction is a rational multiple of the same pitch , An integrated circuit.
제 13 항에 있어서,
상기 유리수 배는 1 배 이하인, 집적 회로.
14. The method of claim 13,
Wherein the free water ratio is not more than 1 time.
제 14 항에 있어서,
상기 유리수 배는 1 배인, 집적 회로.
15. The method of claim 14,
Wherein the free water ratio is one time.
제 1 항에 있어서,
상기 제 1 방향으로 측정된 제 1 중심선-중심선 거리에 의해 분리되는 인접하게 포지셔닝된 도전체 상호접속부 구조들을 포함하는 상호접속부 레벨로서, 상기 적어도 4 개의 선형 도전체 구조들의 상기 연장 부분들 중 적어도 하나는 상기 제 1 방향으로 측정된 연장 부분 길이가 상기 제 1 중심선-중심선 거리보다 큰, 상기 상호접속부 레벨을 더 포함하는, 집적 회로.
The method according to claim 1,
A level of interconnects comprising adjacent positioned conductor interconnect structures separated by a first centerline-to-center line distance measured in the first direction, wherein at least one of the extended portions of the at least four linear conductor structures Wherein the extension portion length measured in the first direction is greater than the first centerline-to-centerline distance.
제 16 항에 있어서,
상기 적어도 4 개의 선형 도전체 구조들의 상기 연장 부분들 중 적어도 하나의 연장 부분은 상기 제 1 중심선-중심선 거리보다 2 배 크고 상기 제 1 방향으로 측정된 연장 부분 길이를 가지는, 집적 회로.
17. The method of claim 16,
Wherein at least one extension of at least one of the extending portions of the at least four linear conductor structures is two times larger than the first centerline-to-center line distance and has an extended portion length measured in the first direction.
제 1 항에 있어서,
상기 적어도 4 개의 선형 도전체 구조들 중 적어도 하나의 선형 도전체 구조는 그 게이트 전극 부분 길이보다 큰 연장 부분 길이를 가지는, 집적 회로.
The method according to claim 1,
Wherein at least one linear conductor structure of the at least four linear conductor structures has an extension length greater than a length of the gate electrode portion.
제 1 항에 있어서,
상기 적어도 4 개의 선형 도전체 구조들의 상기 연장 부분들은 적어도 3 개의 상이한 연장 부분 길이들을 가지는, 집적 회로.
The method according to claim 1,
Wherein the extending portions of the at least four linear conductor structures have at least three different extending portion lengths.
제 1 항에 있어서,
상기 상이한 트랜지스터들은 집합적으로 포지셔닝된 제 1 트랜지스터 타입의 트랜지스터들 및 집합적으로 포지셔닝된 제 2 트랜지스터 타입의 트랜지스터들을 포함하고, 상기 적어도 4 개의 선형 도전체 구조들의 상기 연장 부분들은 상기 제 1 트랜지스터 타입의 트랜지스터들의 집합적인 포지션 및 상기 제 2 트랜지스터 타입의 트랜지스터들의 집합적인 포지션 사이의 영역에 내에 형성된, 집적 회로.
The method according to claim 1,
Wherein the different transistors comprise collectively positioned first transistor type transistors and collectively positioned second transistor type transistors, wherein the extending portions of the at least four linear conductor structures are connected to the first transistor type < RTI ID = 0.0 > Of the transistors of the second transistor type and the collective position of the transistors of the second transistor type.
반도체 디바이스 레이아웃에 대한 명령들이 저장된 프로그램을 포함하는 데이터 저장 디바이스로,
적어도 4 개의 선형 도전체 구조들의 레이아웃으로서, 상기 적어도 4 개의 선형 도전체 구조들은 각각 서로 평행한 방식으로 제 1 방향의 길이 방향으로 연장되고 각각은 게이트 전극 부분과 상기 게이트 전극 부분으로부터 떨어진 연장 부분을 포함하며, 상기 적어도 4 개의 선형 도전체 구조들의 상기 게이트 전극 부분들 각각은 상이한 트랜지스터들의 게이트 전극들을 형성하고, 상기 적어도 4 개의 선형 도전체 구조들의 상기 연장 부분들은 적어도 2 개의 상이한 연장 부분 길이들을 가지고, 상기 적어도 4 개의 선형 도전체 구조들 중 2 개의 선형 도전체 구조들은 각각 제 1 확산 타입의 공유된 확산 영역을 가지는 제 1 트랜지스터 타입의 2 개의 트랜지스터들을 형성하고, 상기 적어도 4 개의 선형 도전체 구조들 중 2 개의 선형 도전체 구조들은 각각 제 2 확산 타입의 공유된 확산 영역을 가지는 제 2 트랜지스터 타입의 2 개의 트랜지스터들을 형성하며, 상기 제 1 확산 타입의 상기 공유된 확산 영역은 상기 제 2 확산 타입의 상기 공유된 확산 영역에 전기적으로 접속되는, 상기 적어도 4 개의 선형 도전체 구조들의 레이아웃; 및
상기 적어도 4 개의 선형 도전체 구조들 중 적어도 2 개의 선형 도전체 구조들을 따라 상기 제 1 방향으로 확장될 수 있도록, 상기 적어도 4 개의 선형 도전체 구조들 중 적어도 2 개의 선형 도전체 구조들 사이에 형성된 국부적 상호접속부 도전체 구조의 레이아웃을 포함하는, 데이터 저장 디바이스.
To a data storage device comprising a stored program of instructions for a semiconductor device layout,
Wherein the at least four linear conductor structures each extend in a longitudinal direction in a first direction in a manner parallel to each other and each has a gate electrode portion and an extension extending away from the gate electrode portion Each of the gate electrode portions of the at least four linear conductor structures forming gate electrodes of different transistors and the extending portions of the at least four linear conductor structures having at least two different extending portion lengths Wherein the two linear conductor structures of the at least four linear conductor structures each form two transistors of a first transistor type having a shared diffusion region of a first diffusion type and the at least four linear conductor structures The two linear conductor structures of Type shared diffusion region, wherein the shared diffusion region of the first diffusion type is electrically connected to the shared diffusion region of the second diffusion type A layout of the at least four linear conductor structures; And
A plurality of linear conductor structures formed between at least two linear conductor structures of the at least four linear conductor structures so as to be able to extend in the first direction along at least two linear conductor structures of the at least four linear conductor structures. And a layout of the local interconnect conductor structure.
집적 회로를 제조하는 방법으로,
상기 집적 회로의 게이트 전극 레벨 내에 적어도 4 개의 선형 도전체 구조들을 형성하는 단계로서, 상기 적어도 4 개의 선형 도전체 구조들은 각각 서로 평행한 방식으로 제 1 방향의 길이 방향으로 연장되고 각각은 게이트 전극 부분과 상기 게이트 전극 부분으로부터 떨어진 연장 부분을 포함하며, 상기 적어도 4 개의 선형 도전체 구조들의 상기 게이트 전극 부분들 각각은 상이한 트랜지스터들의 게이트 전극들을 형성하고, 상기 적어도 4 개의 선형 도전체 구조들의 상기 연장 부분들은 적어도 2 개의 상이한 연장 부분 길이들을 가지고, 상기 적어도 4 개의 선형 도전체 구조들 중 2 개의 선형 도전체 구조들은 각각 제 1 확산 타입의 공유된 확산 영역을 가지는 제 1 트랜지스터 타입의 2 개의 트랜지스터들을 형성하고, 상기 적어도 4 개의 선형 도전체 구조들 중 2 개의 선형 도전체 구조들은 각각 제 2 확산 타입의 공유된 확산 영역을 가지는 제 2 트랜지스터 타입의 2 개의 트랜지스터들을 형성하며, 상기 제 1 확산 타입의 상기 공유된 확산 영역은 상기 제 2 확산 타입의 상기 공유된 확산 영역에 전기적으로 접속되는, 상기 적어도 4 개의 선형 도전체 구조들을 형성하는 단계; 및
상기 적어도 4 개의 선형 도전체 구조들 중 적어도 2 개의 선형 도전체 구조들을 따라 상기 제 1 방향으로 확장될 수 있도록, 상기 적어도 4 개의 선형 도전체 구조들 중 적어도 2 개의 선형 도전체 구조들 사이에 국부적 상호접속부 도전체 구조를 형성하는 단계를 포함하는, 집적 회로를 제조하는 방법.
As a method of manufacturing an integrated circuit,
Forming at least four linear conductor structures in the gate electrode level of the integrated circuit, wherein the at least four linear conductor structures extend in a longitudinal direction of the first direction in a manner parallel to each other, And an extension extending away from the gate electrode portion, wherein each of the gate electrode portions of the at least four linear conductor structures form gate electrodes of different transistors, and wherein the extension portions of the at least four linear conductor structures The two linear conductor structures of the at least four linear conductor structures each comprise two transistors of the first transistor type having a shared diffusion region of a first diffusion type , Said at least four linear conductor spheres Each of the two linear conductor structures forming two transistors of a second transistor type having a shared diffusion region of a second diffusion type, wherein the shared diffusion region of the first diffusion type forms the second diffusion type Said at least four linear conductor structures being electrically connected to said shared diffusion region of said at least four linear conductor structures; And
At least two of the at least four linear conductor structures are arranged to extend in the first direction along at least two of the linear conductor structures, And forming an interconnect conductor structure. ≪ Desc / Clms Page number 21 >
KR1020167001612A 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits KR101669395B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US98309107P 2007-10-26 2007-10-26
US60/983,091 2007-10-26
US11/969,854 US7763534B2 (en) 2007-10-26 2008-01-04 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US11/969,854 2008-01-04
PCT/US2008/011961 WO2009054936A2 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147035229A Division KR101588626B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167017272A Division KR101718676B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits

Publications (2)

Publication Number Publication Date
KR20160014105A true KR20160014105A (en) 2016-02-05
KR101669395B1 KR101669395B1 (en) 2016-11-09

Family

ID=40580283

Family Applications (7)

Application Number Title Priority Date Filing Date
KR1020167001612A KR101669395B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020167017272A KR101718676B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020107011446A KR101530736B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020177007024A KR20170032487A (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020147035229A KR101588626B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020167027349A KR101749881B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020157014833A KR101669383B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits

Family Applications After (6)

Application Number Title Priority Date Filing Date
KR1020167017272A KR101718676B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020107011446A KR101530736B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020177007024A KR20170032487A (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020147035229A KR101588626B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020167027349A KR101749881B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits
KR1020157014833A KR101669383B1 (en) 2007-10-26 2008-10-20 Methods, structures and designs for self-aligning local interconnects used in integrated circuits

Country Status (5)

Country Link
US (8) US7763534B2 (en)
EP (4) EP3188217A3 (en)
KR (7) KR101669395B1 (en)
TW (6) TWI402940B (en)
WO (1) WO2009054936A2 (en)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US9349738B1 (en) * 2008-02-04 2016-05-24 Broadcom Corporation Content addressable memory (CAM) device having substrate array line structure
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US7709301B2 (en) * 2008-04-23 2010-05-04 Texas Instruments Incorporated Integrated circuit having efficiently packed decoupling capacitors
KR101749351B1 (en) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 Methods for cell phasing and placement in dynamic array architecture and implementation of the same
GB2466313A (en) * 2008-12-22 2010-06-23 Cambridge Silicon Radio Ltd Radio Frequency CMOS Transistor
KR101536562B1 (en) * 2009-02-09 2015-07-14 삼성전자 주식회사 Semiconductor integrated circuit device
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US7969199B1 (en) 2010-05-10 2011-06-28 Pdf Solutions, Inc. Pattern controlled IC layout
US9312260B2 (en) * 2010-05-26 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and manufacturing methods thereof
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
DE102011004922B4 (en) * 2011-03-01 2016-12-15 Globalfoundries Dresden Module One Llc & Co. Kg Method of fabricating transistors with metal gate stacks with increased integrity
US8742464B2 (en) 2011-03-03 2014-06-03 Synopsys, Inc. Power routing in standard cells
US8612914B2 (en) 2011-03-23 2013-12-17 Synopsys, Inc. Pin routing in standard cells
US8631374B2 (en) 2011-03-30 2014-01-14 Synopsys, Inc. Cell architecture for increasing transistor size
US8513978B2 (en) * 2011-03-30 2013-08-20 Synopsys, Inc. Power routing in standard cell designs
KR101294449B1 (en) * 2011-04-13 2013-08-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Integrated circuits and manufacturing methods thereof
US8446176B1 (en) * 2011-12-15 2013-05-21 Freescale Semiconductor, Inc. Reconfigurable engineering change order base cell
US8598633B2 (en) * 2012-01-16 2013-12-03 GlobalFoundries, Inc. Semiconductor device having contact layer providing electrical connections
US8921136B2 (en) * 2013-01-17 2014-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned contact formation
US20140241055A1 (en) * 2013-02-25 2014-08-28 Infineon Technologies Ag Method and System for Reducing the Complexity of Electronically Programmable Nonvolatile Memory
US9024418B2 (en) * 2013-03-14 2015-05-05 Qualcomm Incorporated Local interconnect structures for high density
TWI588596B (en) * 2013-08-15 2017-06-21 聯華電子股份有限公司 Method for generating layout pattern
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
KR102233211B1 (en) * 2013-10-11 2021-03-29 삼성전자주식회사 Semiconductor device
KR102224525B1 (en) * 2014-02-03 2021-03-08 삼성전자주식회사 Layout design system, semiconductor device fabricated by using the system and method for fabricating the semiconductor device
US9515181B2 (en) 2014-08-06 2016-12-06 Qualcomm Incorporated Semiconductor device with self-aligned back side features
US9466604B2 (en) * 2014-11-13 2016-10-11 Globalfoundries Inc. Metal segments as landing pads and local interconnects in an IC device
KR102318410B1 (en) * 2015-04-01 2021-10-28 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9887210B2 (en) 2015-08-28 2018-02-06 Samsung Electronics Co., Ltd. Semiconductor device
US10146900B2 (en) * 2015-09-17 2018-12-04 Qualcomm Incorporated Hybrid diffusion standard library cells, and related systems and methods
US9553088B1 (en) * 2015-09-24 2017-01-24 International Business Machines Corporation Forming semiconductor device with close ground rules
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US10541243B2 (en) 2015-11-19 2020-01-21 Samsung Electronics Co., Ltd. Semiconductor device including a gate electrode and a conductive structure
US9589847B1 (en) * 2016-02-18 2017-03-07 International Business Machines Corporation Metal layer tip to tip short
US10056265B2 (en) * 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
KR20170109874A (en) * 2016-03-22 2017-10-10 삼성전자주식회사 Semiconductor dveice and method of manufacturing the same
US10236302B2 (en) 2016-06-22 2019-03-19 Qualcomm Incorporated Standard cell architecture for diffusion based on fin count
CN109462989A (en) 2016-07-01 2019-03-12 第三共株式会社 HANP- molecular conjugate containing FC
KR20180069465A (en) 2016-12-15 2018-06-25 삼성전자주식회사 Integrated circuit having vertical transistor and semiconductor device including the same
US10347546B2 (en) * 2016-12-23 2019-07-09 Globalfoundries Inc. Integrated circuit structure including power rail and tapping wire with method of forming same
US11211330B2 (en) * 2017-05-01 2021-12-28 Advanced Micro Devices, Inc. Standard cell layout architectures and drawing styles for 5nm and beyond
US11347925B2 (en) 2017-05-01 2022-05-31 Advanced Micro Devices, Inc. Power grid architecture and optimization with EUV lithography
US10747931B2 (en) * 2017-07-28 2020-08-18 Advanced Micro Devices, Inc. Shift of circuit periphery layout to leverage optimal use of available metal tracks in periphery logic
US10692808B2 (en) 2017-09-18 2020-06-23 Qualcomm Incorporated High performance cell design in a technology with high density metal routing
US11018157B2 (en) 2017-09-28 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Local interconnect structure
DE102018102685A1 (en) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation process and associated structure
KR102446164B1 (en) 2017-12-26 2022-09-22 삼성전자주식회사 Integrated circuit including signal-load cell and method of designing the same
US10534888B2 (en) * 2018-01-03 2020-01-14 International Business Machines Corporation Hybrid back end of line metallization to balance performance and reliability
US10748889B2 (en) 2018-06-15 2020-08-18 Samsung Electronics Co., Ltd. Power grid and standard cell co-design structure and methods thereof
US10957601B2 (en) * 2018-10-11 2021-03-23 International Business Machines Corporation Self-aligned fin recesses in nanosheet field effect transistors
JP7401456B2 (en) 2018-11-14 2023-12-19 第一三共株式会社 Anti-CDH6 antibody-pyrrolobenzodiazepine derivative conjugate
EP3950061A4 (en) 2019-03-25 2022-11-16 Daiichi Sankyo Company, Limited Antibody-pyrrolobenzodiazepine derivative conjugate
KR20210143839A (en) 2019-03-27 2021-11-29 다이이찌 산쿄 가부시키가이샤 Combination of antibody-pyrrolobenzodiazepine derivative conjugate and PARP inhibitor
US11126775B2 (en) * 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. IC layout, method, device, and system
US11488947B2 (en) * 2019-07-29 2022-11-01 Tokyo Electron Limited Highly regular logic design for efficient 3D integration
US10796061B1 (en) 2019-08-29 2020-10-06 Advanced Micro Devices, Inc. Standard cell and power grid architectures with EUV lithography
US11257827B2 (en) * 2019-12-30 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Layout structure including anti-fuse cell

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040005609A (en) * 2002-07-08 2004-01-16 후지쯔 가부시끼가이샤 Semiconductor storage device with signal wiring lines formed above memory cells
JP2006073696A (en) * 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit using standard cell and design method thereof
JP2007141971A (en) * 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd Designing method of semiconductor integrated circuit

Family Cites Families (730)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3521242A (en) 1967-05-02 1970-07-21 Rca Corp Complementary transistor write and ndro for memory cell
US4069493A (en) 1970-10-02 1978-01-17 Thomson-Csf Novel integrated circuit and method of manufacturing same
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
JPS5746536A (en) 1980-09-04 1982-03-17 Matsushita Electric Ind Co Ltd Gate circuit
US4424460A (en) 1981-07-14 1984-01-03 Rockwell International Corporation Apparatus and method for providing a logical exclusive OR/exclusive NOR function
JPS5943824B2 (en) 1982-03-03 1984-10-24 三菱電機株式会社 Semiconductor integrated circuit device
JPS58182242A (en) 1982-04-19 1983-10-25 Nec Corp Semiconductor integrated circuit device
JPS58182242U (en) 1982-05-28 1983-12-05 パイオニア株式会社 Push button with slide lock
JPS58215827A (en) 1982-06-09 1983-12-15 Toshiba Corp Logical circuit
JPS5943548A (en) 1982-09-06 1984-03-10 Hitachi Ltd Semiconductor integrated circuit device
US4613940A (en) 1982-11-09 1986-09-23 International Microelectronic Products Method and structure for use in designing and building electronic systems in integrated circuits
JPS6035532A (en) 1983-07-29 1985-02-23 Fujitsu Ltd Master slice integrated circuit device
US4575648A (en) 1983-12-23 1986-03-11 At&T Bell Laboratories Complementary field effect transistor EXCLUSIVE OR logic gates
US5121186A (en) * 1984-06-15 1992-06-09 Hewlett-Packard Company Integrated circuit device having improved junction connections
KR940002772B1 (en) 1984-08-31 1994-04-02 가부시기가이샤 히다찌세이사꾸쇼 Semiconductor integrated circuit and its manufacturing method
US5545904A (en) 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
JPH0695570B2 (en) 1985-02-07 1994-11-24 三菱電機株式会社 Semiconductor integrated circuit device
JPS61202451A (en) 1985-03-05 1986-09-08 Nec Corp Wiring structure of semiconductor integrated circuit
US4804636A (en) * 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4975756A (en) 1985-05-01 1990-12-04 Texas Instruments Incorporated SRAM with local interconnect
US4657628A (en) 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
JPH0216605Y2 (en) 1985-05-02 1990-05-08
US4602270A (en) 1985-05-17 1986-07-22 United Technologies Corporation Gate array with reduced isolation
JPS6247148A (en) 1985-08-27 1987-02-28 Toshiba Corp Semiconductor integrated circuit device
JPS62169472A (en) 1986-01-22 1987-07-25 Hitachi Ltd Semiconductor integrated circuit device
US5097422A (en) 1986-10-10 1992-03-17 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
US4745084A (en) 1986-11-12 1988-05-17 Vlsi Technology, Inc. Method of making a customized semiconductor integrated device
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4801986A (en) 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
JP2742052B2 (en) 1987-06-12 1998-04-22 日本電信電話株式会社 Complementary MIS master slice logic integrated circuit
JPH067345B2 (en) 1987-06-24 1994-01-26 株式会社 エイ・ティ・ア−ル自動翻訳電話研究所 Speech recognition method using vector quantization
US5119313A (en) 1987-08-04 1992-06-02 Texas Instruments Incorporated Comprehensive logic circuit layout system
KR100212098B1 (en) 1987-09-19 1999-08-02 가나이 쓰도무 Semiconductor integrated circuit device and manufacturing method thereof, wiring board of semiconductor integrated circuit device and manufacturing method thereof
US5068603A (en) 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
US4812688A (en) 1987-12-30 1989-03-14 International Business Machines Corporation Transistor delay circuits
JPH01284115A (en) 1988-05-11 1989-11-15 Sharp Corp Logical circuit
US5268319A (en) 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
US4928160A (en) 1989-01-17 1990-05-22 Ncr Corporation Gate isolated base cell structure with off-grid gate polysilicon pattern
JPH02198154A (en) 1989-01-27 1990-08-06 Hitachi Ltd Method of forming wiring and semiconductor device utilizing same
US5224057A (en) 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5351197A (en) 1989-04-13 1994-09-27 Cascade Design Automation Corporation Method and apparatus for designing the layout of a subcircuit in an integrated circuit
JPH03165061A (en) 1989-11-22 1991-07-17 Hitachi Ltd Semiconductor integrated circuit device
US5298774A (en) 1990-01-11 1994-03-29 Mitsubishi Denki Kabushiki Kaisha Gate array system semiconductor integrated circuit device
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
KR100199258B1 (en) 1990-02-09 1999-06-15 가나이 쓰도무 Semiconductor integrated circuit device
US5977305A (en) 1990-04-20 1999-11-02 Cold Spring Harbor Laboratories Cloning by complementation and related processes
US6100025A (en) 1990-04-20 2000-08-08 Cold Spring Harbor Laboratory Cloning by complementation and related processes
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5057895A (en) 1990-08-06 1991-10-15 Harris Corporation Trench conductor and crossunder architecture
US5079614A (en) 1990-09-26 1992-01-07 S-Mos Systems, Inc. Gate array architecture with basic cell interleaved gate electrodes
JP3017789B2 (en) 1990-10-18 2000-03-13 三菱電機株式会社 Layout design method for semiconductor integrated circuit device
JP2851447B2 (en) 1991-03-08 1999-01-27 三菱電機株式会社 Shape simulation method
US5183769A (en) * 1991-05-06 1993-02-02 Motorola, Inc. Vertical current flow semiconductor device utilizing wafer bonding
JPH05152937A (en) 1991-11-26 1993-06-18 Hitachi Ltd Logic gate circuit
JP3129336B2 (en) 1991-12-09 2001-01-29 沖電気工業株式会社 Semiconductor storage device
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP2760195B2 (en) 1992-01-20 1998-05-28 日本電気株式会社 Logic circuit
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
JPH05218362A (en) 1992-02-04 1993-08-27 Sharp Corp Basic cells of gate array
JP3248749B2 (en) * 1992-03-19 2002-01-21 ジヤトコ・トランステクノロジー株式会社 Spring retainer for clutch piston
US5367187A (en) 1992-12-22 1994-11-22 Quality Semiconductor, Inc. Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions
IT1257184B (en) 1992-12-22 1996-01-10 Applied Research Systems PREPARED FOR ANTI-INFLAMMATORY, ANTI-AGULANT AND ANTI-TUMORAL ACTIVITY
US5420447A (en) 1993-01-29 1995-05-30 Sgs-Thomson Microelectronics, Inc. Double buffer base gate array cell
US5359226A (en) 1993-02-02 1994-10-25 Paradigm Technology, Inc. Static memory with self aligned contacts and split word lines
US5497334A (en) 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
FR2702595B1 (en) 1993-03-11 1996-05-24 Toshiba Kk Multilayer wiring structure.
US5536955A (en) 1993-03-29 1996-07-16 Toppan Electronics (Usa) Inc. Electronic devices for use in generating integrated circuit structures and method therefor
US5338963A (en) 1993-04-05 1994-08-16 International Business Machines Corporation Soft error immune CMOS static RAM cell
US5691218A (en) 1993-07-01 1997-11-25 Lsi Logic Corporation Method of fabricating a programmable polysilicon gate array base cell structure
US5396128A (en) 1993-09-13 1995-03-07 Motorola, Inc. Output circuit for interfacing integrated circuits having different power supply potentials
JP3285438B2 (en) 1993-10-29 2002-05-27 三菱電機株式会社 Semiconductor storage device
JP3144967B2 (en) 1993-11-08 2001-03-12 株式会社日立製作所 Semiconductor integrated circuit and method of manufacturing the same
JP2746087B2 (en) 1993-12-01 1998-04-28 日本電気株式会社 Semiconductor integrated circuit
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
JP2684980B2 (en) 1993-12-24 1997-12-03 日本電気株式会社 Semiconductor memory device and manufacturing method thereof
US6675361B1 (en) 1993-12-27 2004-01-06 Hyundai Electronics America Method of constructing an integrated circuit comprising an embedded macro
US5756385A (en) 1994-03-30 1998-05-26 Sandisk Corporation Dense flash EEPROM cell array and peripheral supporting circuits formed in deposited field oxide with the use of spacers
US5378649A (en) 1994-04-08 1995-01-03 United Microelectronics Corporation Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
JP3463180B2 (en) 1994-05-02 2003-11-05 Necトーキン株式会社 Method for producing magnetic garnet oxide powder and method for producing magnetic garnet oxide film
US5591995A (en) 1994-05-10 1997-01-07 Texas Instruments, Incorporated Base cell for BiCMOS and CMOS gate arrays
TW297158B (en) 1994-05-27 1997-02-01 Hitachi Ltd
JP3202490B2 (en) 1994-07-22 2001-08-27 株式会社東芝 Integrated circuit layout method and integrated circuit layout device
JP3469362B2 (en) 1994-08-31 2003-11-25 株式会社東芝 Semiconductor storage device
US5528177A (en) 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5497337A (en) 1994-10-21 1996-03-05 International Business Machines Corporation Method for designing high-Q inductors in silicon technology without expensive metalization
US5852562A (en) 1994-12-13 1998-12-22 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones
JPH08292938A (en) 1995-02-24 1996-11-05 Fujitsu Ltd Method and device for finite element mesh generation and method and device for analysis
JP2647045B2 (en) 1995-02-28 1997-08-27 日本電気株式会社 Semiconductor memory device and method of manufacturing the same
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
JP3708168B2 (en) 1995-06-13 2005-10-19 富士通株式会社 Delay device
JP3535615B2 (en) 1995-07-18 2004-06-07 株式会社ルネサステクノロジ Semiconductor integrated circuit device
US5774367A (en) 1995-07-24 1998-06-30 Motorola, Inc. Method of selecting device threshold voltages for high speed and low power
US5764533A (en) 1995-08-01 1998-06-09 Sun Microsystems, Inc. Apparatus and methods for generating cell layouts
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
JPH0993118A (en) 1995-09-22 1997-04-04 Kawasaki Steel Corp Path transistor logic circuit
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JPH0997885A (en) 1995-09-28 1997-04-08 Denso Corp Gate array
US5973369A (en) * 1997-03-11 1999-10-26 Nec Corporation SRAM having P-channel TFT as load element with less series-connected high resistance
US5723883A (en) 1995-11-14 1998-03-03 In-Chip Gate array cell architecture and routing scheme
US5640342A (en) 1995-11-20 1997-06-17 Micron Technology, Inc. Structure for cross coupled thin film transistors and static random access memory cell
JP3400215B2 (en) 1995-11-21 2003-04-28 沖電気工業株式会社 Semiconductor device
JP3486725B2 (en) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ Variable logic integrated circuit
JP3934719B2 (en) 1995-12-22 2007-06-20 株式会社東芝 Optical proximity correction method
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100229577B1 (en) 1996-01-31 1999-11-15 포만 제프리 엘 Integrated circuit chip having gate array book personalization using local interconnect
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5705301A (en) 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5698873A (en) 1996-03-08 1997-12-16 Lsi Logic Corporation High density gate array base cell architecture
JPH09282349A (en) 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd Data convesion processor
JPH09289251A (en) 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd Layout structure of semiconductor integrated circuit and its verification method
JP2914292B2 (en) 1996-04-25 1999-06-28 日本電気株式会社 Semiconductor device
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
JP2809200B2 (en) 1996-06-03 1998-10-08 日本電気株式会社 Method for manufacturing semiconductor device
US5935763A (en) 1996-06-11 1999-08-10 International Business Machines Corporation Self-aligned pattern over a reflective layer
US6026223A (en) 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
JP3311244B2 (en) 1996-07-15 2002-08-05 株式会社東芝 Basic cell library and method of forming the same
US5796128A (en) 1996-07-25 1998-08-18 Translogic Technology, Inc. Gate array with fully wired multiplexer circuits
JP2918101B2 (en) 1996-07-25 1999-07-12 日本電気株式会社 Layout method of semiconductor integrated circuit
US5920486A (en) 1996-08-16 1999-07-06 International Business Machines Corporation Parameterized cells for generating dense layouts of VLSI circuits
US5717635A (en) 1996-08-27 1998-02-10 International Business Machines Corporation High density EEPROM for solid state file
JP3152635B2 (en) 1996-09-09 2001-04-03 三洋電機株式会社 Master slice type basic cell, semiconductor integrated circuit device, flip-flop circuit, exclusive OR circuit, multiplexer and adder
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5790417A (en) 1996-09-25 1998-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of automatic dummy layout generation
US5923060A (en) 1996-09-27 1999-07-13 In-Chip Systems, Inc. Reduced area gate array cell design based on shifted placement of alternate rows of cells
US5684733A (en) 1996-09-30 1997-11-04 Holtek Microelectronics, Inc. Fixed resistance high density parallel ROM device
JP3529563B2 (en) 1996-10-09 2004-05-24 株式会社東芝 Semiconductor integrated circuit re-layout method and medium recording semiconductor integrated circuit re-layout program
US5984510A (en) 1996-11-01 1999-11-16 Motorola Inc. Automatic synthesis of standard cell layouts
US6209123B1 (en) 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US6099584A (en) 1996-12-06 2000-08-08 Vsli Technology, Inc. System to fix post-layout timing and design rules violations
JP3523762B2 (en) 1996-12-19 2004-04-26 株式会社東芝 Semiconductor storage device
JP3352895B2 (en) 1996-12-25 2002-12-03 株式会社東芝 Semiconductor integrated circuit, method of designing and manufacturing semiconductor integrated circuit
JP3420694B2 (en) 1996-12-27 2003-06-30 株式会社東芝 Standard cell integrated circuit
JPH10189746A (en) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Wiring layout method for lsi logic circuit
JP3180700B2 (en) 1997-02-03 2001-06-25 日本電気株式会社 Semiconductor integrated circuit device
JP3036588B2 (en) 1997-02-03 2000-04-24 日本電気株式会社 Semiconductor storage device
JP3352349B2 (en) 1997-02-24 2002-12-03 シャープ株式会社 Bidirectional thyristor element
US5900340A (en) 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5977574A (en) 1997-03-28 1999-11-02 Lsi Logic Corporation High density gate array cell architecture with sharing of well taps between cells
US5880991A (en) 1997-04-14 1999-03-09 International Business Machines Corporation Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRAM structure
US6393601B1 (en) 1997-04-14 2002-05-21 Matsushita Electric Industrial Co., Ltd. Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method
JP3178799B2 (en) 1997-04-18 2001-06-25 シャープ株式会社 MOS logic circuit and semiconductor device provided with the MOS logic circuit
KR100227621B1 (en) 1997-05-22 1999-11-01 김영환 Method for manufacturing transistor of semiconductor device
US6005296A (en) 1997-05-30 1999-12-21 Stmicroelectronics, Inc. Layout for SRAM structure
US6445049B1 (en) 1997-06-30 2002-09-03 Artisan Components, Inc. Cell based array comprising logic, transfer and drive cells
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6009251A (en) 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
EP0920025B1 (en) 1997-11-28 2004-02-11 STMicroelectronics S.r.l. A low power RAM memory cell
JP3701781B2 (en) 1997-11-28 2005-10-05 株式会社ルネサステクノロジ Logic circuit and its creation method
US6034433A (en) 1997-12-23 2000-03-07 Intel Corporation Interconnect structure for protecting a transistor gate from charge damage
JP3926011B2 (en) 1997-12-24 2007-06-06 株式会社ルネサステクノロジ Semiconductor device design method
JP3777768B2 (en) 1997-12-26 2006-05-24 株式会社日立製作所 Semiconductor integrated circuit device, storage medium storing cell library, and method of designing semiconductor integrated circuit
KR100278273B1 (en) 1997-12-30 2001-02-01 김영환 A method for forming contact holes in semiconductor device
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6571140B1 (en) 1998-01-15 2003-05-27 Eutech Cybernetics Pte Ltd. Service-oriented community agent
JPH11214662A (en) 1998-01-29 1999-08-06 Mitsubishi Electric Corp Semiconductor device
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6230299B1 (en) 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
US6378110B1 (en) 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
JPH11297856A (en) 1998-04-16 1999-10-29 Mitsubishi Electric Corp Static semiconductor memory
TWI240372B (en) * 1998-04-17 2005-09-21 Taiwan Semiconductor Mfg Fabrication method for self-aligned passivation of damascene interconnect structure
US5915199A (en) * 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
US6063132A (en) 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
US6714903B1 (en) 1998-07-10 2004-03-30 Lsi Logic Corporation Placement and routing of circuits using a combined processing/buffer cell
US6240542B1 (en) 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6182272B1 (en) 1998-07-16 2001-01-30 Lsi Logic Corporation Metal layer assignment
JP3562975B2 (en) 1998-09-29 2004-09-08 株式会社東芝 Integrated circuit design method and integrated circuit design device
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000114262A (en) 1998-10-05 2000-04-21 Toshiba Corp Semiconductor device and its manufacture
JP3852729B2 (en) 1998-10-27 2006-12-06 富士通株式会社 Semiconductor memory device
US6174742B1 (en) 1998-10-30 2001-01-16 Lsi Logic Corporation Off-grid metal layer utilization
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6166415A (en) 1998-11-02 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with improved noise resistivity
US6430805B1 (en) * 1998-11-06 2002-08-13 Raytheon Company Method of fabricating a true-time-delay continuous transverse stub array antenna
JP3680594B2 (en) 1998-11-10 2005-08-10 株式会社日立製作所 Semiconductor integrated circuit
TW476069B (en) 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
AU1913500A (en) 1998-11-25 2000-06-13 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
JP4437565B2 (en) 1998-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 Semiconductor integrated circuit device, semiconductor integrated circuit device design method, and recording medium
US6477695B1 (en) 1998-12-09 2002-11-05 Artisan Components, Inc. Methods for designing standard cell transistor structures
US6588005B1 (en) 1998-12-11 2003-07-01 Hitachi, Ltd. Method of manufacturing semiconductor integrated circuit device
KR100291384B1 (en) 1998-12-31 2001-07-12 윤종용 Layout method of semiconductor device
TW444335B (en) * 1999-02-05 2001-07-01 Vanguard Int Semiconduct Corp Process for forming self-aligned multi-level interconnect structure
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6974978B1 (en) 1999-03-04 2005-12-13 Intel Corporation Gate array architecture
US6691297B1 (en) 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6480032B1 (en) 1999-03-04 2002-11-12 Intel Corporation Gate array architecture
US6194912B1 (en) 1999-03-11 2001-02-27 Easic Corporation Integrated circuit device
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
US6044007A (en) 1999-03-24 2000-03-28 Advanced Micro Devices, Inc. Modification of mask layout data to improve writeability of OPC
JP3986036B2 (en) 1999-04-16 2007-10-03 株式会社日立製作所 Semiconductor integrated circuit device
US6505328B1 (en) 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6507941B1 (en) 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP4565700B2 (en) 1999-05-12 2010-10-20 ルネサスエレクトロニクス株式会社 Semiconductor device
US6492066B1 (en) 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6207479B1 (en) 1999-06-14 2001-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Place and route method for integrated circuit design
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6381730B1 (en) 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6525350B1 (en) 1999-07-16 2003-02-25 Kawasaki Steel Corporation Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
JP2001056463A (en) 1999-08-20 2001-02-27 Casio Comput Co Ltd Liquid crystal display device
JP2001068558A (en) 1999-08-30 2001-03-16 Hitachi Ltd Semiconductor integrated circuit device
US6436805B1 (en) * 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
US6496965B1 (en) 1999-09-20 2002-12-17 Magma Design Automation, Inc. Automated design of parallel drive standard cells
TW423218B (en) 1999-10-06 2001-02-21 Ind Tech Res Inst Charge-redistribution low-swing differential logic circuit
US6194104B1 (en) 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6737347B1 (en) 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US6426269B1 (en) 1999-10-21 2002-07-30 International Business Machines Corporation Dummy feature reduction using optical proximity effect correction
US6255845B1 (en) 1999-11-16 2001-07-03 Advanced Micro Devices, Inc. Efficient use of spare gates for post-silicon debug and enhancements
US6570234B1 (en) 1999-11-17 2003-05-27 Aeroflex Utmc Microelectronic Systems, Inc. Radiation resistant integrated circuit design
AU1770301A (en) 1999-11-18 2001-05-30 Pdf Solutions, Inc. System and method for product yield prediction using device and process neighborhood characterization vehicle
JP2001144603A (en) 1999-11-18 2001-05-25 Oki Micro Design Co Ltd Level shifter circuit and data output circuit including it
JP2001168707A (en) 1999-12-03 2001-06-22 Sony Corp Logic circuit and full adder using the same
US6421820B1 (en) 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6303252B1 (en) 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
US6295224B1 (en) 1999-12-30 2001-09-25 Stmicroelectronics, Inc. Circuit and method of fabricating a memory cell for a static random access memory
TW436992B (en) * 1999-12-30 2001-05-28 Taiwan Semiconductor Mfg Manufacturing method of self-aligned source connection lines used in memory array
KR100346832B1 (en) 2000-01-12 2002-08-03 삼성전자 주식회사 Static random access memory device and manufacturing method thereof
US6737199B1 (en) 2000-01-31 2004-05-18 Taiwan Semiconductor Manufacturing Company Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6399972B1 (en) 2000-03-13 2002-06-04 Oki Electric Industry Co., Ltd. Cell based integrated circuit and unit cell architecture therefor
US6536028B1 (en) 2000-03-14 2003-03-18 Ammocore Technologies, Inc. Standard block architecture for integrated circuit design
JP2001272228A (en) 2000-03-24 2001-10-05 Railway Technical Res Inst System and method for measuring amount of relative displacement
US6356112B1 (en) 2000-03-28 2002-03-12 Translogic Technology, Inc. Exclusive or/nor circuit
US6553544B2 (en) 2000-04-04 2003-04-22 Matsushita Electric Industrial Co., Ltd. Method for design of partial circuit
JP2001306641A (en) 2000-04-27 2001-11-02 Victor Co Of Japan Ltd Automatic arranging and wiring method for semiconductor integrated circuit
US6416907B1 (en) 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
JP4885365B2 (en) 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 Semiconductor device
US6509952B1 (en) 2000-05-23 2003-01-21 Silicon Valley Group, Inc. Method and system for selective linewidth optimization during a lithographic process
US6610607B1 (en) 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6445065B1 (en) 2000-06-06 2002-09-03 In-Chip Systems, Inc. Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6889370B1 (en) 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
JP2002026296A (en) 2000-06-22 2002-01-25 Internatl Business Mach Corp <Ibm> Semiconductor integrated circuit device
JP2002009160A (en) 2000-06-26 2002-01-11 Nec Microsystems Ltd Automatic layout method of semiconductor integrated circuit, semiconductor integrated circuit manufactured by the method and recording medium recording the method
US7225423B2 (en) 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US7028285B2 (en) 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6516459B1 (en) 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
JP4794030B2 (en) 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 Semiconductor device
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6574786B1 (en) 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
DE10040458B4 (en) * 2000-08-18 2015-08-27 Infineon Technologies Ag Vertical field effect transistor and method for its production
JP4357101B2 (en) 2000-08-23 2009-11-04 株式会社ルネサステクノロジ Semiconductor memory device
JP4764987B2 (en) 2000-09-05 2011-09-07 富士電機株式会社 Super junction semiconductor device
AU2001290937A1 (en) 2000-09-13 2002-04-02 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6800883B2 (en) 2000-09-21 2004-10-05 Matsushita Electric Industrial Co., Ltd. CMOS basic cell and method for fabricating semiconductor integrated circuit using the same
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6794677B2 (en) 2000-10-02 2004-09-21 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for fabricating the same
US6555450B2 (en) 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6566720B2 (en) 2000-10-05 2003-05-20 United Memories, Inc. Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
KR20020034313A (en) 2000-10-31 2002-05-09 박종섭 Method of manufacturing sram cell
US6498088B1 (en) * 2000-11-09 2002-12-24 Micron Technology, Inc. Stacked local interconnect structure and method of fabricating same
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
JP2002184870A (en) 2000-12-18 2002-06-28 Mitsubishi Electric Corp Static semiconductor storage device
KR100355036B1 (en) 2000-12-22 2002-10-05 삼성전자 주식회사 Layout method of cross-coupled transistor pair
US6992394B2 (en) 2000-12-28 2006-01-31 Infineon Technologies Ag Multi-level conductive lines with reduced pitch
US6553559B2 (en) 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2002289703A (en) 2001-01-22 2002-10-04 Nec Corp Semiconductor memory and its manufacturing method
JP2002252161A (en) 2001-02-23 2002-09-06 Hitachi Ltd Semiconductor manufacturing system
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4928675B2 (en) 2001-03-01 2012-05-09 エルピーダメモリ株式会社 Semiconductor device
JP4736206B2 (en) 2001-03-05 2011-07-27 大日本印刷株式会社 Photomask pattern defect inspection method and fine figure pattern detection method
EP1241525B1 (en) 2001-03-14 2004-12-15 ASML MaskTools B.V. An optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US6732334B2 (en) 2001-04-02 2004-05-04 Matsushita Electric Industrial Co., Ltd. Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor
US6514849B1 (en) 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US6534805B1 (en) 2001-04-09 2003-03-18 Cypress Semiconductor Corp. SRAM cell design
US6574779B2 (en) 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
US6505327B2 (en) 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6524870B2 (en) 2001-04-24 2003-02-25 Pell, Iii Edwin A. Method and apparatus for improving resolution of objects in a semiconductor wafer
JP4187947B2 (en) 2001-04-26 2008-11-26 株式会社東芝 PATTERN CORRECTION METHOD, PATTERN CORRECTION DEVICE, AND RECORDING MEDIUM CONTAINING PATTERN CORRECTION PROGRAM
US6936908B2 (en) 2001-05-03 2005-08-30 Ixys Corporation Forward and reverse blocking devices
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6523156B2 (en) 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
JP2002368135A (en) 2001-06-12 2002-12-20 Hitachi Ltd Semiconductor memory device
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
JP4746770B2 (en) 2001-06-19 2011-08-10 ルネサスエレクトロニクス株式会社 Semiconductor device
US6609235B2 (en) 2001-06-22 2003-08-19 Bae Systems Information And Electronic Systems Integration, Inc. Method for providing a fill pattern for an integrated circuit design
US7079989B2 (en) 2001-06-29 2006-07-18 Shmuel Wimer Arrangements for automatic re-legging of transistors
US6835591B2 (en) 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
DE10137830A1 (en) 2001-08-02 2003-02-27 Infineon Technologies Ag Method for producing a self-aligned structure on a semiconductor wafer
DE10143723B4 (en) 2001-08-31 2006-09-28 Infineon Technologies Ag A method for optimizing a layout for a mask for use in semiconductor fabrication
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6633182B2 (en) 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
JP4786836B2 (en) 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 Wiring connection design method and semiconductor device
JP2003092250A (en) 2001-09-18 2003-03-28 Hitachi Ltd Semiconductor device and manufacturing method therefor
JP3989213B2 (en) 2001-09-25 2007-10-10 シャープ株式会社 Pass transistor logic circuit
JP3637299B2 (en) 2001-10-05 2005-04-13 松下電器産業株式会社 Semiconductor memory device
EP1329771B1 (en) 2001-10-09 2006-09-06 ASML MaskTools B.V. Method of two dimensional feature model calibration and optimization
JP2003124339A (en) 2001-10-11 2003-04-25 Toshiba Corp Semiconductor device and its manufacturing method
JP3526450B2 (en) 2001-10-29 2004-05-17 株式会社東芝 Semiconductor integrated circuit and standard cell layout design method
JP2003142584A (en) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd Method for designing semiconductor integrated circuit
US6833593B2 (en) 2001-11-09 2004-12-21 Thin Film Electronics Asa Electrode means, a method for its manufacture, an apparatus comprising the electrode means as well as use of the latter
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
JP2003218238A (en) 2001-11-14 2003-07-31 Mitsubishi Electric Corp Semiconductor memory device
JP3789351B2 (en) 2001-11-30 2006-06-21 株式会社日立製作所 Reflective liquid crystal display device and manufacturing method thereof
JP2003168640A (en) 2001-12-03 2003-06-13 Hitachi Ltd Method of manufacturing semiconductor device
JP2003188361A (en) 2001-12-20 2003-07-04 Mitsubishi Electric Corp Semiconductor integrated circuit with gate array structure
JP3828419B2 (en) * 2001-12-25 2006-10-04 株式会社東芝 Semiconductor device and manufacturing method thereof
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7159197B2 (en) 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US7085701B2 (en) 2002-01-02 2006-08-01 International Business Machines Corporation Size reduction techniques for vital compliant VHDL simulation models
US6817000B2 (en) 2002-01-02 2004-11-09 International Business Machines Corporation Delay correlation analysis and representation for vital complaint VHDL models
JP2003203993A (en) 2002-01-10 2003-07-18 Mitsubishi Electric Corp Semiconductor storage device and its manufacturing method
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6721926B2 (en) 2002-01-25 2004-04-13 Intel Corporation Method and apparatus for improving digital circuit design
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6877144B1 (en) 2002-02-28 2005-04-05 Dupont Photomasks, Inc. System and method for generating a mask layout file to reduce power supply voltage fluctuations in an integrated circuit
JP2003264231A (en) 2002-03-11 2003-09-19 Mitsubishi Electric Corp Method for designing layout and semiconductor device
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7386433B2 (en) 2002-03-15 2008-06-10 Synopsys, Inc. Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout
US6732338B2 (en) 2002-03-20 2004-05-04 International Business Machines Corporation Method for comprehensively verifying design rule checking runsets
US6765245B2 (en) 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6754121B2 (en) 2002-03-29 2004-06-22 Stmicroelectronics, Inc. Sense amplifying circuit and method
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6789246B1 (en) 2002-04-07 2004-09-07 Barcelona Design, Inc. Method and apparatus for automatic layout of circuit structures
US7252909B2 (en) 2002-04-18 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce CD non-uniformity in IC manufacturing
TW543147B (en) * 2002-04-23 2003-07-21 Chartered Semiconductor Mfg Integrated circuit with self-aligned line and via and manufacturing method therefor
JP4190796B2 (en) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 How to make exposure master
US6992925B2 (en) 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6826738B2 (en) 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6794914B2 (en) 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
JP2004013920A (en) 2002-06-03 2004-01-15 Mitsubishi Electric Corp Semiconductor storage device
US6980211B2 (en) 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US6795953B2 (en) 2002-06-11 2004-09-21 Hpl Technologies, Inc. Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design
JP3879063B2 (en) 2002-06-11 2007-02-07 富士通株式会社 Semiconductor device and manufacturing method thereof
JP2004022070A (en) 2002-06-17 2004-01-22 Renesas Technology Corp Semiconductor storage device
US7039882B2 (en) 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
JP4036688B2 (en) 2002-06-18 2008-01-23 松下電器産業株式会社 Standard cell library for automatic placement and routing and semiconductor integrated device
JP4462528B2 (en) 2002-06-24 2010-05-12 株式会社日立製作所 Semiconductor integrated circuit device
EP1376676A3 (en) 2002-06-24 2008-08-20 Interuniversitair Microelektronica Centrum Vzw Multibit non-volatile memory device and method
US6687895B2 (en) 2002-07-03 2004-02-03 Numerical Technologies Inc. Method and apparatus for reducing optical proximity correction output file size
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
US7063923B2 (en) 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
US20040009409A1 (en) 2002-07-11 2004-01-15 Jiunn-Ren Hwang Optical proximity correction method
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
JP4416384B2 (en) 2002-07-19 2010-02-17 株式会社ルネサステクノロジ Semiconductor integrated circuit
KR100445638B1 (en) 2002-07-26 2004-08-25 삼성전자주식회사 Interconnection structure connecting electrically isolated regions and method of fabricatinging the same
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7171645B2 (en) 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
KR100493025B1 (en) 2002-08-07 2005-06-07 삼성전자주식회사 Method for manufacturing semiconductor memory device
US6789244B1 (en) 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
US7143380B1 (en) 2002-08-08 2006-11-28 Xilinx, Inc. Method for application of network flow techniques under constraints
FR2843481B1 (en) 2002-08-08 2005-09-16 Soisic MEMORY ON SUBSTRATE OF THE SILICON TYPE ON INSULATION
US6785875B2 (en) 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US6854100B1 (en) 2002-08-27 2005-02-08 Taiwan Semiconductor Manufacturing Company Methodology to characterize metal sheet resistance of copper damascene process
US7345511B2 (en) 2002-08-29 2008-03-18 Technion Research & Development Foundation Ltd. Logic circuit and method of logic circuit design
JP3795846B2 (en) * 2002-08-29 2006-07-12 富士通株式会社 Semiconductor device
US6734521B2 (en) 2002-08-30 2004-05-11 Texas Instruments Incorporated Integrated circuit cells
DE10241170A1 (en) 2002-09-05 2004-03-18 Infineon Technologies Ag High density NROM FINFET
US20040049754A1 (en) 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US6807663B2 (en) 2002-09-23 2004-10-19 Numerical Technologies, Inc. Accelerated layout processing using OPC pre-processing
US6928635B2 (en) 2002-09-25 2005-08-09 Numerical Technologies, Inc. Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits
US7327597B1 (en) 2002-10-02 2008-02-05 Cisco Technology, Inc. Static random access memory architecture
JP4279782B2 (en) 2002-10-10 2009-06-17 富士通株式会社 Layout method and apparatus, program thereof and recording medium
US7214579B2 (en) 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
US6994939B1 (en) 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
US7053424B2 (en) 2002-10-31 2006-05-30 Yamaha Corporation Semiconductor integrated circuit device and its manufacture using automatic layout
US7219326B2 (en) 2002-12-16 2007-05-15 Intrinsity, Inc. Physical realization of dynamic logic using parameterized tile partitioning
JP3848248B2 (en) 2002-12-17 2006-11-22 株式会社東芝 SRAM cell and memory integrated circuit using the same
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7378710B2 (en) 2002-12-19 2008-05-27 International Business Machines Corporation FinFET SRAM cell using inverted FinFET thin film transistors
US7093228B2 (en) 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
JP4202120B2 (en) 2002-12-27 2008-12-24 セイコーインスツル株式会社 Integrated circuit optimization design equipment
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
US6898770B2 (en) 2003-01-09 2005-05-24 Lsi Logic Corporation Split and merge design flow concept for fast turnaround time of circuit layout design
JP4136684B2 (en) 2003-01-29 2008-08-20 Necエレクトロニクス株式会社 Semiconductor device and dummy pattern arrangement method thereof
US6996790B2 (en) 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
JP2004241529A (en) 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd Semiconductor circuit device and method of simulating circuit thereof
US6884712B2 (en) 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
US6777146B1 (en) 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
JP2004253730A (en) * 2003-02-21 2004-09-09 Renesas Technology Corp Semiconductor integrated circuit device and its manufacturing method
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
JP4531340B2 (en) 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 Multiplexer cell layout structure
DE602004022141D1 (en) 2003-02-27 2009-09-03 Univ Hong Kong MULTIPLE EXPOSURE METHOD FOR CIRCUIT POWER IMPROVEMENT AND MASK SET
JP4290457B2 (en) 2003-03-31 2009-07-08 株式会社ルネサステクノロジ Semiconductor memory device
JP3920804B2 (en) 2003-04-04 2007-05-30 松下電器産業株式会社 Semiconductor memory device
US6931617B2 (en) 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
TW594991B (en) 2003-04-29 2004-06-21 Faraday Tech Corp Integrated circuit with one metal layer for programming functionality of a logic operation module
US7065731B2 (en) 2003-05-07 2006-06-20 Cadence Design Systems, Inc. Removal of acute angles in a design layout
KR101053010B1 (en) 2003-05-07 2011-07-29 모사이드 테크놀로지스, 인코포레이티드 Managing power on integrated circuits using power islands
US7093208B2 (en) 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
JP2004342757A (en) * 2003-05-14 2004-12-02 Toshiba Corp Semiconductor integrated circuit and method of designing the same
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
JP4233381B2 (en) 2003-05-21 2009-03-04 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US7062740B2 (en) 2003-05-22 2006-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing design cycle time for designing input/output cells
US7770144B2 (en) 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7107551B1 (en) 2003-05-30 2006-09-12 Prolific, Inc. Optimization of circuit designs using a continuous spectrum of library cells
US7291878B2 (en) 2003-06-03 2007-11-06 Hitachi Global Storage Technologies Netherlands B.V. Ultra low-cost solid-state memory
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7400627B2 (en) 2003-06-05 2008-07-15 Brooktree Broadband Holding, Inc. ATM header compression using hash tables
US6992916B2 (en) 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4245418B2 (en) 2003-06-25 2009-03-25 富士通マイクロエレクトロニクス株式会社 Semiconductor integrated circuit device having diagonal wiring and layout method thereof
US20050009312A1 (en) 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
US6900999B1 (en) 2003-06-30 2005-05-31 Integrated Device Technology, Inc. Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio
KR100577610B1 (en) 2003-07-15 2006-05-10 삼성전자주식회사 semiconductor device, method for manufacturing semiconductor decice, SRAM device and method for manufacturing SRAM
US6993741B2 (en) 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1503411A1 (en) 2003-07-30 2005-02-02 St Microelectronics S.A. Conductive lines embedded in isolation regions
US6924560B2 (en) 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP4398195B2 (en) 2003-08-08 2010-01-13 パナソニック株式会社 Semiconductor memory device
JP2005059375A (en) 2003-08-12 2005-03-10 Fuji Xerox Co Ltd Inkjet recording head, inkjet head cartridge, and inkjet recorder
JP4620942B2 (en) 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 Semiconductor integrated circuit layout method, layout structure thereof, and photomask
TWI220268B (en) 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US7345909B2 (en) 2003-09-24 2008-03-18 Yen-Jen Chang Low-power SRAM memory cell
US6957402B2 (en) 2003-09-24 2005-10-18 Artisan Components, Inc. Yield maximization in the manufacture of integrated circuits
KR100516226B1 (en) 2003-09-25 2005-09-23 동부아남반도체 주식회사 Cell for test of SRAM cell and method for test SRAM cell
JP4599048B2 (en) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 Semiconductor integrated circuit layout structure, semiconductor integrated circuit layout method, and photomask
JP2005114752A (en) 2003-10-02 2005-04-28 Yamaha Corp Music player
JP4632287B2 (en) 2003-10-06 2011-02-16 株式会社日立製作所 Semiconductor integrated circuit device
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
FR2860920A1 (en) * 2003-10-14 2005-04-15 St Microelectronics Sa Multiple short local electrical connections for selective linkage of integrated circuit elements comprise masked selective humid attack of deposited metal
JP2005123524A (en) 2003-10-20 2005-05-12 Toshiba Corp Semiconductor device and its manufacturing method
JP2005123537A (en) 2003-10-20 2005-05-12 Sony Corp Semiconductor device and its manufacturing method
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4346410B2 (en) 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 Wiring design method for semiconductor integrated circuit and semiconductor integrated circuit
US7329953B2 (en) 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005149265A (en) 2003-11-18 2005-06-09 Olympus Corp Arithmetic processing system and arithmetic processor
US7269803B2 (en) 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
KR100702552B1 (en) * 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 METHOD AND DEVICE FOR AUTOMATED LAYER GENERATION FOR DOUBLE-GATE FinFET DESIGNS
JP4585197B2 (en) 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 Layout design method and photomask
EP1698534B1 (en) 2003-12-26 2011-03-16 Tan-Cheng Huang Hydraulic disc brake
JP2005197345A (en) 2004-01-05 2005-07-21 Hitachi Ltd Semiconductor device
JP2005203447A (en) 2004-01-13 2005-07-28 Toshiba Corp Semiconductor integrated circuit, designing system thereof, and designing method thereof
US7064068B2 (en) 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
KR100564612B1 (en) 2004-02-19 2006-03-28 삼성전자주식회사 Hard Disc Drive
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
TW200532768A (en) 2004-02-24 2005-10-01 Univ Hong Kong Rectangular contact lithography for circuit performance improvement
US7084476B2 (en) 2004-02-26 2006-08-01 International Business Machines Corp. Integrated circuit logic with self compensating block delays
JP2005243928A (en) 2004-02-26 2005-09-08 Fujitsu Ltd Semiconductor device with paired transistor isolated by trench isolation
US7353492B2 (en) 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
US7335966B2 (en) 2004-02-26 2008-02-26 Triad Semiconductor, Inc. Configurable integrated circuit capacitor array using via mask layers
US7115343B2 (en) 2004-03-10 2006-10-03 International Business Machines Corporation Pliant SRAF for improved performance and manufacturability
JP4317777B2 (en) 2004-03-10 2009-08-19 パナソニック株式会社 Semiconductor integrated circuit
US7423298B2 (en) 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
JP2005268610A (en) 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd Design method of standard cell, and semiconductor integrated circuit
DE102004063926B4 (en) 2004-03-24 2017-10-19 Infineon Technologies Ag Configurable driver cell of a logical cell field
US7126837B1 (en) 2004-03-26 2006-10-24 Netlogic Microsystems, Inc. Interlocking memory/logic cell layout and method of manufacture
DE602005002546T2 (en) 2004-04-01 2008-06-12 Arm Ltd. IMPROVED LAYOUT OF A SRAM MEMORY CELL
US7449371B2 (en) 2004-04-02 2008-11-11 Triad Semiconductor VIA configurable architecture for customization of analog circuitry in a semiconductor device
WO2005098686A2 (en) 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
TWI297446B (en) 2004-04-02 2008-06-01 Clear Shape Technologies Inc Delta information design closure in integrated circuit fabrication
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7404173B2 (en) 2004-04-07 2008-07-22 Aprio Technologies, Inc. Intermediate layout for resolution enhancement in semiconductor fabrication
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
CN100576725C (en) 2004-04-20 2009-12-30 Nxp股份有限公司 The differential received machine and the method for differential output signal are provided
EP1747520B1 (en) 2004-05-07 2018-10-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7194712B2 (en) 2004-05-12 2007-03-20 Synopsys, Inc. Method and apparatus for identifying line-end features for lithography verification
US7053668B2 (en) 2004-05-25 2006-05-30 Kabushiki Kaisha Toshiba SOI sense amplifier with cross-coupled body terminal
US7426710B2 (en) 2004-05-27 2008-09-16 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US6975133B1 (en) 2004-05-27 2005-12-13 International Business Machines Corporation Logic circuits having linear and cellular gate transistors
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
KR100591158B1 (en) 2004-06-01 2006-06-19 동부일렉트로닉스 주식회사 Method for manufacturing gate electrode of semiconductor devices
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
JP4834853B2 (en) 2004-06-10 2011-12-14 シャープ株式会社 THIN FILM TRANSISTOR CIRCUIT, THIN FILM TRANSISTOR CIRCUIT DESIGN METHOD, THIN FILM TRANSISTOR CIRCUIT DESIGN PROGRAM, DESIGN PROGRAM RECORDING MEDIUM, AND DISPLAY DEVICE
JP4248451B2 (en) 2004-06-11 2009-04-02 パナソニック株式会社 Semiconductor device and layout design method thereof
JP4778689B2 (en) 2004-06-16 2011-09-21 パナソニック株式会社 Standard cells, standard cell libraries, and semiconductor integrated circuits
US7327591B2 (en) 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7003068B2 (en) 2004-06-21 2006-02-21 Kenet, Inc. Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies
JP4405865B2 (en) 2004-06-24 2010-01-27 富士通マイクロエレクトロニクス株式会社 Multilayer wiring structure manufacturing method and FIB apparatus
JP4175649B2 (en) 2004-07-22 2008-11-05 松下電器産業株式会社 Semiconductor device
US7176508B2 (en) 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
JP2008512850A (en) 2004-07-27 2008-04-24 イージック・コーポレーション Structured integrated circuit device
JP2006049780A (en) 2004-08-09 2006-02-16 Elpida Memory Inc Semiconductor integrated circuit device
US7093213B2 (en) 2004-08-13 2006-08-15 International Business Machines Corporation Method for designing an integrated circuit defect monitor
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US20060063334A1 (en) 2004-09-17 2006-03-23 International Business Machines Corporation Fin FET diode structures and methods for building
US7227183B2 (en) 2004-09-17 2007-06-05 International Business Machines Corporation Polysilicon conductor width measurement for 3-dimensional FETs
US7185294B2 (en) 2004-09-23 2007-02-27 Verisilicon Holdings, Co Ltd Standard cell library having globally scalable transistor channel length
KR100594295B1 (en) 2004-09-24 2006-06-30 삼성전자주식회사 Method of forming gate with using layer-growth processing and gate structure thereby
DE102004047263B4 (en) 2004-09-24 2010-04-22 Qimonda Ag A method of generating an aberration avoiding mask layout for a mask
US7466607B2 (en) 2004-09-30 2008-12-16 Analog Devices, Inc. Memory access system and method using de-coupled read and write circuits
JP2006100718A (en) 2004-09-30 2006-04-13 Matsushita Electric Ind Co Ltd Operation analyzing method for semiconductor integrated circuit device, analyzing apparatus used therefor, and optimization designing method using the apparatus
US7335583B2 (en) 2004-09-30 2008-02-26 Intel Corporation Isolating semiconductor device structures
US7337421B2 (en) 2004-09-30 2008-02-26 Cadence Design Systems, Inc. Method and system for managing design corrections for optical and process effects based on feature tolerances
JP2006114668A (en) 2004-10-14 2006-04-27 Sony Corp Semiconductor integrated circuit and its manufacturing method
US7487475B1 (en) 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
JP2006119195A (en) 2004-10-19 2006-05-11 Nec Electronics Corp Layout method of wiring
US7302651B2 (en) 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
JP4768251B2 (en) 2004-11-01 2011-09-07 株式会社東芝 Semiconductor integrated circuit design method, semiconductor integrated circuit design system, and semiconductor integrated circuit manufacturing method
US7278118B2 (en) 2004-11-04 2007-10-02 Pdf Solutions, Inc. Method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
US7350183B2 (en) 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
KR100587692B1 (en) 2004-11-05 2006-06-08 삼성전자주식회사 Circuit wiring layout in semiconductor memory device and layout method thereof
JP2006156778A (en) 2004-11-30 2006-06-15 Matsushita Electric Ind Co Ltd Semiconductor device and its layout designing method
US7424696B2 (en) 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
US7465973B2 (en) 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
JP2006165365A (en) 2004-12-09 2006-06-22 Renesas Technology Corp Semiconductor device and method of manufacturing same
US7345330B2 (en) * 2004-12-09 2008-03-18 Omnivision Technologies, Inc. Local interconnect structure and method for a CMOS image sensor
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP4357409B2 (en) 2004-12-17 2009-11-04 株式会社東芝 Semiconductor integrated circuit device and design method thereof
FR2879816B1 (en) 2004-12-20 2007-06-08 Atmel Nantes Sa Sa ELECTRONIC CIRCUIT COMPRISING AT LEAST ONE FIRST AND A SECOND DIFFERENTIAL PAIRS WHOSE TRANSISTORS SHARE THE SAME HOUSING
JP2007043049A (en) 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd Cell, standard cell, placement method using standard cell, standard cell library, and semiconductor integrated circuit
US8259286B2 (en) 2004-12-21 2012-09-04 Carnegie Mellon University Lithography and associated methods, devices, and systems
WO2006070473A1 (en) 2004-12-28 2006-07-06 Spansion Llc Semiconductor device and operation control method for same
US7106620B2 (en) 2004-12-30 2006-09-12 International Business Machines Corporation Memory cell having improved read stability
US7743349B2 (en) 2004-12-31 2010-06-22 Tela Innovations, Inc. Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit
US7509621B2 (en) 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7366997B1 (en) 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
JP2006196627A (en) 2005-01-12 2006-07-27 Nec Electronics Corp Semiconductor device and its design program
DE102005002533B4 (en) 2005-01-14 2007-09-13 Infineon Technologies Ag A method of generating an aberration avoiding mask layout for a mask
JP4455356B2 (en) 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 Semiconductor device
KR20060092408A (en) 2005-02-17 2006-08-23 삼성전자주식회사 Circuits and methods for high performance exclusive or and exclusive nor
JP4602112B2 (en) 2005-02-17 2010-12-22 株式会社東芝 Manufacturing method of semiconductor integrated circuit and semiconductor integrated circuit
WO2006090445A1 (en) 2005-02-23 2006-08-31 Fujitsu Limited Semiconductor circuit device, and method for manufacturing the semiconductor circuit device
US7200835B2 (en) 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US7266787B2 (en) 2005-02-24 2007-09-04 Icera, Inc. Method for optimising transistor performance in integrated circuits
US7287237B2 (en) 2005-02-24 2007-10-23 Icera Inc. Aligned logic cell grid and interconnect routing architecture
US7721246B2 (en) 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7421678B2 (en) 2005-02-24 2008-09-02 Synopsys, Inc. Assist feature placement using a process-sensitivity model
US7188322B2 (en) 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
TWI281317B (en) 2005-03-07 2007-05-11 Sunplus Technology Co Ltd Self DC-bias high frequency logic gate, NAND gate, and NOR gate using the same
US7304874B2 (en) 2005-03-08 2007-12-04 Lsi Corporation Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas
US7992122B1 (en) 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
JP4634849B2 (en) 2005-04-12 2011-02-16 株式会社東芝 Integrated circuit pattern layout, photomask, semiconductor device manufacturing method, and data creation method
EP1712954B1 (en) 2005-04-12 2010-05-19 ASML MaskTools B.V. A method and program product for performing double exposure lithography
JP4921723B2 (en) 2005-04-18 2012-04-25 株式会社東芝 Manufacturing method of semiconductor device
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
US7480891B2 (en) 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7506300B2 (en) 2005-04-29 2009-03-17 Cadence Design Systems, Inc. Apparatus and method for breaking up and merging polygons
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8044437B1 (en) 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
JP4936418B2 (en) 2005-05-17 2012-05-23 ルネサスエレクトロニクス株式会社 Semiconductor device, manufacturing method thereof, and semiconductor device design program
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
JP4912016B2 (en) 2005-05-23 2012-04-04 ルネサスエレクトロニクス株式会社 Semiconductor memory device
KR20080018905A (en) 2005-05-26 2008-02-28 엔엑스피 비 브이 Electronic device
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7492013B2 (en) 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
WO2007002799A1 (en) 2005-06-29 2007-01-04 Lightspeed Logic, Inc. Methods and systems for placement
US7236396B2 (en) 2005-06-30 2007-06-26 Texas Instruments Incorporated Area efficient implementation of small blocks in an SRAM array
JP2007012855A (en) 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit, design method and design equipment thereof standard cell, and standard cell library
JP2007013060A (en) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd Semiconductor device
JP2007018588A (en) * 2005-07-06 2007-01-25 Toshiba Corp Semiconductor storage device and method of driving the semiconductor storage device
US7235424B2 (en) 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
EP1917715A2 (en) 2005-07-22 2008-05-07 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
DE112005003638B4 (en) 2005-07-22 2018-10-25 Fujitsu Semiconductor Ltd. Method for producing photomask structure data and method for producing a semiconductor device
US7404154B1 (en) 2005-07-25 2008-07-22 Lsi Corporation Basic cell architecture for structured application-specific integrated circuits
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7568174B2 (en) 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
JP2007093861A (en) 2005-09-28 2007-04-12 Renesas Technology Corp Method for designing mask pattern, and method for manufacturing semiconductor device
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
JP4796817B2 (en) 2005-10-31 2011-10-19 エルピーダメモリ株式会社 Basic cell design method, layout design method, design apparatus, and program
US7397260B2 (en) 2005-11-04 2008-07-08 International Business Machines Corporation Structure and method for monitoring stress-induced degradation of conductive interconnects
US20070106971A1 (en) 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
US7569309B2 (en) 2005-11-09 2009-08-04 Texas Instruments Incorporated Gate critical dimension variation by use of ghost features
US7527900B2 (en) 2005-11-10 2009-05-05 United Microelectronics Corp. Reticle and optical proximity correction method
US7934184B2 (en) 2005-11-14 2011-04-26 Takumi Technology Corporation Integrated circuit design using modified cells
DE102006027178A1 (en) 2005-11-21 2007-07-05 Infineon Technologies Ag A multi-fin device array and method of fabricating a multi-fin device array
US8124976B2 (en) 2005-12-02 2012-02-28 Nec Corporation Semiconductor device and method of manufacturing the same
US7543262B2 (en) 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
US7512017B2 (en) 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP4774294B2 (en) 2005-12-26 2011-09-14 富士通株式会社 Integrated circuit layout apparatus, method and program
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7614030B2 (en) 2006-01-17 2009-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Scattering bar OPC application method for mask ESD prevention
JP5091462B2 (en) 2006-01-19 2012-12-05 パナソニック株式会社 Cell and semiconductor device
JP4675249B2 (en) 2006-02-07 2011-04-20 パナソニック株式会社 Position-dependent variation calculation method and circuit analysis method
US7480880B2 (en) 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
US7469401B2 (en) 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
JP4644614B2 (en) 2006-02-27 2011-03-02 富士通セミコンダクター株式会社 Layout editor device, wiring display method, and wiring display program
JP4791855B2 (en) 2006-02-28 2011-10-12 株式会社東芝 Semiconductor memory device
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US20070218685A1 (en) 2006-03-17 2007-09-20 Swaminathan Sivakumar Method of forming trench contacts for MOS transistors
JP2007265179A (en) 2006-03-29 2007-10-11 Fujitsu Ltd Layout verification method, and layout verification unit
JP4882455B2 (en) 2006-03-31 2012-02-22 富士通セミコンダクター株式会社 Unit cell of semiconductor integrated circuit, wiring method using unit cell, and wiring program
US7382054B2 (en) * 2006-04-07 2008-06-03 Macronix International Co., Ltd. Method for forming self-aligned contacts and local interconnects simultaneously
US7437691B2 (en) 2006-04-11 2008-10-14 International Business Machines Corporation VLSI artwork legalization for hierarchical designs with multiple grid constraints
US7484197B2 (en) 2006-04-14 2009-01-27 International Business Machines Corporation Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
US7509622B2 (en) 2006-04-17 2009-03-24 Synopsys, Inc. Dummy filling technique for improved planarization of chip surface topography
JP5579959B2 (en) 2006-04-18 2014-08-27 ピーエスフォー ルクスコ エスエイアールエル Semiconductor device
US7407890B2 (en) 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7355906B2 (en) 2006-05-24 2008-04-08 International Business Machines Corporation SRAM cell design to improve stability
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
WO2007149004A1 (en) 2006-06-13 2007-12-27 Freescale Semiconductor, Inc. Methods and apparatus for simulating distributed effects
US7317339B1 (en) 2006-06-16 2008-01-08 Via Technologies, Inc. N-domino register with accelerated non-discharge path
US7459792B2 (en) 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7992117B2 (en) 2006-06-20 2011-08-02 Adtran, Inc. System and method for designing a common centroid layout for an integrated circuit
JP2008004796A (en) 2006-06-23 2008-01-10 Matsushita Electric Ind Co Ltd Semiconductor device and circuit element layout method
US7444609B2 (en) 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
US7763932B2 (en) 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7739627B2 (en) 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
JP2008027940A (en) 2006-07-18 2008-02-07 Matsushita Electric Ind Co Ltd Design method for semiconductor integrated circuit and circuit simulation method
DE102006037162B4 (en) 2006-08-01 2008-08-21 Qimonda Ag Method and apparatus and their use for testing the layout of an electronic circuit
US7966579B2 (en) 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
WO2008015111A2 (en) 2006-08-04 2008-02-07 Sagantec Israel Ltd Method and system for adapting a circuit layout to a predefined grid
US7873929B2 (en) 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction
US7886262B2 (en) 2006-08-15 2011-02-08 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
TW200811704A (en) 2006-08-31 2008-03-01 Univ Nat Yunlin Sci & Tech Full adder of complementary type carry logic voltage compensator
KR100773353B1 (en) * 2006-09-26 2007-11-05 삼성전자주식회사 Semiconductor devices having substrate plug and methods of forming the same
US7434185B2 (en) 2006-09-27 2008-10-07 International Business Machines Corporation Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data
JP4362785B2 (en) 2006-09-28 2009-11-11 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US20080082952A1 (en) 2006-09-29 2008-04-03 Texas Instruments Incorporated Method of inclusion of sub-resolution assist feature(s)
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
JP4814044B2 (en) 2006-10-05 2011-11-09 ルネサスエレクトロニクス株式会社 Pattern design method
US8230379B2 (en) 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
JP2008103610A (en) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd Wiring structure for semiconductor integrated circuit, its design method, and design device
US7624369B2 (en) 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7802219B2 (en) 2006-11-30 2010-09-21 Cadence Design Systems, Inc. Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout
US7774739B2 (en) 2006-11-30 2010-08-10 Texas Instruments Incorporated Methods for adjusting shifter width of an alternating phase shifter having variable width
US8378407B2 (en) 2006-12-07 2013-02-19 Tower Semiconductor, Ltd. Floating gate inverter type memory cell and array
US8156450B2 (en) 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US8178905B2 (en) 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
US7535751B2 (en) 2007-02-12 2009-05-19 Taiwan Semioconductor Manufacturing Co., Ltd. Dual-port SRAM device
JP5217180B2 (en) 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 Method for manufacturing electrostatic discharge protection device
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20080216207A1 (en) 2007-03-09 2008-09-11 Shen-Hai Tsai Finger pressing massage glove
KR100911187B1 (en) 2007-03-13 2009-08-06 주식회사 하이닉스반도체 Latch Structure And Bit Line Sense Amp Having The Same
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7543252B2 (en) 2007-03-28 2009-06-02 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7964267B1 (en) 2007-04-13 2011-06-21 Bae Systems Tensylon H.P.M., Inc. Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape
US7453125B1 (en) 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
JP4461154B2 (en) 2007-05-15 2010-05-12 株式会社東芝 Semiconductor device
US20080283910A1 (en) 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
US7911830B2 (en) 2007-05-17 2011-03-22 Integrated Magnetoelectronics Scalable nonvolatile memory
JP4445521B2 (en) 2007-06-15 2010-04-07 株式会社東芝 Semiconductor device
US7898040B2 (en) 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7759194B2 (en) 2008-07-25 2010-07-20 Semiconductor Manufacturing International (Shanghai) Corporation Electrically programmable device with embedded EEPROM and method for making thereof
JP2009025914A (en) 2007-07-17 2009-02-05 Nec Electronics Corp Method and program for designing semiconductor integrated circuit
US7625790B2 (en) 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US20090057780A1 (en) 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8156451B2 (en) 2007-09-14 2012-04-10 Renesas Electronics Corporation Method of manufacturing photomask
KR100905157B1 (en) 2007-09-18 2009-06-29 주식회사 하이닉스반도체 Method for forming fine pattern of semiconductor device
JP2009088085A (en) 2007-09-28 2009-04-23 Tokyo Electron Ltd Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program, and program storage medium
US20090101940A1 (en) 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
US8042070B2 (en) 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
JP2009130238A (en) 2007-11-27 2009-06-11 Fujitsu Microelectronics Ltd Semiconductor device
JP5193582B2 (en) 2007-12-12 2013-05-08 株式会社東芝 Manufacturing method of semiconductor device
WO2009078069A1 (en) 2007-12-14 2009-06-25 Fujitsu Limited Semiconductor device
JP2009152368A (en) 2007-12-20 2009-07-09 Hitachi Ltd Semiconductor device and method of manufacturing the same
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
EP2235453B1 (en) 2007-12-31 2016-08-10 Arçelik Anonim Sirketi A cooling device
US7957178B2 (en) 2008-01-04 2011-06-07 Texas Instruments Incorporated Storage cell having buffer circuit for driving the bitline
US7934173B2 (en) 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
US7984395B2 (en) 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US8423947B2 (en) 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8173544B2 (en) 2008-05-02 2012-05-08 Texas Instruments Incorporated Integrated circuit having interleaved gridded features, mask set and method for printing
US7958465B2 (en) 2008-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy pattern design for reducing device performance drift
US7917877B2 (en) 2008-05-09 2011-03-29 Cadence Design Systems, Inc. System and method for circuit schematic generation
EP2117045A1 (en) 2008-05-09 2009-11-11 Imec Design Methodology for MuGFET ESD Protection Devices
US7830025B2 (en) 2008-05-19 2010-11-09 United Microelectronics Corp. Contact layout structure
US7853915B2 (en) 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
KR101749351B1 (en) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8363455B2 (en) 2008-12-04 2013-01-29 David Rennie Eight transistor soft error robust storage cell
JP2010141047A (en) 2008-12-10 2010-06-24 Renesas Technology Corp Semiconductor integrated circuit device and method of manufacturing the same
US20100187609A1 (en) 2009-01-27 2010-07-29 Synopsys, Inc. Boosting transistor performance with non-rectangular channels
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
WO2010099673A1 (en) 2009-03-06 2010-09-10 Kaixin Inc. Leadless integrated circuit package having high density contacts
US8184472B2 (en) 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8004042B2 (en) 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8076236B2 (en) 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8782586B2 (en) 2009-07-16 2014-07-15 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning
US8294212B2 (en) 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8839162B2 (en) 2010-07-14 2014-09-16 International Business Machines Corporation Specifying circuit level connectivity during circuit design synthesis
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8418111B2 (en) 2010-11-24 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for achieving multiple patterning technology compliant design layout
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US9006841B2 (en) 2011-12-30 2015-04-14 Stmicroelectronics International N.V. Dual port SRAM having reduced cell size and rectangular shape
FR2996950B1 (en) 2012-10-11 2016-01-01 Dolphin Integration Sa MEMORY NETWORK BASED ON ROCKETS

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040005609A (en) * 2002-07-08 2004-01-16 후지쯔 가부시끼가이샤 Semiconductor storage device with signal wiring lines formed above memory cells
JP2006073696A (en) * 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit using standard cell and design method thereof
JP2007141971A (en) * 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd Designing method of semiconductor integrated circuit

Also Published As

Publication number Publication date
US20100252896A1 (en) 2010-10-07
WO2009054936A3 (en) 2009-07-02
EP3188217A2 (en) 2017-07-05
TWI402940B (en) 2013-07-21
TWI552267B (en) 2016-10-01
KR20170032487A (en) 2017-03-22
TW201342531A (en) 2013-10-16
TWI569369B (en) 2017-02-01
EP2592649B1 (en) 2015-04-29
TW201733011A (en) 2017-09-16
US20160133625A1 (en) 2016-05-12
US9859277B2 (en) 2018-01-02
EP2592648A1 (en) 2013-05-15
KR101530736B1 (en) 2015-06-22
KR20160081990A (en) 2016-07-08
US9240413B2 (en) 2016-01-19
EP2206146B1 (en) 2017-01-18
TW201701408A (en) 2017-01-01
US20140167185A1 (en) 2014-06-19
US20110278681A1 (en) 2011-11-17
KR101718676B1 (en) 2017-03-21
US7763534B2 (en) 2010-07-27
KR101669395B1 (en) 2016-11-09
US20200381429A1 (en) 2020-12-03
EP3188217A3 (en) 2017-08-02
US20180145075A1 (en) 2018-05-24
EP2206146A4 (en) 2011-01-05
KR101749881B1 (en) 2017-06-21
TW200943480A (en) 2009-10-16
US8680626B2 (en) 2014-03-25
US20090108360A1 (en) 2009-04-30
KR101588626B1 (en) 2016-02-12
KR20100103469A (en) 2010-09-27
TWI619204B (en) 2018-03-21
US20160133626A1 (en) 2016-05-12
KR20150016564A (en) 2015-02-12
US10734383B2 (en) 2020-08-04
KR101669383B1 (en) 2016-10-25
TWI598998B (en) 2017-09-11
KR20160118388A (en) 2016-10-11
EP2206146A2 (en) 2010-07-14
EP2592649A1 (en) 2013-05-15
US9741719B2 (en) 2017-08-22
US7994545B2 (en) 2011-08-09
TW201814835A (en) 2018-04-16
WO2009054936A2 (en) 2009-04-30
KR20150066611A (en) 2015-06-16
TW201628128A (en) 2016-08-01

Similar Documents

Publication Publication Date Title
KR101669395B1 (en) Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8563425B2 (en) Selective local interconnect to gate in a self aligned local interconnect process
US7235442B2 (en) Method for fabricating conductive line

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191015

Year of fee payment: 4