KR20140050581A - Sio2의 원위치 기상 표면 활성화 - Google Patents

Sio2의 원위치 기상 표면 활성화 Download PDF

Info

Publication number
KR20140050581A
KR20140050581A KR1020137023348A KR20137023348A KR20140050581A KR 20140050581 A KR20140050581 A KR 20140050581A KR 1020137023348 A KR1020137023348 A KR 1020137023348A KR 20137023348 A KR20137023348 A KR 20137023348A KR 20140050581 A KR20140050581 A KR 20140050581A
Authority
KR
South Korea
Prior art keywords
substrate
thermal oxide
termination
process chamber
hydroxyl
Prior art date
Application number
KR1020137023348A
Other languages
English (en)
Inventor
타츠야 이. 사토
데이비드 톰슨
제프리 더블유. 안티스
블라디미르 줍코브
스티븐 베르하베르베케
로만 국
마이트레이 마하자니
패트리시아 엠. 리우
말콤 제이. 베반
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140050581A publication Critical patent/KR20140050581A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

후속 성막 공정을 위한 기판을 제조하는 방법이 기재되어 있다. 또한, 수용액에서의 침지 없이 후속 성막 공정용 기판을 제조하는 방법이 기재되어 있다. 기판을 프로세스 챔버 내부에서 처리하는 단계로서, 상기 기판이 반응성 표면 터미네이션이 실질적으로 없는 열 산화물 표면을 갖는 단계를 포함하는 공정이 기재되어 있다. 상기 열 산화물 표면은 기판의 온도에서의 포화 증기압 미만의 물 분압에 노출되어, 반응성 표면 터미네이션이 실질적으로 없는 상기 고밀도 열 산화물이 하이드록실 표면 터미네이션을 지닌 표면으로 전환된다. 이것은 암모니아와 같은 루이스 염기의 존재 하에서 일어날 수 있다.

Description

SIO2의 원위치 기상 표면 활성화{IN SITU VAPOR PHASE SURFACE ACTIVATION OF SIO2}
배경기술
본 발명의 구체예는 일반적으로 집적 회로 제작 분야에 관한 것이며, 보다 구체적으로는 화학 기상 증착, 원자층 증착, 및 기타 화학 반응 기법을 향상시키기 위한 방법 및 장치에 관한 것이다.
화학 기상 증착(CVD) 및 원자층 증착(ALD)과 같은 화학 반응 기법에 의해 고밀도(dense) 금속 산화물을 함유하는 기판 표면 상에 막을 형성시키는데 있어서 중요한 고려사항은 금속 산화물 표면의 제조 및 개시 공정이다. 본원에서 논의하듯이, 이러한 고려사항은 또한, 여전히 수용액의 유의미한 투과가 없는 표면 처리 기법을 필요로 하는 다공성 금속 산화물(저-k 막을 포함함)과 같은 고밀도 금속 산화물에 적용된다. 표면 제조는, 화학적으로 활성화되어 기판 상에 초기 층 및 후속 층의 성장을 촉진시키는 기판 표면을 필요로 한다. 상기 기판 표면은 고밀도 반응성 종을, 예를 들면, 하이드록실화에 의해 제공하도록 제조될 수 있다.
SiO2(실리카) 표면은, 이러한 표면이 반응성 부위 또는 "화학적 핸들(chemical handle)"을 가지지 않기 때문에, 층을 형성하기 위한 화학 반응 기법에서 사용되는 많은 전구체에 대하여 매우 반응성이지 않다. 전형적으로, 보다 반응성인 표면을 만들기 위해서, 표면은 외부 위치, 즉 프로세스 챔버(process chamber) 환경 밖에서 SC-1 또는 케목스(chemox)와 같은 하쉬(harsh) 수용액으로 처리되어야 한다. 이러한 처리는 많은 후속 전구체에 대해 매우 우수한 화학적 핸들을 제공하는 표면 하이드록실을 발생시킨다. 이러한 표면 활성화 전략의 한 가지 문제는, 상기 표면 활성화가 후속 층을 증착시키는데 사용되는 프로세스 챔버(들)로부터 에어 브레이크(air break)를 필요로 하고, 이러한 에어 브레이크가 본질적으로 탄소 오염 및 나쁜 장비 성능을 유발한다는 점이다. 다른 문제는 SiO2 막 두께에 영향을 주는, 상대적으로 보다 높은 에칭 속도, 및 다공성 막 요건을 지닌 로컬 장치(local device) 구조로의 SC-1 수용액의 침투를 포함할 수 있다. 실리카 표면을 활성화하는 다른 방법은 매우 반응성인 댕글링 본드(dangling bond)를 생성할 수 있는 플라즈마 처리를 포함한다. 플라즈마 처리가 원위치(상기 프로세스 챔버 환경 내)에서 수행될 수 있을지라도, 이러한 플라즈마 처리는 제어하기 어려우며 불균일 표면을 유발한다. 프로세스 챔버 환경 내의 원위치에서 사용될 수 있는 기법을 제공하는 방법을 제공하여 고밀도 열 산화물(thermal oxide) 표면 상에 반응성 표면을 제공할 필요가 있다.
발명의 요약
본 발명의 구체예는, 균일한 표면, 및 실리카 및 실리카-함유 막과 같은 고밀도 열 산화물 표면 상에 활성 부위를 제공하는 에어 브레이크를 필요로 하지 않는 원위치 화학 기법을 제공한다.
일 구체예에서, 열 산화물 표면 상에 막 형성을 위한 열 산화물 표면을 갖는 기판을 제조하는 방법이 제공된다. 특정 구체예에서, 상기 방법은 실질적으로 반응성이지 않은 표면 터미네이션(termination)을 지닌 고밀도 열 산화물을 반응성 표면 터미네이션을 지닌 표면으로 전환시키는 단계를 포함한다. 본 명세서 및 첨부된 청구항에서 사용될 때, 용어 "실질적으로 반응성이지 않은 터미네이션"은 약 10% 미만의 표면 터미네이션이 반응성인 것을 의미한다. 상세한 구체예에서, 용어 "실질적으로 반응성이지 않은 표면 터미네이션"은 약 5%, 4%, 3%, 2%, 1% 또는 0.5% 미만의 표면 터미네이션이 반응성인 것을 의미한다. 공정은 프로세스 챔버 내의 원위치에서 수행된다. 표면 터미네이션은 하이드록실, 할라이드, 또는 이들의 조합물을 포함할 수 있다. 본원에서 사용될 때, 그러한 방법은 여전히 유의미한 수용액의 침투가 없는 표면 처리 기법을 필요로 하는 다공성 구조(예컨대, 저-k 막)를 필요로 하는 막에 적용될 수 있다. 이에 따라, 하나 이상의 구체예는 수용액에서의 침지 없이 기판을 제조하는 방법을 포함한다. 구체예에서, 상기 방법은 열 산화물 표면을 기판의 온도에서 포화 증기압 미만의 물 분압에 노출시키는 단계를 포함한다. 특정 구체예에서, 물의 증기압은 기판의 온도에서의 포화 증기압의 20%이다. 다른 특정 구체예에서, 물의 포화 증기압은 기판의 온도에서의 포화 증기압의 40%, 60% 또는 80%이다.
하나 이상의 구체예에서, 상기 방법은 동시에 열 산화물 표면을 프로세스 챔버 내의 기상 루이스 염기, 예를 들면 기상 암모니아를 포함하는 촉매에 노출시키는 단계를 포함한다. 특정 구체예에서, 상기 루이스 염기의 농도는 프로세스 챔버 내의 물의 농도보다 크다.
하나 이상의 구체예에서, 기판 제조 공정은 프로세스 챔버 내의 원위치에서 수행된다. 예컨대 화학 기상 증착 및/또는 원자층 증착에 의한 후속 성막 방법은 표면 제조 공정에서와 동일한 프로세스 챔버, 또는 표면 제조 공정에서 사용되는 챔버와 소통관계에 있는 프로세스 챔버에서 수행된다. 따라서, 분리형 챔버(하나는 기판의 표면 제조용, 다른 하나는 표면-터미네이션된 열 산화물 상에 막 형성용)는 클러스터 장비로 배열될 수 있고, 주변 대기에서 기판을 오염물질에 노출시킬 수 있는 에어 브레이크가 없는 로드 락(load lock) 하에서 상기 기판은 챔버들 사이를 이동할 수 있다.
앞의 내용은 본 발명의 특정 특징 및 기술적 이점에 대해 대략적으로 개요를 서술하였다. 개시된 특정 구체예가 본 발명의 범주 내에서 변경되거나 다른 구조 또는 공정을 설계하는데 기준으로 널리 이용될 수 있음이 해당 기술분야의 통상의 기술자에게는 자명할 것이다.
도 1은 본 발명의 구체예를 묘사하는 개략도이다.
도 2는 구체예에 따른 클러스터 장비를 보여준다.
도 3은 외부 위치 처리와 비교하였을 때 여러 원위치 표면 처리의 효과를 나타낸 그래프이다.
본 발명의 하나 이상의 구체예는 열적으로 성장한 산화물 층, 예를 들어, 추가로 후속 전구체와 반응할 수 있는 표면 하이드록실 또는 표면 할라이드와 같은 화학적 "핸들"을 생성할 수 있는 화학물질을 지닌 실리카-함유(예컨대, 순수한 실리카 또는 SiON) 층의 원위치 화학 처리를 수반한다. 후속 전구체의 비제한 예는 HfCl4이고, 하나 이상의 구체예에서, 상기 화학 처리는 SiO2/HfO2 인터페이스(interface)를 제공한다.
본 발명은 활성화된 표면과의 HfCl4의 반응에 한정되지 않으며, HfCl4는 실례로서 제공된다. 이는 본 발명이 열적 SiO2 상에 성장될 필요가 있는 임의의 막에 적용될 수 있음을 의미한다. 본 발명의 구체예에 따라 사용될 수 있는 다른 전구체의 비제한 예는 다른 금속 할라이드(클로라이드, 브로마이드 등), 금속 아마이드, 금속 알킬 등이다. 예를 들어, 다른 금속 클로라이드는 TaCl5, ZrCl4, 및 LaCl3를 포함할 수 있다.
특정 구체예에서, SiO2 표면의 처리가 바람직하게는 상기 벌크 SiO2 막의 구조를 온전하게 유지하기 위해 약 450℃ 미만의 온도에서 행해져야 한다. 약 400℃, 300℃, 200℃, 100℃, 및 50℃ 미만의 온도가 또한 본 발명의 범주 내에 해당하며, 표현 "저온"은 상기 값들 중 하나 이상의 값 미만의 온도를 나타낸다. 제 1 구체예에서, 활성 표면은 Si-O 결합을 끊고 Si-X 및 SiO-X 결합을 형성할 정도로 충분히 화학적으로 강한 기상 반응물을 사용하여 제공되며, 상기 식에서의 X는 OH 또는 Cl을 포함하지만 이에 한정되지는 않는 어떠한 반응성 기이다. 제 2 구체예에서, 두 가지 기상 반응물의 응축(condensation)은 도입되는 전구체보다 훨씬 반응성인 SiO2 표면 상에서 이루어진다. 2차 막이 상기 응축상의 상부에서 성장하면, 기판은 어닐링되어 응축상과 SiO2 간의 강한 인터페이스를 발생시킬 수 있다.
도 1은 암모니아 촉매와 함께 또는 암모니아 촉매 없이 물과 SiO2 표면의 반응을 나타낸다. 하나 이상의 구체예에 따라, 상기 암모니아 촉매는 저온에서의 표면 개질 수행을 돕는다. 그러나, 본 발명은 일 촉매 또는 특정 암모니아 촉매의 사용에 제한되지 않는다. 다른 적합한 촉매의 비제한 예는, 화학식이 NH3 - xRx이고 여기서 R이 알킬 또는 아릴기, 피리딘 및 이의 유도체, 및 하이드라진인, 통상적인 알킬 아민 또는 아릴 아민과 같은 루이스 염기를 포함한다. 촉매를 이용하지 않는 구체예에서, 순수한 H2O 증기, 퍼옥사이드, HCl 및 기타 화학물질이 표면 상에 Si-X 및 SiO-X 결합 형성을 제공하기 위해 사용될 수 있으며, 상기 식에서 X는 OH 또는 Cl을 포함하지만 이에 한정되지는 않는 어떠한 반응성 기이다.
도 1은 두 개의 하이드록실기를 생성하기 위해 SiO2 표면과 반응하는 물의 메카니즘을 보여준다. 도 1의 위의 경로는 저온에서 물 단독으로는 반응이 일어나지 않음을 보여준다. 그러나, 충분한 기간 및 충분히 고 분압인 물 환경에 노출되는 하나 이상의 구체예에 따르면, 상기 반응 경로가 진행될 수 있다.
도 1에서의 아래 경로는 암모니아와 같은 루이스 염기가 촉매로서 사용되는 경우의 메카니즘을 보여준다. 상기에 언급하였듯이, 공정에서의 반응물/촉매의 다른 조합이 상상될 수 있다. 예를 들면, 암모니아 또는 하이드라진과 조합된 과산화수소는 Si-OH 및 Si-O-O-H 프레그먼트(fragment)를 유도할 수 있고, 상기 Si-O-O-H 프레그먼트는 쉽게 Si-O-H로 환원된다. 기판 상의 열 산화물 표면은 디할라이드를 사용한 다음, 이어서 암모니아 또는 물에 의해 할로겐화되어 Si-OH 또는 Si-X +HX를 생성할 수 있으며, 상기 식에서 X는 할로겐이다. 두 경로에서, 할로겐화된 표면은 쉽게 물과 반응하여 Si-OH를 생성할 수 있다.
상기 공정들은 기체 또는 증기가 프로세스 챔버 내로 흘러들어가는 경우의 조건 또는 비흐름 조건 하에서 수행될 수 있다. 수증기의 경우에 있어서, 상기 공정은 표면의 하이드록실화를 일으킨다. 기판 온도에서의 포화 증기압의 60% 초과의 농도에서, 물의 물리흡착(physisorption)이 일어날 수 있다. 물리흡착된 물의 다중 층이 기판 표면 상에 존재하면, 그것은 NH3를 용해하여 NH4+ 및 OH-를 생성할 능력을 가진다. 수성 암모늄 하이드록사이드는 Si-O-Si 결합과 반응하여 기판 표면 상에 -OH 터미네이션의 발생을 일으킨다고 여겨진다.
본 발명의 구체예에 따라, 외부 위치 공정, 예컨대 SC1으로 처리된 표면을 시뮬레이션한 표면이 기상 반응을 이용하여 원위치에 발생될 수 있다. 이는, 초과 탄소를 제거해야하는 염기 산화물과 게이트 산화물의 형성 간의 에어 브레이크에 대한 필요성을 제거한다. 초과 탄소는 고 k 유전체 막에서 큰 히스테리시스 정전용량 값(CV)(hysteresis capacitance value)을 생성할 수 있다.
본원에서 사용될 때, "원위치"는, 기판 표면의 오염이 발생할 수 있는 프로세스 챔버 외부가 아닌 프로세스 챔버 환경 내에서 제조되는 공정을 나타낸다. 일 구체예에서, 본원에 기재된 공정은 단일 또는 독립형 프로세스 챔버에서 수행될 수 있다. 다른 구체예에서, 본원에 기재된 공정은 기판을 후속 프로세스 챔버로 이동시키기 위한 에어 브레이크가 없는 로드 락된 조건 하에서 클러스터 장비로 수행될 수 있다.
도 2는 본 발명의 일 양태와 함께 사용될 수 있는 클러스터 장비 또는 다중-챔버 프로세싱 시스템 (10)의 예를 보여준다. 프로세싱 시스템 (10)은 기판을 상기 시스템 (10) 내부 및 외부로 이동시키기 위한 하나 이상의 로드 락 챔버 (12, 14)를 포함할 수 있다. 전형적으로, 상기 시스템 (10)이 진공 하에 있기 때문에, 상기 로드 락 챔버 (12, 14)는 상기 시스템 (10) 내부로 도입된 기판을 "펌프 다운(pump down)"할 수 있다. 제 1 로봇 (20)은 상기 로드 락 챔버 (12, 14) 및 제 1 세트의 하나 이상의 기판 프로세싱 챔버 (32, 34, 36, 38) 사이에서 기판을 이동시킬 수 있다. 각각의 프로세싱 챔버 (32, 34, 36, 38)은 다수의 기판 프로세싱 작업을 수행하도록 구성될 수 있다. 예를 들어, 프로세싱 챔버 (32)는 에치 공정을 실시하도록 설계된 에치 프로세서일 수 있고, 프로세싱 챔버 (34)는 ALD 또는 CVD을 수행하기 위한 증착 반응 챔버일 수 있다. 프로세싱 챔버 (36, 38)은 또한, 예를 들면, 순환식 층 증착(CLD), 원자층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에치, 전-세척, 화학적 세척, RTP와 같은 열 처리, 플라즈마 질화, 탈가스(degas), 배향, EUV 리소그래피(예컨대, 스텝퍼(stepper) 챔버) 및 기타 기판 공정을 추가로 제공하도록 구성될 수 있다.
제 1 로봇 (20)은 또한 하나 이상의 이동 챔버 (42, 44)로/로부터 기판을 이동시킬 수 있다. 상기 이동 챔버 (42, 44)는 기판이 시스템 (10) 안으로 이동하도록 허용하면서 초고 진공 조건을 유지하는데 사용될 수 있다. 제 2 로봇 (50)은, 이동 챔버 (42, 44)와 제 2 세트의 하나 이상의 프로세싱 챔버 (62, 64, 66, 68) 사이에서 기판을 이동시킬 수 있다. 프로세싱 챔버 (32, 34, 36, 38)와 유사하게, 상기 프로세싱 챔버 (62, 64, 66, 68)는, 순환식 층 증착(CLD), 원자층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에피텍셜 증착(epitaxial deposition), 에치, 전-세척, 화학적 세척, RTP와 같은 열 처리, 플라즈마 질화, 탈가스, EUV 리소그래피(예컨대, 스텝퍼 챔버) 및 배향 외에 에치 공정을 포함하는 여러 가지 기판 프로세싱 작업을 수행하도록 구성될 수 있다. 기판 프로세싱 챔버 (32, 34, 36, 38, 62, 64, 66, 68) 중 어떤 것은 필요하지 않은 경우에 시스템 (10)으로부터 제거될 수 있다.
클러스터 장비로 챔버에서 상기 공정을 수행함으로써, 우리는 대기 불순물에 의한 기판의 표면 오염을 피할 수 있고, 동시에 습식 화학 처리로부터 증가된 핵생성의 이점을 유지할 수 있다.
도 3은 상이한 표면 처리 조건의 함수로서 성장 속도의 차이를 보여준다. 샘플 HfO2 막을 실리콘 산화물 막 상에 ALD 기법을 사용하여 성장시켰다. 샘플 제조를 위해, 베어-Si(Bare-Si) 웨이퍼를 도 3에 나타낸 바와 같이, 단계 1 내지 단계 4를 거쳐 연속적으로 공정처리하였다. 단계 2 내지 단계 4를 에어 브레이크 또는 공기에 대한 노출 없이 공정처리하였다. 샘플을 가공한 후에, HfO2 막 두께를 X-선 광전자 분광 시스템으로 수량화하였다. 상세한 공정 조건은 하기와 같으며 이는 표 1에 요약되어 있다:
단계 1: 샘플 A-I에 대한 에치 웨이퍼를 희석된 HF를 사용하여 에칭하였고, 이어서 습식 벤치(wet bench) 시스템에서 8 분 동안 50℃에서 SC-1(NH4OH, H2O2 및 H2O) 용액 배스(bath) 처리하였다.
단계 2: 도 3에 나타낸 바와 같이, 15 초 동안 4 Torr의 압력에서 공기 O2 중에서, 에치 웨이퍼를 900℃의 10 옹스트롬(Angstrom) 건식 열 산화 공정처리하였다.
단계 3: 도 3에 나타낸 바와 같이, 표면 처리를 각각의 웨이퍼 상에서 수행하였다. 도 3에 나타낸 바와 같이, 샘플 C, D 및 E를 H2O로만 표면 처리하였고, 샘플 F, G, H 및 I를 NH3와 함께 H2O로 표면 처리하였다. H2O 상태는, 특정 온도에서 H2O 포화 압력에 대한 H2O 분압의 백분위 비율인 상대 습도로 기재되었다. H2O 및 NH3 처리에 있어서, NH3 분압은 상대 습도("RH")로 기재된 H2O 분압과 등가이다.
단계 4: 각각의 웨이퍼를, 하프늄 테트라클로라이드(HfCl4) 및 H2O 화학물질과 함께 ALD 하프늄 산화물(HfO2) 공정처리하였다. 구체적으로, 5 번의 하기 시퀀스의 사이클을 275℃에서 제공하였다: HfCl4 기체 노출 - 불활성 퍼지(Inert purge) - H2O 기체 노출 - 불활성 퍼지. 도 3에 나타낸 모든 샘플들을 상기와 동일한 ALD 공정처리하였다.
Figure pct00001
도 3의 샘플 A는 습식 화학 외부 위치 기법으로 처리된 웨이퍼의 5 번의 ALD 사이클 후의 막 두께를 보여준다.   도 3의 샘플 B는 고밀도 열 산화물, 실리콘 산화물 상에서 5 번의 ALD 사이클 후의 막의 막 두께를 보여준다. 샘플 A와 B 간의 두께 차이는 비반응된 실리콘 산화물 상에서 성장을 시작하는 핵생성 부위의 부족에 기인한다. 샘플 C 내지 I에 대한 두께 데이터는, 표 1에 열거된 상이한 표면 처리 조건을 이용한 5 번의 사이클 후에 얻어지는 막의 상이한 두께를 보여준다. 도 3에 나타낸 샘플 B와, 샘플 H 또는 샘플 I 간의 두께 차이는 본 발명의 구체예의 이점을 보여준다.
따라서, 본 발명의 하나 이상의 구체예에 따른 공정은, 하이드록실 표면 터미네이션과 같은 수용성 표면 터미네이션이 제한되거나 없는 고밀도 산화물 표면을 함유하는 기판이, 후속 성막 공정(후속 막 증착 공정이라고도 불림)이 기판 표면 상에서 수행될 수 있도록 표면 터미네시션을 제공하는 프로세스 챔버 원위치에서 처리되도록 제공된다. 이러한 표면 터미네이션은 하이드록실 또는 할라이드 표면 터미네이션에 의한 것일 수 있다. 하나 이상의 구체예에서, 실리콘 산화물과 같은 고밀도 열 산화물 표면은, 기판 두께의 실질적인 변화 없이 표면을 기능화하기 위해 처리되는 표면이다. 본 명세서 및 첨부된 청구항에서 사용될 때, 용어 "두께의 실질적 변화 없이"는 두께가 10 옹스트롬 또는 5 옹스트롬 또는 4 옹스트롬, 또는 3 옹스트롬을 초과하여 변하지 않는다는 것을 의미한다.
본 발명의 구체예가 실리콘 산화물 표면에 대하여 기재되었을지라도, 다른 실리카 함유 표면이 본 발명의 구체예에 부합되게 개질될 수 있다. 예를 들어, SiON 표면이 또한 본 발명의 구체예에 부합되게 개질될 수 있다. 표면 처리는 고 k ALD 챔버와 같은 CVD 또는 ALD 챔버 내의 원위치에서 수행될 수 있다.
본 명세서 곳곳의 "일 구체예", "특정 구체예", "하나 이상의 구체예", 또는 "구체예"에 대한 언급은, 특별한 특징, 구조, 물질, 또는 구체예와 관련하여 기재된 특성이 본 발명의 하나 이상의 구체예에 포함됨을 의미한다. 따라서, 본 명세서 여러 곳에서의 "하나 이상의 구체예에서", "특정 구체예에서", "일 구체예에서", 또는 "구체예에서"와 같은 구절의 등장은 반드시 본 발명의 구체예와 동일한 것만을 의미하지는 않는다. 또한, 특별한 특징, 구조, 물질, 또는 특성은 하나 이상의 구체예에서 임의의 적합한 방식으로 조합될 수 있다. 상기 방법의 설명 순서는 제한되도록 고려되지 않았으며, 방법은 상기 기재된 작업의 순서를 바꾸거나 이를 생략 또는 추가하여 사용될 수 있다.
상기의 설명은 예시적인 것이며 본 발명을 제한하도록 의도되지 않았음이 이해되어야 한다. 상기의 설명을 검토하면, 많은 다른 구체예가 해당 기술분야의 당업자에게 자명해질 것이다. 따라서, 본 발명의 범주는 청구항에 권리를 부여하는 전범위의 등가물과 함께 첨부된 청구항에 의해 결정되어야 한다.

Claims (15)

  1. 열 산화물 표면을 갖는 기판을 프로세스 챔버(process chamber) 안에 배치하는 단계; 및
    450℃ 미만의 온도에서 열 산화물 표면 상에 할라이드 표면 터미네이션(termination)을 제공하는 할라이드 및 하이드록실 표면 터미네이션을 제공하는 하이드록사이드 중 하나 이상을 함유하는 기체에 상기 열 산화물 표면을 노출시키는 단계
    를 포함하는 후속 성막용 기판의 표면 제조 방법.
  2. 제 1 항에 있어서,
    상기 열 산화물 상에 막을 증착하는 단계를 추가로 포함하는 방법.
  3. 제 1 항에 있어서,
    상기 표면 터미네이션이 하이드록실기를 포함하고, 상기 기체가 수증기를 포함하는 방법.
  4. 제 3 항에 있어서,
    상기 수증기에 대한 노출과 동시에 열 산화물을 촉매에 노출시키는 단계를 추가로 포함하는 방법.
  5. 제 4 항에 있어서,
    상기 촉매가 암모니아, 피리딘, 하이드라진, 알킬 아민 및 아릴 아민으로부터 선택되는 루이스 염기를 포함하는 방법.
  6. 제 1 항에 있어서,
    상기 기판이 수용액에 침지되지 않는 방법.
  7. 열 산화물 표면을 지닌 기판을 프로세스 챔버 내부에 배치하는 단계; 및
    열 산화물 표면을 기판의 온도에서의 포화 증기압을 초과하는 물 분압에 노출시켜, 상기 열 산화물 표면을 하이드록실 표면 터미네이션을 지닌 표면으로 전환하는 단계
    를 포함하는 후속 성막 공정을 위한 기판 제조 방법.
  8. 제 7 항에 있어서,
    상기 분압이 기판의 온도에서의 포화 증기압의 20%를 초과하는 방법.
  9. 제 8 항에 있어서,
    상기 분압이 기판의 온도에서의 포화 증기압의 80%를 초과하는 방법.
  10. 제 7 항에 있어서,
    열 산화물 표면을 기상 암모니아에 동시에 노출시키는 단계를 추가로 포함하는 방법.
  11. 제 10 항에 있어서,
    상기 암모니아의 농도가 프로세스 챔버 내의 물 농도 이하인 방법.
  12. 제 7 항에 있어서,
    기판이 제조되는 프로세스 챔버와 소통관계에 있는 상기 프로세스 챔버 내에서 후속 성막 공정을 수행하는 단계를 추가로 포함하며, 상기 프로세스 챔버가 클러스터 장비의 부품인 방법.
  13. 제 12 항에 있어서,
    상기 막이 고 K 유전체 막인 방법.
  14. 기판의 표면을 에칭하여 에칭된 기판을 제공하는 단계;
    상기 기판의 표면 상에 열 산화물 층을 형성하는 단계;
    450℃ 미만의 온도에서 열 산화물 표면 상에 할라이드 표면 터미네이션을 제공하는 할라이드 및 하이드록실 표면 터미네이션을 제공하는 하이드록사이드 중 하나 이상을 함유하는 기체에 상기 열 산화물 표면을 노출시키는 단계; 및
    고 k 유전체 막을 할라이드 또는 하이드록실 터미네이션을 지닌 상기 표면 상에 증착시키는 단계
    를 포함하는 기판의 표면 제조 방법.
  15. 제 14 항에 있어서,
    열 산화물 층 형성 단계와 고 k 유전체 막 증착 단계 사이에서 상기 기판이 공기에 노출되지 않는 방법.
KR1020137023348A 2011-02-04 2012-02-03 Sio2의 원위치 기상 표면 활성화 KR20140050581A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161439686P 2011-02-04 2011-02-04
US61/439,686 2011-02-04
US13/192,041 US8778816B2 (en) 2011-02-04 2011-07-27 In situ vapor phase surface activation of SiO2
US13/192,041 2011-07-27
PCT/US2012/023778 WO2012106600A2 (en) 2011-02-04 2012-02-03 In situ vapor phase surface activation of sio2

Publications (1)

Publication Number Publication Date
KR20140050581A true KR20140050581A (ko) 2014-04-29

Family

ID=46600918

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137023348A KR20140050581A (ko) 2011-02-04 2012-02-03 Sio2의 원위치 기상 표면 활성화

Country Status (6)

Country Link
US (1) US8778816B2 (ko)
JP (1) JP2014506013A (ko)
KR (1) KR20140050581A (ko)
CN (1) CN103430286A (ko)
TW (1) TW201234425A (ko)
WO (1) WO2012106600A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160130165A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
KR20190113548A (ko) * 2018-03-27 2019-10-08 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20220012632A (ko) 2020-07-23 2022-02-04 연세대학교 산학협력단 금속 산화물 박막의 전기적 특성 향상 방법

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8633119B2 (en) * 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US20140242811A1 (en) * 2013-02-27 2014-08-28 United Microelectronics Corp. Atomic layer deposition method
US9373501B2 (en) 2013-04-16 2016-06-21 International Business Machines Corporation Hydroxyl group termination for nucleation of a dielectric metallic oxide
TWI639179B (zh) 2014-01-31 2018-10-21 美商蘭姆研究公司 真空整合硬遮罩製程及設備
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US9620665B1 (en) * 2015-06-17 2017-04-11 The United States Of America As Represented By The Secretary Of The Army Method for improved growth of two-dimensional transition metal dichalcogenides
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CN113039486A (zh) 2018-11-14 2021-06-25 朗姆研究公司 可用于下一代光刻法中的硬掩模制作方法
WO2020223011A1 (en) 2019-04-30 2020-11-05 Lam Research Corporation Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement
US11417517B2 (en) 2019-05-03 2022-08-16 Applied Materials, Inc. Treatments to enhance material structures
US20210104401A1 (en) * 2019-10-04 2021-04-08 Applied Materials, Inc. Novel method for gate interface engineering
US10872763B2 (en) * 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
CN110379709A (zh) * 2019-07-25 2019-10-25 上海华力集成电路制造有限公司 氧化铪薄膜的制造方法
JP7397186B2 (ja) * 2019-11-01 2023-12-12 アプライド マテリアルズ インコーポレイテッド FinFET形成のためのキャップ酸化
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
JP7324740B2 (ja) * 2020-11-25 2023-08-10 株式会社Kokusai Electric 基板処理方法、プログラム、基板処理装置及び半導体装置の製造方法
JP7374961B2 (ja) * 2021-07-27 2023-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3187109B2 (ja) * 1992-01-31 2001-07-11 キヤノン株式会社 半導体部材およびその製造方法
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6633066B1 (en) * 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
TWI307912B (en) * 2001-05-30 2009-03-21 Asm Inc Low temperature load and bake
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6627556B1 (en) 2002-04-24 2003-09-30 Lsi Logic Corporation Method of chemically altering a silicon surface and associated electrical devices
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
JP2004290924A (ja) * 2003-03-28 2004-10-21 Sumitomo Chem Co Ltd 触媒繊維およびその製造方法
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
JP2005079141A (ja) 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US6818517B1 (en) * 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US7384486B2 (en) * 2004-03-26 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
JP2008500933A (ja) * 2004-05-14 2008-01-17 ソニー ドイチュラント ゲゼルシャフト ミット ベシュレンクテル ハフツング カーボンナノチューブと金属炭酸塩とを具備する複合材料
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US20060051929A1 (en) * 2004-09-03 2006-03-09 Honeywell International Inc. Electrical properties of shallow trench isolation materials via high temperature annealing in the presence of reactive gases
US7232759B2 (en) 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
JP5055813B2 (ja) * 2006-04-10 2012-10-24 富士電機株式会社 Soi横型半導体装置
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7678422B2 (en) 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7871942B2 (en) 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US20090305515A1 (en) 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
EP2367503A1 (en) * 2008-11-25 2011-09-28 AttenueX Technologies, Inc. Implant with high vapor pressure medium
KR20110057645A (ko) 2009-11-24 2011-06-01 삼성전자주식회사 절연막 형성 방법 및 이를 포함하는 트랜지스터 형성 방법
US20120201959A1 (en) 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160130165A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
KR20190113548A (ko) * 2018-03-27 2019-10-08 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20220012632A (ko) 2020-07-23 2022-02-04 연세대학교 산학협력단 금속 산화물 박막의 전기적 특성 향상 방법

Also Published As

Publication number Publication date
TW201234425A (en) 2012-08-16
WO2012106600A3 (en) 2012-11-08
WO2012106600A9 (en) 2013-01-03
JP2014506013A (ja) 2014-03-06
US20120202357A1 (en) 2012-08-09
CN103430286A (zh) 2013-12-04
WO2012106600A2 (en) 2012-08-09
US8778816B2 (en) 2014-07-15

Similar Documents

Publication Publication Date Title
KR20140050581A (ko) Sio2의 원위치 기상 표면 활성화
TWI819197B (zh) 形成結構之方法
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
US10515794B2 (en) Atomic layer deposition of silicon carbon nitride based materials
KR102003591B1 (ko) 주기적 처리를 사용하는 선택적 막 퇴적을 위한 방법 및 장치
TWI815898B (zh) 蝕刻方法及蝕刻裝置
KR20240060770A (ko) 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적
KR101906588B1 (ko) 금속성 표면들 위에서의 금속성 막들의 선택적 형성
KR102338066B1 (ko) 규소 포함 표면 상에의 선택적 증착
KR102021708B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102306680B1 (ko) 실리콘계 유전체들 상에서의 선택적 증착을 위한 방법들
US20170117144A1 (en) Chemical Infiltration into Porous Dielectric Films
Sato et al. In situ vapor phase surface activation of SiO 2
TW201443274A (zh) 使用二矽氧烷先質之膜的沉積
JP2024506395A (ja) シリコンベースの誘電体膜の堆積

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid