KR20120022568A - Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device - Google Patents

Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device Download PDF

Info

Publication number
KR20120022568A
KR20120022568A KR1020110073008A KR20110073008A KR20120022568A KR 20120022568 A KR20120022568 A KR 20120022568A KR 1020110073008 A KR1020110073008 A KR 1020110073008A KR 20110073008 A KR20110073008 A KR 20110073008A KR 20120022568 A KR20120022568 A KR 20120022568A
Authority
KR
South Korea
Prior art keywords
semiconductor
film
semiconductor film
microcrystalline
gate electrode
Prior art date
Application number
KR1020110073008A
Other languages
Korean (ko)
Inventor
료타 다지마
데츠히로 다나카
다카시 오츠키
료 도쿠마루
유지 에지
에리카 가토
미야코 모리쿠보
Original Assignee
가부시키가이샤 한도오따이 에네루기 켄큐쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 한도오따이 에네루기 켄큐쇼 filed Critical 가부시키가이샤 한도오따이 에네루기 켄큐쇼
Publication of KR20120022568A publication Critical patent/KR20120022568A/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/183Epitaxial-layer growth characterised by the substrate being provided with a buffer layer, e.g. a lattice matching layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/08Germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Thin Film Transistor (AREA)
  • Manufacturing & Machinery (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

PURPOSE: A method for forming a microcrystalline semiconductor film is provided to offer a microcrystalline semiconductor film having an improved crystalline by reducing a gap of mixed particles. CONSTITUTION: An insulating layer(55) is formed on a substrate(51). A seed crystal(57) is formed on the insulating layer. A gap(57b) is formed between mixed particles(57a) which are contiguous to the seed crystal. A microcrystal semiconductor film(59) is formed on the seed crystal. The seed crystal and the microcrystal semiconductor film are made of the microcrystal semiconductor.

Description

미결정 반도체막의 제작 방법, 및 반도체 장치의 제작 방법{METHOD FOR FORMING MICROCRYSTALLINE SEMICONDUCTOR FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}Method for manufacturing microcrystalline semiconductor film and method for manufacturing semiconductor device {METHOD FOR FORMING MICROCRYSTALLINE SEMICONDUCTOR FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}

본 발명은 미결정 반도체막의 제작 방법, 및 상기 미결정 반도체막을 사용한 반도체 장치의 제작 방법, 및 표시 장치에 관한 것이다. This invention relates to the manufacturing method of a microcrystalline semiconductor film, the manufacturing method of the semiconductor device using the said microcrystalline semiconductor film, and a display apparatus.

또한, 본 명세서 중에 있어서 반도체 장치란, 반도체 특성을 이용함으로써 기능할 수 있는 장치 전반을 가리키고, 표시 장치, 전기 광학 장치, 광전 변환 장치, 반도체 회로, 및 전자 기기는 모두 반도체 장치이다. In addition, in this specification, a semiconductor device refers to the general apparatus which can function by using a semiconductor characteristic, and a display apparatus, an electro-optical device, a photoelectric conversion device, a semiconductor circuit, and an electronic device are all semiconductor devices.

전계 효과 트랜지스터의 일종으로서, 절연 표면을 갖는 기판 위에 형성된 반도체막을 사용하여 채널 영역이 형성되는 박막 트랜지스터가 알려져 있다. 박막 트랜지스터의 채널 영역에 사용되는 반도체막에, 비정질 실리콘, 미결정 실리콘 및 다결정 실리콘을 사용하는 기술이 개시되어 있다(특허 문헌 1 내지 5). 박막 트랜지스터의 대표적인 응용예는, 액정 텔레비전 장치이며, 표시 화면을 구성하는 각 화소의 스위칭 트랜지스터로서 실용화되어 있다. As a type of field effect transistor, a thin film transistor is known in which a channel region is formed using a semiconductor film formed on a substrate having an insulating surface. A technique using amorphous silicon, microcrystalline silicon, and polycrystalline silicon in a semiconductor film used in a channel region of a thin film transistor is disclosed (Patent Documents 1 to 5). A typical application example of a thin film transistor is a liquid crystal television device, and has been put into practical use as a switching transistor of each pixel constituting a display screen.

또한, 플라즈마 CVD법에 의해 제작 가능한 결정계 실리콘으로서 미결정 실리콘을, 광전 변환을 행하는 반도체막에 사용한 광전 변환 장치의 개발이 진척되고 있다(특허 문헌 6).Further, development of a photoelectric conversion device using microcrystalline silicon as a crystalline silicon that can be produced by the plasma CVD method in a semiconductor film for performing photoelectric conversion has been advanced (Patent Document 6).

일본 공개특허공보 2001-053283호Japanese Unexamined Patent Publication No. 2001-053283 일본 공개특허공보 제(평)5-129608호Japanese Patent Laid-Open No. 5-129608 일본 공개특허공보 2005-049832호Japanese Laid-Open Patent Publication 2005-049832 일본 공개특허공보 제(평)7-131030호Japanese Patent Application Laid-Open No. 7-131030 일본 공개특허공보 2005-191546호Japanese Laid-Open Patent Publication 2005-191546 일본 공개특허공보 2000-277439호Japanese Laid-Open Patent Publication 2000-277439

비정질 실리콘막을 사용하여 채널 영역이 형성되는 박막 트랜지스터는, 전계 효과 이동도 및 온 전류가 낮은 문제가 있다. 한편, 미결정 실리콘막을 사용하여 채널 영역이 형성되는 박막 트랜지스터는, 비정질 실리콘막으로 채널 영역이 형성되는 박막 트랜지스터와 비교하여, 전계 효과 이동도는 향상되지만 오프 전류가 높아져 버려 충분한 스위칭 특성이 얻어지지 않는 문제가 있다. A thin film transistor in which a channel region is formed using an amorphous silicon film has a problem of low field effect mobility and on current. On the other hand, a thin film transistor in which a channel region is formed using a microcrystalline silicon film has an improved field effect mobility but a high off current, and thus sufficient switching characteristics are not obtained, compared with a thin film transistor in which a channel region is formed of an amorphous silicon film. there is a problem.

다결정 실리콘막이 채널 영역이 되는 박막 트랜지스터는, 상기 2종류의 박막 트랜지스터보다도 전계 효과 이동도가 훨씬 높고, 높은 온 전류가 얻어지는 특성이 있다. 이 박막 트랜지스터는 그 특성에 의해 화소에 형성되는 스위칭용 트랜지스터로서 사용할 수 있는 것 외에, 고속 동작이 요구되는 드라이버 회로도 구성할 수 있다. The thin film transistor, in which the polycrystalline silicon film is a channel region, has much higher field effect mobility than the two types of thin film transistors, and has a characteristic of obtaining a high on-current. This thin film transistor can be used as a switching transistor formed in a pixel due to its characteristics, and can also constitute a driver circuit requiring high-speed operation.

그러나 다결정 실리콘막을 사용하여 채널 영역이 형성되는 박막 트랜지스터의 제작 공정은, 비정질 실리콘막을 사용하여 채널 영역이 형성되는 박막 트랜지스터를 제작하는 경우에 비해, 반도체막의 결정화 공정이 필요해져 제조 비용이 증대되는 것이 문제가 되고 있다. 예를 들면, 다결정 실리콘막의 제조를 위해 필요한 레이저 어닐 기술은, 레이저 빔의 조사 면적이 작아 대화면의 액정 패널을 효율적으로 생산할 수 없는 것과 같은 문제가 있다. However, the manufacturing process of the thin film transistor in which the channel region is formed using the polycrystalline silicon film is more expensive than the case of manufacturing the thin film transistor in which the channel region is formed using the amorphous silicon film. It is a problem. For example, the laser annealing technique required for the production of a polycrystalline silicon film has a problem such that the irradiation area of the laser beam is small and the liquid crystal panel of the large screen cannot be produced efficiently.

그런데 표시 패널의 제조에 사용되고 있는 유리 기판은, 제 3 세대(550mm×650mm), 제 3.5 세대(600mm×720mm, 또는 620mm×750mm), 제 4 세대(680mm×880mm, 또는 730mm×920mm), 제 5 세대(1100mm×1300mm), 제 6 세대(1500mm×1850mm), 제 7 세대(1870mm×2200mm), 제 8 세대(2200mm×2400mm), 제 9 세대(2400mm×2800mm), 제 10 세대(2950mm×3400mm)로 대면적화가 진행되고 있다. 유리 기판의 대형화는 최소 생산 원가 설계 사상에 기초하고 있다. By the way, the glass substrate used for manufacture of a display panel is 3rd generation (550 mm x 650 mm), 3.5th generation (600 mm x 720 mm, or 620 mm x 750 mm), 4th generation (680 mm x 880 mm, or 730 mm x 920 mm), 5th generation (1100mm × 1300mm), 6th generation (1500mm × 1850mm), 7th generation (1870mm × 2200mm), 8th generation (2200mm × 2400mm), 9th generation (2400mm × 2800mm), 10th generation (2950mm × 3400mm) is getting bigger. The enlargement of glass substrates is based on the minimum production cost design idea.

이것에 대해, 제 10 세대(2950mm×3400mm)에서와 같은 대면적의 마더 글래스 기판에, 고속 동작이 가능한 박막 트랜지스터를 생산성 양호하게 제조할 수 있는 기술은 여전히 확립되어 있지 않아 그것이 산업계의 문제가 되고 있다. On the other hand, in the large-area mother glass substrate as in the tenth generation (2950 mm x 3400 mm), the technology for producing a high-performance thin film transistor with high productivity is still not established, which is an industry problem. have.

그래서 본 발명의 일 형태는, 전기 특성이 우수한 반도체 장치를, 생산성 높게 제작하는 방법을 제공하는 것을 과제로 한다. Then, one aspect of this invention makes it a subject to provide the method of manufacturing the semiconductor device which is excellent in electrical characteristics, with high productivity.

본 발명의 일 형태는 제 1 조건에 의해, 높은 결정성의 혼상립(混相粒)을 낮은 입자 밀도로 갖는 종결정을 형성한 후, 제 2 조건에 의해 종결정의 혼상립을 성장시켜 혼상립의 간극을 메우도록, 종결정 위에 미결정 반도체막을 적층 형성하는 것을 요지로 한다. According to one embodiment of the present invention, after forming seed crystals having high crystallinity interphase grains at a low particle density under the first conditions, the interphase grains of seed crystals are grown under the second condition to form a gap of the interphase grains. In order to fill the gap, a microcrystalline semiconductor film is laminated on the seed crystal.

높은 결정성의 혼상립을 낮은 입자 밀도로 제공하는 제 1 조건은, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소의 유량을 50배 이상 1000배 이하로 하여 퇴적성 기체를 희석하고, 또한 처리실 내의 압력을 1333Pa보다 크고 13332Pa 이하로 하는 조건이다. 혼상립을 성장시켜 혼상립의 간극을 메우는 제 2 조건은, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소의 유량을 100배 이상 2000배 이하로 하여 퇴적성 기체를 희석하고, 또한 처리실 내의 압력을 1333Pa 이상 13332Pa 이하로 하는 조건이다. The first condition for providing a high crystalline mixed phase grain at a low particle density is that the flow rate of hydrogen is 50 times or more and 1000 times or less with respect to the flow rate of the deposition gas containing silicon or germanium, further diluting the deposition gas. It is a condition which makes the pressure in a process chamber more than 1333 Pa and 13332 Pa or less. The second condition in which the mixed phase is grown to fill the gap between the mixed phases is to dilute the deposited gas with a flow rate of hydrogen of 100 to 2000 times or less relative to the flow rate of the deposition gas containing silicon or germanium, and further, the process chamber. It is a condition which makes internal pressure 1333 Pa or more and 13332 Pa or less.

본 발명의 일 형태는, 제 1 조건에 의해 비정질 실리콘 영역과, 단결정으로 간주할 수 있는 미소 결정인 결정자를 포함하는 혼상립을 갖는 종결정을 플라즈마 CVD법으로 형성하고, 종결정 위에, 제 2 조건에 의해 미결정 반도체막을 플라즈마 CVD법으로 형성하는 제작 방법으로서, 제 1 조건은 처리실 내에 공급하는 원료 가스로서 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와 수소가 포함된 가스를 사용하고, 퇴적성 기체의 유량에 대한 수소의 유량을 50배 이상 1000배 이하로 하여 퇴적성 기체를 희석하고, 또한 처리실 내의 압력을 1333Pa보다 크고 13332Pa 이하로 하는 조건이다. 또한, 제 2 조건은 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소의 유량을 100배 이상 2000배 이하로 하여 퇴적성 기체를 희석하고, 또한 처리실 내의 압력을 1333Pa 이상 13332Pa 이하로 하는 조건인 것을 특징으로 한다.In one embodiment of the present invention, a seed crystal having a mixed phase including an amorphous silicon region and crystallites that are microcrystals that can be regarded as a single crystal under the first conditions is formed by plasma CVD, and the second crystal is placed on the second crystal. A manufacturing method for forming a microcrystalline semiconductor film under the conditions by plasma CVD method, wherein the first condition uses a deposition gas containing silicon or germanium and a gas containing hydrogen as a source gas supplied into a processing chamber. Dilution gas is diluted with the flow rate of hydrogen 50 times or more and 1000 times or less with respect to flow volume, and the pressure in a process chamber is larger than 1333 Pa and is 13332 Pa or less. The second condition is a condition in which the flow rate of hydrogen is 100 times or more and 2000 times or less to dilute the deposition gas with respect to the flow rate of the deposition gas containing silicon or germanium, and the pressure in the processing chamber is 1333 Pa or more and 13332 Pa or less. It is characterized by that.

또한, 종결정은 혼상립이 분산된 상태나, 혼상립이 연속된 상태(즉, 막상)를 포함한다. 또한, 플라즈마의 파워는 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소 유량의 비에 맞추어 적절히 선택하는 것이 바람직하다. In addition, the seed crystal includes a state in which the mixed phase grains are dispersed or a state in which the mixed phase grains are continuous (that is, the film phase). In addition, the power of the plasma is preferably appropriately selected in accordance with the ratio of the flow rate of hydrogen to the flow rate of the deposition gas containing silicon or germanium.

또한, 본 발명의 일 형태에 있어서, 상기 제 2 조건에 의해 미결정 반도체막을 형성한 후에, 미결정 반도체막 위에 제 3 조건에 의해 제 2 미결정 반도체막을 플라즈마 CVD법에 의해 형성하는 것이며, 제 3 조건은 처리실 내에 공급하는 원료 가스로서 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와 수소가 포함된 가스를 사용하고, 퇴적성 기체의 유량에 대한 수소 유량의 비를 상기 제 2 조건보다 높게 하여 퇴적성 기체를 희석하고, 또한 처리실 내의 압력을 1333Pa 이상 13332Pa 이하로 하는 조건인 것도 가능하다. In one embodiment of the present invention, after the microcrystalline semiconductor film is formed under the second condition, the second microcrystalline semiconductor film is formed under the third condition on the microcrystalline semiconductor film by plasma CVD. As the source gas supplied into the processing chamber, a deposition gas containing silicon or germanium and a gas containing hydrogen are used, and the deposition gas is diluted by setting the ratio of the hydrogen flow rate to the flow rate of the deposition gas higher than the second condition. In addition, the pressure in the processing chamber may be 1333 Pa or more and 13332 Pa or less.

또한, 본 발명의 일 형태에 있어서, 상기 제 1 조건, 상기 제 2 조건 및 상기 제 3 조건의 적어도 하나에 사용되는 원료 가스에 희가스를 첨가하는 것도 가능하다. Furthermore, in one embodiment of the present invention, it is also possible to add a rare gas to the source gas used for at least one of the first, second and third conditions.

본 발명의 일 형태는, 제 1 조건에 의해, 높은 결정성의 혼상립을 낮은 입자 밀도로 갖는 종결정을 절연막 위에 플라즈마 CVD법에 의해 형성하고, 제 2 조건에 의해 종결정의 혼상립을 성장시켜 혼상립의 간극을 메움으로써 미결정 반도체막을 플라즈마 CVD법에 의해 형성하는 것이다. According to one embodiment of the present invention, a seed crystal having a high crystalline mixed phase grain having a low particle density is formed on an insulating film by a plasma CVD method, and the mixed phase grain of the seed crystal is grown under a second condition under mixed conditions. The microcrystalline semiconductor film is formed by the plasma CVD method by filling the gap between the ribs.

또한, 본 발명의 일 형태는, 상기 적층된 종결정 및 미결정 반도체막을 사용하여 채널 영역을 형성하는 박막 트랜지스터를 갖는 반도체 장치의 제작 방법이다. Moreover, one aspect of this invention is a manufacturing method of the semiconductor device which has a thin film transistor which forms a channel region using the said laminated seed crystal and a microcrystalline semiconductor film.

또한, 본 발명의 일 형태는, 상기 적층된 종결정 및 미결정 반도체막을, p형을 나타내는 반도체막, n형을 나타내는 반도체막, 및 광전 변환을 행하는 반도체막의 1 이상에 사용한 광전 변환 장치의 제작 방법이다. Moreover, 1 aspect of this invention is the manufacturing method of the photoelectric conversion apparatus which used the laminated seed crystal and the microcrystalline semiconductor film as one or more of the semiconductor film which shows p-type, the semiconductor film which shows n-type, and the semiconductor film which performs photoelectric conversion. to be.

본 발명의 일 형태를 적용함으로써, 결정성이 높은 미결정 반도체막을 제작할 수 있다. 또한, 전기 특성이 우수한 반도체 장치를, 생산성 높게 제작할 수 있다. By applying one embodiment of the present invention, a microcrystalline semiconductor film having high crystallinity can be produced. In addition, a semiconductor device excellent in electrical characteristics can be manufactured with high productivity.

도 1은 본 발명의 일 실시 형태에 따르는 미결정 반도체막의 제작 방법을 설명하는 단면도.
도 2는 본 발명의 일 실시 형태에 따르는 미결정 반도체막의 제작 방법을 설명하는 단면도.
도 3은 본 발명의 일 실시 형태에 따르는 반도체 장치의 제작 방법을 설명하는 단면도.
도 4는 본 발명의 일 실시 형태에 따르는 반도체 장치의 제작 방법을 설명하는 단면도.
도 5는 본 발명의 일 실시 형태에 따르는 반도체 장치의 제작 방법을 설명하는 단면도.
도 6은 본 발명의 일 실시 형태에 따르는 반도체 장치의 제작 방법을 설명하는 상면도.
도 7은 본 발명의 일 실시 형태에 따르는 반도체 장치의 제작 방법을 설명하는 단면도.
도 8은 본 발명의 일 실시 형태에 따르는 반도체 장치의 제작 방법을 설명하는 단면도.
도 9는 광전 변환 장치의 제작 방법의 일 형태를 설명하는 단면도.
도 10은 전자 서적의 일례를 도시하는 사시도.
도 11은 텔레비전 장치 및 디지털 포토프레임의 예를 도시하는 사시도.
도 12는 휴대형 컴퓨터의 일례를 도시하는 사시도.
도 13은 박막 트랜지스터의 전류 전압 특성을 설명하는 도면.
도 14는 미결정 실리콘막의 SEM 사진.
BRIEF DESCRIPTION OF THE DRAWINGS Sectional drawing explaining the manufacturing method of the microcrystalline semiconductor film which concerns on one Embodiment of this invention.
2 is a cross-sectional view illustrating a method for manufacturing a microcrystalline semiconductor film according to one embodiment of the present invention.
3 is a cross-sectional view illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention.
4 is a cross-sectional view illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention.
5 is a cross-sectional view illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention.
6 is a top view illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention.
7 is a cross-sectional view illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention.
8 is a cross-sectional view illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention.
9 is a cross-sectional view illustrating one embodiment of a method of manufacturing a photoelectric conversion device.
10 is a perspective view illustrating an example of an electronic book.
11 is a perspective view illustrating an example of a television device and a digital photoframe.
12 is a perspective view illustrating an example of a portable computer.
13 is a diagram illustrating current voltage characteristics of a thin film transistor;
14 is a SEM photograph of a microcrystalline silicon film.

본 발명의 실시 형태에 관해서, 도면을 참조하여 이하에 설명한다. 단, 본 발명은 이하의 설명에 한정되는 것이 아니다. 본 발명의 취지 및 그 범위에서 일탈하지 않고 그 형태 및 상세한 내용을 다양하게 변경할 수 있는 것은, 당업자라면 용이하게 이해되기 때문이다. 따라서, 본 발명은 이하에 나타내는 실시 형태 및 실시예의 기재 내용으로만 한정하여 해석되는 것이 아니다. 또한, 도면을 사용하여 본 발명의 구성을 설명함에 있어서, 동일한 것을 가리키는 부호는 상이한 도면간에도 공통적으로 사용한다. EMBODIMENT OF THE INVENTION Embodiment of this invention is described below with reference to drawings. However, this invention is not limited to the following description. It is because those skilled in the art can easily change the form and details without departing from the spirit and scope of the present invention. Therefore, this invention is not limited only to the description content of embodiment and Example shown below. In addition, in describing the structure of this invention using drawing, the code | symbol which shows the same thing is common also between different drawings.

(실시 형태 1)(Embodiment 1)

본 실시 형태에서는, 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막의 제작 방법에 관해서, 도 1 및 도 2를 사용하여 설명한다. In this embodiment, the manufacturing method of the microcrystalline semiconductor film which improved crystallinity by reducing the clearance gap of a mixed phase is demonstrated using FIG. 1 and FIG.

도 1a에 도시하는 바와 같이, 기판(51) 위에 절연막(55)을 형성하고, 절연막(55) 위에 종결정(57)을 형성한다. As shown in FIG. 1A, an insulating film 55 is formed on the substrate 51, and a seed crystal 57 is formed on the insulating film 55.

기판(51)으로서는, 유리 기판, 세라믹 기판 이외에, 본 제작 공정의 처리 온도를 견딜 수 있을 정도의 내열성을 갖는 플라스틱 기판 등을 사용할 수 있다. 또한, 기판에 투광성을 요하지 않는 경우에는, 스테인리스 등의 금속 기판의 표면에 절연막을 형성한 것을 사용해도 좋다. 유리 기판으로서는, 예를 들면, 바륨보로실리케이트 유리, 알루미노보로실리케이트 유리 또는 알루미노규산 유리 등의 무알칼리 유리 기판을 사용해도 좋다. 또한, 기판(51)의 사이즈에 한정은 없으며, 예를 들면 상기의 플랫 패널 디스플레이 분야에서 자주 사용되는 제 3 세대 내지 제 10 세대의 유리 기판을 사용할 수 있다. As the board | substrate 51, the plastic board etc. which have heat resistance of the grade which can endure the processing temperature of this manufacturing process other than a glass substrate and a ceramic substrate can be used. In addition, when translucency is not required for a board | substrate, you may use the thing in which the insulating film was formed in the surface of metal substrates, such as stainless steel. As a glass substrate, you may use alkali-free glass substrates, such as barium borosilicate glass, aluminoborosilicate glass, or aluminosilicate glass, for example. In addition, the size of the substrate 51 is not limited, and for example, glass substrates of third to tenth generations that are frequently used in the flat panel display field may be used.

절연막(55)은 CVD법 또는 스퍼터링법 등을 사용하여, 산화실리콘막, 산화질화실리콘막, 질화실리콘막, 질화산화실리콘막, 산화알루미늄막, 질화알루미늄막, 산화질화알루미늄막, 또는 질화산화알루미늄막을, 단층으로 또는 적층하여 형성할 수 있다. The insulating film 55 is a silicon oxide film, a silicon oxynitride film, a silicon nitride film, a silicon nitride oxide film, an aluminum oxide film, an aluminum nitride film, an aluminum oxynitride film, or an aluminum nitride oxide using a CVD method or a sputtering method or the like. The films may be formed in a single layer or by lamination.

또한, 여기에서는, 산화질화실리콘이란, 그 조성으로서, 질소보다도 산소의 함유량이 많은 것으로서, 바람직하게는, 러더포드 후방 산란법(RBS: Rutherford Backscattering Spectrometry) 및 수소 전방 산란법(HFS: Hydrogen Forward Scattering Spectrometry)을 사용하여 측정한 경우에, 조성 범위로서 산소가 50 내지 70원자%, 질소가 0.5 내지 15원자%, 실리콘이 25 내지 35원자%, 수소가 0.1 내지 10원자%의 범위에서 포함되는 것을 말한다. 또한, 질화산화실리콘이란, 그 조성으로서, 산소보다도 질소의 함유량이 많은 것이며, 바람직하게는, RBS 및 HFS를 사용하여 측정한 경우에, 조성 범위로서 산소가 5 내지 30원자%, 질소가 20 내지 55원자%, 실리콘이 25 내지 35원자%, 수소가 10 내지 30원자%의 범위에서 포함되는 것을 말한다. 단, 산화질화실리콘 또는 질화산화실리콘을 구성하는 원자의 합계를 100원자%라고 했을 때, 질소, 산소, 실리콘 및 수소의 함유 비율이 상기의 범위 내에 포함되는 것으로 한다. In this case, the silicon oxynitride has a higher oxygen content than nitrogen as its composition, and preferably, Rutherford Backscattering Spectrometry (RBS) and Hydrogen Forward Scattering (HFS) When measured using spectrometry), the composition ranges from 50 to 70 atomic% oxygen, 0.5 to 15 atomic% nitrogen, 25 to 35 atomic% silicon, and 0.1 to 10 atomic% hydrogen. Say. In addition, silicon nitride oxide is a composition whose content of nitrogen is larger than oxygen, Preferably, when measured using RBS and HFS, oxygen is 5-30 atomic% and nitrogen is 20-20 as a composition range. It means that it is contained in the range of 55 atomic%, 25 to 35 atomic% of silicon, and 10 to 30 atomic% of hydrogen. However, when the sum total of the atoms which comprise silicon oxynitride or silicon oxynitride is 100 atomic%, the content rate of nitrogen, oxygen, silicon, and hydrogen shall be included in the said range.

종결정(57)으로서는, 미결정 반도체막, 대표적으로는, 미결정 실리콘막, 미결정 실리콘게르마늄막, 미결정 게르마늄막 등을 사용하여 형성한다. 종결정(57)은 복수의 혼상립이 분산된 상태, 혼상립이 연속된 막의 상태, 또는 혼상립 및 비정질 반도체가 연속된 막의 상태를 포함한다. 이로 인해, 종결정(57)은 혼상립(57a)이 인접하지 않고, 혼상립(57a) 사이에 간극(57b)을 갖는 것도 포함된다. 또한, 높은 결정성의 혼상립을 낮은 입자 밀도(면내에 있어서의 혼상립의 존재 비율)로 갖는 것을 특징으로 한다. 또한, 혼상립은 비정질 실리콘 영역과, 단결정으로 간주할 수 있는 미소 결정인 결정자를 가진다. 또한, 혼상립은 쌍결정을 갖는 경우도 있다. As the seed crystal 57, a microcrystalline semiconductor film, typically, a microcrystalline silicon film, a microcrystalline silicon germanium film, a microcrystalline germanium film, or the like is formed. The seed crystals 57 include a state in which a plurality of interphase grains are dispersed, a state of a film in which interphase grains are continuous, or a state in a film in which interphase and amorphous semiconductors are continuous. For this reason, the seed crystal 57 also includes those in which the mixed phase grains 57a are not adjacent to each other, and have a gap 57b between the mixed phase grains 57a. Moreover, it is characterized by having high crystalline interphase grains at low particle density (abundance ratio of interphase grains in surface). In addition, the interphase has an amorphous silicon region and crystallites which are microcrystals that can be regarded as single crystals. In addition, a mixed phase may have a twin crystal.

종결정(57)은 플라즈마 CVD 장치의 반응실 내에 있어서, 높은 결정성의 혼상립을 낮은 입자 밀도로 형성하는 제 1 조건을 사용하고, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와, 수소를 혼합하고, 글로우 방전 플라즈마에 의해 형성한다. 또는, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와, 수소와, 헬륨, 아르곤, 네온, 크립톤, 크세논 등의 희가스를 혼합하고, 글로우 방전 플라즈마에 의해 형성한다. 여기에서는, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소의 유량을 50배 이상 1000배 이하로 하여 퇴적성 기체를 희석하고, 또한 처리실 내의 압력을 1333Pa보다 크고 13332Pa 이하(10Torr보다 크고 100Torr 이하)로 하는 제 1 조건에 의해, 미결정 실리콘, 미결정 실리콘게르마늄, 미결정 게르마늄 등을 형성한다. 이 때의 퇴적 온도는 실온 내지 300℃로 하는 것이 바람직하고, 보다 바람직하게는 150 내지 280℃로 한다. 또한, 상부 전극 및 하부 전극의 간격은, 플라즈마가 발생할 수 있는 간격으로 하면 된다. 제 1 조건을 사용하여 형성함으로써, 결정 성장이 촉진되어 종결정(57)에 포함되는 혼상립(57a)의 결정성이 높아진다. 즉, 종결정(57)에 포함되는 혼상립(57a)에 포함되는 결정자의 크기가 증대된다. 또한, 이웃하는 혼상립(57a) 사이에 간극(57b)이 생겨 혼상립(57a)의 입자 밀도가 저하된다. In the reaction chamber of the plasma CVD apparatus, the seed crystal 57 mixes hydrogen and a deposition gas containing silicon or germanium, using a first condition of forming a high crystalline mixed phase grain at a low particle density, It forms by glow discharge plasma. Alternatively, a deposition gas containing silicon or germanium, hydrogen, and rare gases such as helium, argon, neon, krypton, and xenon are mixed and formed by a glow discharge plasma. Here, the deposition gas is diluted with a flow rate of hydrogen of 50 to 1000 times or less relative to the flow rate of the deposition gas containing silicon or germanium, and the pressure in the processing chamber is greater than 1333 Pa and 13332 Pa or less (greater than 10 Torr and greater than 100 Torr). Microcrystalline silicon, microcrystalline silicon germanium, microcrystalline germanium, and the like are formed under the first conditions described below. The deposition temperature at this time is preferably room temperature to 300 ° C, more preferably 150 to 280 ° C. In addition, what is necessary is just to make the space | interval of an upper electrode and a lower electrode into the interval which a plasma can generate | occur | produce. By forming using the first condition, crystal growth is promoted and the crystallinity of the interphase grains 57a contained in the seed crystals 57 is increased. That is, the size of the crystallites included in the mixed phase grains 57a included in the seed crystals 57 is increased. In addition, a gap 57b is formed between neighboring mixed grains 57a, and the particle density of the mixed grains 57a is reduced.

실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 대표예로서는, SiH4, Si2H6, GeH4, Ge2H6 등이 있다. Representative examples of the deposition gas containing silicon or germanium include SiH 4 , Si 2 H 6 , GeH 4 , Ge 2 H 6, and the like.

종결정(57)의 원료 가스에, 헬륨, 네온, 아르곤, 크립톤, 크세논 등의 희가스를 첨가함으로써, 종결정(57)의 성막 속도가 높아진다. 이 결과, 성막 속도가 높아짐으로써, 종결정(57)에 혼입되는 불순물량이 저감되기 때문에, 종결정(57)의 결정성을 높일 수 있다. 또한, 종결정(57)의 원료 가스로서, 헬륨, 아르곤, 네온, 크립톤, 크세논 등의 희가스를 사용함으로써, 높은 파워를 공급하지 않아도 안정된 플라즈마를 발생시키는 것이 가능하기 때문에, 종결정(57)의 플라즈마 대미지를 저감하는 것이 가능하고 혼상립(57a)의 결정성을 높일 수 있다. The deposition rate of the seed crystals 57 is increased by adding rare gases such as helium, neon, argon, krypton, and xenon to the source gas of the seed crystals 57. As a result, since the deposition rate is increased, the amount of impurities mixed into the seed crystals 57 is reduced, so that the crystallinity of the seed crystals 57 can be improved. In addition, by using a rare gas such as helium, argon, neon, krypton, or xenon as the source gas of the seed crystal 57, it is possible to generate a stable plasma without supplying high power. Plasma damage can be reduced and the crystallinity of the mixed phase grains 57a can be improved.

종결정(57)을 형성할 때의 글로우 방전 플라즈마의 생성은, 3MHz 내지 30MHz, 대표적으로는 13.56MHz, 27.12MHz의 HF대의 고주파 전력, 또는 30MHz보다 크고 300MHz 정도까지의 VHF대의 고주파 전력, 대표적으로는, 60MHz를 인가함으로써 이루어진다. 또한, 1GHz 이상의 마이크로파의 고주파 전력을 인가함으로써 이루어진다. 또한, 고주파 전력이 펄스상으로 인가되는 펄스 발진이나, 연속적으로 인가되는 연속 발진으로 할 수 있다. 또한, HF대의 고주파 전력과, VHF대의 고주파 전력을 중첩시킴으로써, 대면적 기판에 있어서도 플라즈마의 얼룩을 저감시키고, 균일성을 높일 수 있는 동시에, 퇴적 속도를 높일 수 있다. The generation of the glow discharge plasma when the seed crystals 57 are formed is typically a high frequency power of HF band of 3 MHz to 30 MHz, typically 13.56 MHz and 27.12 MHz, or a high frequency power of VHF band of 30 MHz and up to about 300 MHz, typically Is achieved by applying 60 MHz. Further, this is achieved by applying high frequency power of microwaves of 1 GHz or more. Moreover, it can be set as pulse oscillation to which high frequency electric power is applied in pulse form, and continuous oscillation applied continuously. In addition, by superimposing the high frequency power of the HF band and the high frequency power of the VHF band, plasma unevenness can be reduced and uniformity can be increased even in a large area substrate, and the deposition speed can be increased.

상기의 제 1 조건과 같이 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소의 유량을 높게 함으로써, 종결정(57)의 퇴적과 동시에, 종결정(57)에 포함되는 비정질 반도체가 에칭되어 결정성이 높은 혼상립(57a)이 형성되는 동시에, 인접하는 혼상립(57a) 사이에 간극(57b)이 생긴다. 장치 구성 및 피막 표면의 화학 상태에 따라서 최적 조건은 상이하지만, 혼상립(57a)이 거의 퇴적되지 않으면, 상기 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소 유량의 비를 작게, 또는 RF 전력을 작게 하면 된다. 한편, 혼상립(57a)의 입자 밀도가 높은 경우, 또는 비정질 반도체 영역이 결정성 반도체 영역보다도 큰 경우는, 상기 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소 유량의 비를 크게, 또는 RF 전력을 크게 하면 된다. 종결정(57)의 퇴적 모양은 SEM(Scanning Electron Microscopy) 및 라만 분광법에 의해 평가할 수 있다. 상기 유량비 및 압력에 의해, 양호한 결정성을 가지고, 또한 혼상립의 바람직한 간극을 유지하는 종결정(57)을 형성할 수 있다. 이 결과, 종결정(57)에 포함되는 비정질 반도체 영역을 에칭하면서, 혼상립(57a)이 형성되기 때문에, 결정 성장이 촉진되어 혼상립(57a)의 결정성이 높아진다. 즉, 혼상립(57a)에 포함되는 결정자의 크기가 증대된다. 또한, 인접하는 혼상립(57a)간의 비정질 반도체 영역이 에칭되기 때문에, 혼상립(57a)은 서로 간극(57b)을 가지고 있고, 따라서 혼상립(57a)은 낮은 입자 밀도로 형성된다. 또한, 본 실시 형태에 있어서의 제 1 조건으로 종결정(57)을 형성하면, 혼상립의 입자 직경에는 불균일이 발생하는 경우가 있다. By increasing the flow rate of hydrogen with respect to the flow rate of the deposition gas containing silicon or germanium as in the first condition, the amorphous semiconductor included in the seed crystal 57 is etched at the same time as the deposition of the seed crystal 57. The crystal grains 57a having high crystallinity are formed, and the gap 57b is formed between the adjacent grains 57a. The optimum conditions vary depending on the device configuration and the chemical state of the coating surface, but if the mixed phase 57a hardly deposits, the ratio of the hydrogen flow rate to the flow rate of the deposition gas containing silicon or germanium is small, or RF The power can be reduced. On the other hand, when the particle density of the interphase grain 57a is high, or when the amorphous semiconductor region is larger than the crystalline semiconductor region, the ratio of the hydrogen flow rate to the flow rate of the deposition gas containing silicon or germanium is large, or This can be done by increasing the RF power. The deposited shape of the seed crystals 57 can be evaluated by scanning electron microscopy (SEM) and Raman spectroscopy. By the said flow ratio and pressure, the seed crystal 57 which has favorable crystallinity and maintains the preferable clearance of interphase grains can be formed. As a result, the mixed phase grains 57a are formed while etching the amorphous semiconductor region included in the seed crystals 57, so that crystal growth is promoted and the crystallinity of the mixed phase grains 57a is increased. That is, the size of the crystallites contained in the mixed grains 57a is increased. In addition, since the amorphous semiconductor region between adjacent mixed grains 57a is etched, the mixed grains 57a have a gap 57b from each other, and therefore the mixed grains 57a are formed at a low particle density. Moreover, when the seed crystal 57 is formed on the 1st conditions in this embodiment, a nonuniformity may arise in the particle diameter of a mixed phase grain.

또한, 종결정(57)을 형성하기 전에, CVD 장치의 처리실 내의 기체를 배기하면서, 처리실 내에 실리콘 또는 게르마늄을 포함하는 퇴적성 기체를 도입하여, 처리실 내의 불순물 원소를 제거함으로써, 종결정(57)에 있어서의 불순물량을 저감시키는 것이 가능하다. 또한, 종결정(57)을 형성하기 전에, 불소, 불화질소, 불화실란 등의 불소를 포함하는 분위기에서 플라즈마를 발생시켜 불소 플라즈마를 절연막(55)에 노출시킴으로써, 치밀한 종결정(57)을 형성할 수 있다. Further, before forming the seed crystal 57, the seed crystal 57 is removed by introducing a deposition gas containing silicon or germanium into the processing chamber and removing impurity elements in the processing chamber while exhausting the gas in the processing chamber of the CVD apparatus. It is possible to reduce the amount of impurities in the resin. In addition, before the seed crystals 57 are formed, a plasma is generated in an atmosphere containing fluorine such as fluorine, nitrogen fluoride, or fluoride fluoride to expose the fluorine plasma to the insulating film 55, thereby forming the dense seed crystals 57. can do.

다음에, 도 1b에 도시하는 바와 같이, 종결정(57) 위에 미결정 반도체막(59)을 형성한다. 미결정 반도체막(59)은 혼상립을 성장시켜 종결정(57)에 포함되는 혼상립의 간극을 메우는 조건으로 형성하는 것을 특징으로 한다. 또한, 미결정 반도체막(59)의 두께는, 30nm 이상 100nm 이하가 바람직하다. Next, as shown in FIG. 1B, a microcrystalline semiconductor film 59 is formed on the seed crystal 57. The microcrystalline semiconductor film 59 is formed under conditions that fill the gap between the mixed phase grains included in the seed crystals 57 by growing the mixed phase grains. In addition, the thickness of the microcrystalline semiconductor film 59 is preferably 30 nm or more and 100 nm or less.

미결정 반도체막(59)은 플라즈마 CVD 장치의 반응실 내에 있어서, 제 2 조건에 의해, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와 수소를 혼합하고, 글로우 방전 플라즈마에 의해 형성한다. 또는, 제 2 조건의 원료 가스에, 헬륨, 네온, 아르곤, 크립톤, 크세논 등의 희가스를 혼합하고, 글로우 방전 플라즈마에 의해 형성한다. In the reaction chamber of the plasma CVD apparatus, the microcrystalline semiconductor film 59 is formed of a glow discharge plasma by mixing a deposition gas containing silicon or germanium with hydrogen under a second condition. Or rare gas, such as helium, neon, argon, krypton, xenon, is mixed with the source gas of 2nd conditions, and it forms by glow discharge plasma.

상기 제 2 조건에 의해, 미결정 실리콘, 미결정 실리콘게르마늄, 미결정 게르마늄 등을 형성한다. 이 결과, 미결정 반도체막(59)은 비정질 반도체에 대한 결정 영역의 비율이 증가하는 동시에, 결정 영역 사이가 밀접해져 결정성이 높아진다. 이 때의 퇴적 온도는 실온 내지 300℃로 하는 것이 바람직하고, 보다 바람직하게는 150 내지 280℃로 한다. 또한, 상부 전극 및 하부 전극의 간격은, 플라즈마가 발생할 수 있는 간격으로 하면 된다.By the second condition, microcrystalline silicon, microcrystalline silicon germanium, microcrystalline germanium and the like are formed. As a result, in the microcrystalline semiconductor film 59, the ratio of the crystalline region to the amorphous semiconductor increases, and the crystalline regions are in close contact with each other, thereby increasing the crystallinity. The deposition temperature at this time is preferably room temperature to 300 ° C, more preferably 150 to 280 ° C. In addition, what is necessary is just to make the space | interval of an upper electrode and a lower electrode into the interval which a plasma can generate | occur | produce.

미결정 반도체막(59)을 형성할 때, 글로우 방전 플라즈마의 생성은, 종결정(57)의 조건을 적절히 사용할 수 있다. 또한, 종결정(57) 및 미결정 반도체막(59)의 글로우 방전 플라즈마의 생성은, 동일한 조건으로 행함으로써 스루풋을 향상시킬 수 있지만, 상이해도 좋다.When forming the microcrystalline semiconductor film 59, the generation of the glow discharge plasma can suitably use the conditions of the seed crystal 57. In addition, although the throughput can be improved by generating the glow discharge plasma of the seed crystal 57 and the microcrystalline semiconductor film 59 under the same conditions, the throughput may be different.

미결정 반도체막(59)은 종결정(57)의 혼상립을 결정 성장시켜 혼상립의 간극을 메우는 제 2 조건으로 형성된다. 대표적으로는, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소의 유량을 100배 이상 2000배 이하로 하여 퇴적성 기체를 희석시키고, 또한 처리실 내의 압력을 1333Pa 이상 13332Pa 이하(10Torr 이상 100Torr 이하)로 한다. 상기 조건으로 하면, 처리실 내의 압력이 높기 때문에, 퇴적성 기체의 평균 자유 행정(mean free path)이 짧아 플라즈마 이온의 에너지가 낮아져 미결정 반도체막(59)의 피복성이 향상되는 동시에, 미결정 반도체막(59)에 대한 이온 대미지가 저감되어 결함 저감에 기여한다. 또한, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 희석비가 높고, 수소 라디칼의 생성량이 증가하기 때문에, 비정질 반도체 영역을 에칭하면서, 혼상립(57a)에 포함되는 결정자를 종결정으로 하여 결정 성장한다. 이 결과, 미결정 반도체막(59)은 비정질 반도체 영역에 대한 결정 영역의 비율이 증가하여, 결정성이 높아진다. 또한, 미결정 반도체막(59)의 결함 저감에 기여한다. The microcrystalline semiconductor film 59 is formed under a second condition in which the mixed grains of the seed crystals 57 are grown to fill the gap between the mixed grains. Typically, the deposition gas is diluted with a flow rate of hydrogen of 100 to 2,000 times with respect to the flow rate of the deposition gas containing silicon or germanium, and the pressure in the processing chamber is 1333 Pa or more and 13332 Pa or less (10 Torr or more and 100 Torr or less). ) Under the above conditions, since the pressure in the processing chamber is high, the mean free path of the deposition gas is short, the energy of plasma ions is lowered, and the coverage of the microcrystalline semiconductor film 59 is improved, and the microcrystalline semiconductor film ( Ion damage to 59) is reduced, contributing to defect reduction. In addition, since the dilution ratio of the deposition gas containing silicon or germanium is high, and the amount of hydrogen radicals is increased, crystal growth is carried out by crystallizing the crystal grains contained in the mixed phase 57a while etching the amorphous semiconductor region. As a result, the ratio of the crystalline region to the amorphous semiconductor region of the microcrystalline semiconductor film 59 increases, resulting in high crystallinity. It also contributes to defect reduction of the microcrystalline semiconductor film 59.

또한, 종결정의 혼상립의 간극에, 새롭게 미결정 반도체막의 혼상립이 발생함으로써, 혼상립의 크기가 작아져 버리기 때문에, 종결정의 혼상립의 발생 빈도에 대해, 미결정 반도체막의 혼상립의 발생 빈도는 적은 편이 바람직하다. 이 결과, 종결정의 혼상립을 종결정으로 하고, 상기 종결정으로부터의 결정 성장을 우선시킬 수 있다. In addition, since the interphase grains of the microcrystalline semiconductor film are newly generated in the interstitial grains of the seed crystals, the size of the interphase grains decreases, so that the incidence of the interphase grains of the microcrystalline semiconductor film is small with respect to the frequency of occurrence of the interphase grains of the seed crystals. It is more preferable. As a result, the mixed crystal of seed crystals can be used as seed crystals, and crystal growth from the seed crystals can be given priority.

이 때, 미결정 반도체막(59)은 종결정(57)의 혼상립(57a)에 포함되는 결정자를 종결정으로 하여 결정 성장한다. 또한, 미결정 반도체막(59)의 혼상립의 크기는, 종결정(57)의 혼상립(57a)의 간격에 의존한다. 이로 인해, 종결정(57)의 혼상립(57a)의 입자 밀도가 낮으면, 혼상립(57a)의 간격이 넓어지기 때문에, 미결정 반도체막(59)의 혼상립의 결정 성장 거리가 길어져 혼상립의 대입경화가 가능하다. At this time, the microcrystalline semiconductor film 59 grows crystals by using the crystallites included in the interphase grain 57a of the seed crystals 57 as seed crystals. The size of the mixed grains of the microcrystalline semiconductor film 59 depends on the spacing of the mixed grains 57a of the seed crystals 57. For this reason, when the grain density of the interphase grain 57a of the seed crystal 57 is low, the space | interval of the interphase grain 57a becomes large, and the crystal growth distance of the interphase grain of the microcrystalline semiconductor film 59 becomes long, and the interphase grain Large particle size is possible.

이상의 공정에 의해, 결정성이 높은 미결정 반도체막을 형성할 수 있다. By the above process, a microcrystalline semiconductor film with high crystallinity can be formed.

또한, 제 1 조건의 압력보다 제 2 조건의 압력이 높아도 좋다. 또는, 제 2 조건보다 제 1 조건의 압력이 높아도 좋다. 또는, 제 1 조건 및 제 2 조건의 압력은 동일해도 좋다. 제 1 조건의 압력이 제 2 조건의 압력 이하이면, 기판면 내에 있어서의 종결정(57) 분포의 균일성이 높아지기 때문에 바람직하다. 또한, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체에 대한 수소의 유량비에 있어서, 제 1 조건이 제 2 조건보다 낮으면, 혼상립의 대입경화가 가능하도록 종결정(57)이 퇴적되기 쉬워지기 때문에 바람직하다.In addition, the pressure of the second condition may be higher than the pressure of the first condition. Alternatively, the pressure of the first condition may be higher than the second condition. Alternatively, the pressures of the first condition and the second condition may be the same. If the pressure of a 1st condition is below the pressure of a 2nd condition, since the uniformity of distribution of the seed crystal 57 in a board | substrate surface becomes high, it is preferable. In addition, in the flow rate ratio of hydrogen to the deposition gas containing silicon or germanium, when the first condition is lower than the second condition, the seed crystals 57 tend to be deposited so that large grain size of the mixed phase grains is possible. Do.

또한, 제 2 조건에 있어서, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와 수소의 유량비를 주기적으로 증감시켜도 좋다. 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와, 수소의 유량비를 주기적으로 증감시킨다란, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체 또는 수소의 유량을 주기적으로 증감시키는 것이다. Further, under the second condition, the flow rate ratio of the deposition gas containing silicon or germanium and hydrogen may be periodically increased or decreased. By periodically increasing or decreasing the flow rate ratio of the deposition gas containing silicon or germanium and hydrogen, the flow rate of the deposition gas or hydrogen containing silicon or germanium is periodically increased or decreased.

실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량이 적은 기간에서는, 처리실 내의 압력을 1333Pa 이상 13332Pa 이하(10Torr 이상 100Torr 이하)로 하면 처리실 내의 압력이 높기 때문에, 플라즈마 중에서 분해된 수소 라디칼이, 제 1 조건으로 형성한 종결정(57)에 포함되는 비정질 반도체를 선택적으로 에칭한다. 또한, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체로부터 생성되는 약간의 라디칼(대표적으로는, 실릴라디칼)이 퇴적 표면의 미결정 반도체의 댕글링 본드에 결합하기 때문에, 결정성이 높은 결정 성장이 약간 일어난다. 즉, 에칭과 함께, 결정 성장이 일어나기 때문에, 미결정 반도체막의 결정성이 높아진다. In a period where the flow rate of the deposition gas containing silicon or germanium is small, when the pressure in the processing chamber is 1333 Pa or more and 13332 Pa or less (10 Torr or more and 100 Torr or less), since the pressure in the processing room is high, the hydrogen radicals decomposed in the plasma are subjected to the first condition. The amorphous semiconductor contained in the seed crystals 57 formed by etching is selectively etched. In addition, since some radicals (typically silyl radicals) generated from the deposition gas containing silicon or germanium bind to the dangling bonds of the microcrystalline semiconductors on the deposition surface, some high crystallinity crystal growth occurs. That is, since crystal growth occurs with etching, the crystallinity of the microcrystalline semiconductor film is increased.

즉, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체에 대한 수소의 유량비가 높은 경우는, 비정질 반도체의 에칭이 우선적으로 일어나는 동시에, 결정성이 높은 결정 성장이 일어나기 때문에, 미결정 반도체막의 결정성이 높아진다. That is, when the flow rate ratio of hydrogen to the deposition gas containing silicon or germanium is high, etching of the amorphous semiconductor occurs preferentially and crystal growth with high crystallinity occurs, resulting in high crystallinity of the microcrystalline semiconductor film.

또한, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량이 많은 기간에서는, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량이 적은 기간과 비교하여, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체로부터 생성되는 라디칼이 다수존재하기 때문에, 결정 성장이 일어난다. 미결정 반도체막은 복수의 혼상립으로 형성되지만, 본 실시 형태에 나타내는 미결정 반도체막의 형성 방법에 의해, 혼상립의 결정자의 크기를 크게 하는 것이 가능하기 때문에, 미결정 반도체막의 결정성을 높일 수 있다. Also, in a period where the flow rate of the deposition gas containing silicon or germanium is high, compared with the period where the flow rate of the deposition gas containing silicon or germanium is low, radicals generated from the deposition gas containing silicon or germanium are reduced. Since there are many, crystal growth occurs. Although the microcrystalline semiconductor film is formed of a plurality of interphase grains, the crystallinity of the microcrystalline semiconductor film can be improved because the crystallite size of the interphase grains can be increased by the method of forming the microcrystalline semiconductor film shown in this embodiment.

즉, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체에 대한 수소의 유량비가 낮은 경우, 대표적으로는 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소의 유량을 100배 이상 2000배 이하로 함으로써, 미결정 반도체의 결정 성장이 우선적으로 일어난다. In other words, when the flow rate ratio of hydrogen to the deposition gas containing silicon or germanium is low, typically, the flow rate of hydrogen relative to the flow rate of the deposition gas containing silicon or germanium is 100 times or more and 2000 times or less, thereby undetermined. Crystal growth of semiconductors occurs first.

이상의 공정에 의해, 미결정 반도체막의 결정성을 보다 높일 수 있다. By the above process, the crystallinity of a microcrystalline semiconductor film can be improved more.

또한, 종결정(57)의 두께는 1nm 이상 10nm 이하가 바람직하다. 종결정(57)의 두께가 10nm보다 두꺼우면, 미결정 반도체막(59)이 퇴적되어도, 혼상립의 간극을 메우는 것이 곤란해지는 동시에, 종결정(57)의 내부에 포함되는 비정질 반도체의 에칭이 곤란해지고, 종결정(57) 및 미결정 반도체막(59)의 결정성이 저감된다. 한편, 종결정(57)은 혼상립이 형성될 필요가 있기 때문에, 종결정(57)의 두께는 1nm 이상인 것이 바람직하다. In addition, the thickness of the seed crystals 57 is preferably 1 nm or more and 10 nm or less. When the thickness of the seed crystal 57 is thicker than 10 nm, even when the microcrystalline semiconductor film 59 is deposited, it becomes difficult to fill the gap of the mixed grains, and it is difficult to etch the amorphous semiconductor contained in the seed crystal 57. The crystallinity of the seed crystals 57 and the microcrystalline semiconductor film 59 is reduced. On the other hand, since the seed crystals 57 need to form mixed phase grains, the thickness of the seed crystals 57 is preferably 1 nm or more.

또한, 미결정 반도체막(59)의 두께는, 30nm 이상 100nm 이하가 바람직하다. 미결정 반도체막(59)의 두께를 30nm 이상으로 함으로써, 박막 트랜지스터의 전기 특성의 불균일을 저감시킬 수 있다. 또한, 미결정 반도체막(59)의 두께를 100nm 이하로 함으로써, 스루풋을 향상시키는 동시에 응력에 의한 막 박리를 억제할 수 있다. In addition, the thickness of the microcrystalline semiconductor film 59 is preferably 30 nm or more and 100 nm or less. By setting the thickness of the microcrystalline semiconductor film 59 to 30 nm or more, the nonuniformity of the electrical characteristics of the thin film transistor can be reduced. In addition, by setting the thickness of the microcrystalline semiconductor film 59 to 100 nm or less, throughput can be improved and film peeling due to stress can be suppressed.

종결정(57) 및 미결정 반도체막(59)은 미결정 반도체로 형성된다. 미결정 반도체란, 비정질과 결정 구조(단결정, 다결정을 포함)의 중간적인 구조의 반도체이다. 미결정 반도체는 자유 에너지적으로 안정된 제 3 상태를 갖는 반도체로서, 단거리 질서를 가지며 격자 왜곡을 갖는 결정질의 반도체이며, 입자 직경이 2nm 이상 200nm 이하, 바람직하게는 10nm 이상 80nm 이하, 보다 바람직하게는 20nm 이상 50nm 이하의 기둥상 또는 바늘상의 혼상립이 기판 표면에 대해 법선 방향으로 성장하고 있다. 이로 인해, 기둥상 또는 바늘상의 혼상립의 계면에는, 입계가 형성되는 경우도 있다. 또한, 여기에서의 결정 입자 직경은, 기판 표면에 대해 평행한 면에서의 결정립의 최대 직경을 말한다. The seed crystal 57 and the microcrystalline semiconductor film 59 are formed of microcrystalline semiconductor. The microcrystalline semiconductor is a semiconductor having an intermediate structure of amorphous and crystalline structure (including single crystal and polycrystal). The microcrystalline semiconductor is a semiconductor having a third state that is free energy stable and is a crystalline semiconductor having short-range order and lattice distortion, and has a particle diameter of 2 nm or more and 200 nm or less, preferably 10 nm or more and 80 nm or less, more preferably 20 nm. Columnar or needle-like interphase grains of 50 nm or more are growing in the normal direction with respect to the substrate surface. For this reason, a grain boundary may be formed in the interface of columnar or needle-like mixed grains. In addition, the crystal grain diameter here means the largest diameter of the crystal grain in the surface parallel to a substrate surface.

미결정 반도체의 대표예인 미결정 실리콘은, 그 라만 스펙트럼이 단결정 실리콘을 나타내는 520cm-1보다도 저파수측으로 시프트하고 있다. 즉, 단결정 실리콘을 나타내는 520cm-1과 비정질 실리콘을 나타내는 480cm-1 사이에 미결정 실리콘의 라만 스펙트럼의 피크가 있다. 또한, 미결합수(댕글링 본드)를 종단하기 위해서 수소 또는 할로겐을 적어도 1원자% 또는 그 이상 포함하고 있다. 또한, 헬륨, 네온, 아르곤, 크립톤 또는 크세논 등의 희가스 원소를 포함시켜 격자 왜곡을 더욱 조장시킴으로써, 안정성이 향상되어 양호한 미결정 반도체가 얻어진다. 이러한 미결정 반도체에 관한 기술은, 예를 들면, 미국 특허 4,409,134호에 개시되어 있다. The microcrystalline silicon, which is a representative example of the microcrystalline semiconductor, is shifted to the lower wave side than the 520 cm -1 where the Raman spectrum represents single crystal silicon. In other words, the peak of the Raman spectrum of the microcrystalline silicon between 480cm -1 to 520cm -1 showing an amorphous silicon indicates a single crystalline silicon. In addition, in order to terminate unbound water (dangling bond), hydrogen or halogen is contained at least 1 atomic% or more. In addition, by incorporating rare gas elements such as helium, neon, argon, krypton or xenon to further enhance lattice distortion, stability is improved and a good microcrystalline semiconductor is obtained. Techniques relating to such microcrystalline semiconductors are disclosed, for example, in US Pat. No. 4,409,134.

본 실시 형태에 의해, 혼상립의 간극을 저감시킴으로써 결정성을 향상시킨 미결정 반도체막을 제작할 수 있다. According to this embodiment, the microcrystal semiconductor film which improved crystallinity can be produced by reducing the clearance gap of a mixed phase.

(실시 형태 2)(Embodiment 2)

본 실시 형태에서는, 실시 형태 1보다 결정성이 높은 미결정 반도체막의 제작 방법에 관해서, 도 1 및 도 2를 사용하여 설명한다. In this embodiment, the manufacturing method of the microcrystalline semiconductor film with higher crystallinity than Embodiment 1 is demonstrated using FIG. 1 and FIG.

실시 형태 1과 같이, 도 1의 공정을 거쳐 종결정(57) 및 미결정 반도체막(59)을 형성한다. As in the first embodiment, the seed crystal 57 and the microcrystalline semiconductor film 59 are formed through the process of FIG. 1.

다음에, 도 2에 도시하는 바와 같이, 미결정 반도체막(59) 위에, 제 2 미결정 반도체막(61)을 형성한다. Next, as shown in FIG. 2, a second microcrystalline semiconductor film 61 is formed on the microcrystalline semiconductor film 59.

제 2 미결정 반도체막(61)은 플라즈마 CVD 장치의 반응실 내에 있어서, 제 3 조건에 의해, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와 수소를 혼합하고, 글로우 방전 플라즈마에 의해 형성한다. 또는, 제 3 조건에 의해, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와, 수소와, 헬륨, 네온, 아르곤, 크립톤, 또는 크세논 등의 희가스를 혼합하고, 글로우 방전 플라즈마에 의해 형성한다. 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소 유량의 비를, 제 2 조건보다 높게 하여 퇴적성 기체를 희석하고, 또한 처리실 내의 압력을 제 2 조건과 같은 1333Pa 이상 13332Pa 이하(10Torr 이상 100Torr 이하)로 하는 제 3 조건에 의해, 제 2 미결정 반도체막(61)으로서, 미결정 실리콘, 미결정 실리콘게르마늄, 미결정 게르마늄 등을 형성한다. 이 때의 퇴적 온도는 실온 내지 300℃로 하는 것이 바람직하고, 보다 바람직하게는 150 내지 280℃로 한다. In the reaction chamber of the plasma CVD apparatus, the second microcrystalline semiconductor film 61 is formed by a glow discharge plasma by mixing a deposition gas containing silicon or germanium with hydrogen under a third condition. Alternatively, under a third condition, a deposition gas containing silicon or germanium, hydrogen, and rare gases such as helium, neon, argon, krypton, or xenon are mixed and formed by a glow discharge plasma. The ratio of the hydrogen flow rate to the flow rate of the deposition gas containing silicon or germanium is higher than the second condition to dilute the deposition gas, and the pressure in the processing chamber is 1333 Pa or more and 13332 Pa or less (10 Torr or more and 100 Torr or the same as the second condition). The microcrystalline silicon, microcrystalline silicon germanium, microcrystalline germanium, and the like are formed as the second microcrystalline semiconductor film 61 under the third condition of the following). The deposition temperature at this time is preferably room temperature to 300 ° C, more preferably 150 to 280 ° C.

또한, 제 3 조건을 제 2 조건과 같이, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와 수소의 유량비를 주기적으로 증감시키고, 또한 처리실 내의 압력을 1333Pa 이상 13332Pa 이하(10Torr 이상 100Torr 이하)로 해도 좋다. 이 때, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체에 대한 수소의 유량비가 낮은 경우의 유량비를 제 2 조건보다 높게 함으로써, 제 2 미결정 반도체막(61)의 결정성을 더욱 높이는 것이 가능하다. In addition, the third condition may be increased or decreased periodically, and the pressure in the processing chamber may be 1333 Pa or more and 13332 Pa or less (10 Torr or more and 100 Torr or less) as in the second condition, by periodically increasing or decreasing the flow rate ratio of the deposition gas containing silicon or germanium and hydrogen. At this time, the crystallinity of the second microcrystalline semiconductor film 61 can be further improved by making the flow rate ratio when the flow rate ratio of hydrogen to the deposition gas containing silicon or germanium low is higher than the second condition.

실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소 유량의 비를 제 2 조건보다 높게 함으로써, 제 2 미결정 반도체막(61)의 결정성을 더욱 높이는 것이 가능하여, 실시 형태 1보다 표면에 있어서 결정성이 높은 미결정 반도체막을 형성할 수 있다. By making the ratio of the hydrogen flow rate to the flow rate of the deposition gas containing silicon or germanium higher than the second condition, it is possible to further increase the crystallinity of the second microcrystalline semiconductor film 61, which is more effective on the surface than in the first embodiment. A microcrystalline semiconductor film with high crystallinity can be formed.

(실시 형태 3)(Embodiment 3)

본 실시 형태에서는, 본 발명의 일 형태인 반도체 장치에 형성되는 박막 트랜지스터의 제작 방법에 관해서, 도 3 내지 도 6을 참조하여 설명한다. 또한, 박막 트랜지스터는 p형보다도 n형쪽이 캐리어의 이동도가 높다. 또한, 동일 기판 위에 형성하는 박막 트랜지스터를 모두 동일 극성으로 통일하면, 공정수를 억제할 수 있어 바람직하다. 이로 인해, 본 실시 형태에서는, n형의 박막 트랜지스터의 제작 방법에 관해서 설명한다. In this embodiment, the manufacturing method of the thin film transistor formed in the semiconductor device which is one Embodiment of this invention is demonstrated with reference to FIGS. In addition, in the thin film transistor, the n-type side has a higher carrier mobility than the p-type side. In addition, if the thin film transistors formed on the same substrate are all unified with the same polarity, the number of steps can be reduced, which is preferable. For this reason, in this embodiment, the manufacturing method of an n type thin film transistor is demonstrated.

또한, 온 전류란, 박막 트랜지스터가 온 상태일 때에, 소스 전극과 드레인 전극 사이에 흐르는 전류를 말한다. 예를 들면, n형의 박막 트랜지스터의 경우에는, 게이트 전압이 트랜지스터의 임계값 전압보다도 높을 때에 소스 전극과 드레인 전극 사이에 흐르는 전류이다. The on-current refers to a current flowing between the source electrode and the drain electrode when the thin film transistor is in the on state. For example, in the case of an n-type thin film transistor, it is a current flowing between the source electrode and the drain electrode when the gate voltage is higher than the threshold voltage of the transistor.

또한, 오프 전류란, 박막 트랜지스터가 오프 상태일 때에, 소스 전극과 드레인 전극 사이에 흐르는 전류를 말한다. 예를 들면, n형의 박막 트랜지스터의 경우에는, 게이트 전압이 박막 트랜지스터의 임계값 전압보다도 낮을 때에 소스 전극과 드레인 전극 사이에 흐르는 전류이다. In addition, the off current means a current flowing between the source electrode and the drain electrode when the thin film transistor is in the off state. For example, in the case of an n-type thin film transistor, it is a current flowing between the source electrode and the drain electrode when the gate voltage is lower than the threshold voltage of the thin film transistor.

도 3a에 도시하는 바와 같이, 기판(101) 위에 게이트 전극(103)을 형성한다. 다음에, 게이트 전극(103)(제 1 게이트 전극이라고도 한다.)을 덮는 게이트 절연막(105)을 형성하고, 게이트 절연막(105) 위에 종결정(107)을 형성한다. As shown in FIG. 3A, a gate electrode 103 is formed over the substrate 101. Next, a gate insulating film 105 covering the gate electrode 103 (also called a first gate electrode) is formed, and a seed crystal 107 is formed over the gate insulating film 105.

기판(101)으로서는, 실시 형태 1에 나타내는 기판(51)을 적절히 사용할 수 있다. As the board | substrate 101, the board | substrate 51 shown in Embodiment 1 can be used suitably.

게이트 전극(103)은 몰리브덴, 티탄, 크롬, 탄탈, 텅스텐, 알루미늄, 구리, 네오디뮴, 스칸듐, 니켈 등의 금속 또는 이들을 주성분으로 하는 합금을 사용하여, 단층으로 또는 적층하여 형성할 수 있다. 또한, 인 등의 불순물 원소를 도핑한 다결정 실리콘으로 대표되는 반도체, AgPdCu 합금, Al-Nd 합금, Al-Ni 합금 등을 사용해도 좋다. The gate electrode 103 can be formed in a single layer or stacked by using a metal such as molybdenum, titanium, chromium, tantalum, tungsten, aluminum, copper, neodymium, scandium, nickel, or an alloy containing these as a main component. Moreover, you may use the semiconductor represented by polycrystalline silicon doped with impurity elements, such as phosphorus, AgPdCu alloy, Al-Nd alloy, Al-Ni alloy, etc.

예를 들면, 게이트 전극(103)의 2층의 적층 구조로서는, 알루미늄막 위에 몰리브덴막을 적층한 2층의 적층 구조, 또는 구리막 위에 몰리브덴막을 적층한 2층 구조, 또는 구리막 위에 질화티탄막 또는 질화탄탈막을 적층한 2층 구조, 질화티탄막과 몰리브덴막을 적층한 2층 구조, 산소를 포함하는 구리-마그네슘-합금막과 구리막을 적층한 2층 구조, 산소를 포함하는 구리-망간-합금막과 구리막을 적층한 2층 구조, 구리-망간-합금막과 구리막을 적층한 2층 구조 등으로 하는 것이 바람직하다. 3층의 적층 구조로서는, 텅스텐막 또는 질화텅스텐막과, 알루미늄과 실리콘의 합금막 또는 알루미늄과 티탄의 합금막과, 질화티탄막 또는 티탄막을 적층한 3층 구조로 하는 것이 바람직하다. 전기적 저항이 낮은 막 위에 배리어막으로서 기능하는 금속막이 적층됨으로써, 전기적 저항을 낮게 할 수 있고, 또한 금속막으로부터 반도체막으로의 금속 원소의 확산을 방지할 수 있다. For example, the two-layer laminated structure of the gate electrode 103 may be a two-layer laminated structure in which a molybdenum film is laminated on an aluminum film, or a two-layer structure in which a molybdenum film is laminated on a copper film, or a titanium nitride film or a copper film. 2-layer structure in which tantalum nitride film is laminated, 2-layer structure in which titanium nitride film and molybdenum film are laminated, 2-layer structure in which copper-magnesium-alloy film containing oxygen and copper film are laminated, and copper-manganese-alloy film containing oxygen And a two-layer structure in which a copper film is laminated, and a two-layer structure in which a copper-manganese-alloy film and a copper film are laminated. As a three-layer laminated structure, it is preferable to set it as the three-layer structure which laminated | stacked the tungsten film or the tungsten nitride film, the alloy film of aluminum and silicon or the alloy film of aluminum and titanium, and the titanium nitride film or titanium film. By laminating a metal film functioning as a barrier film on the film having a low electrical resistance, the electrical resistance can be lowered and the diffusion of metal elements from the metal film into the semiconductor film can be prevented.

게이트 전극(103)은 기판(101) 위에 스퍼터링법 또는 진공 증착법을 사용하고, 상기한 재료에 의해 도전막을 형성하고, 상기 도전막 위에 포토리소그래피법 또는 잉크젯법 등에 의해 마스크를 형성하고, 상기 마스크를 사용하여 도전막을 에칭하여 형성할 수 있다. 또한, 은, 금 또는 구리 등의 도전성 나노 페이스트를 잉크젯법에 의해 기판 위에 토출시키고, 소성함으로써 형성할 수도 있다. 또한, 게이트 전극(103)과 기판(101)의 밀착성 향상을 목적으로 하여, 상기의 금속 재료의 질화물막을, 기판(101)과 게이트 전극(103) 사이에 형성해도 좋다. 여기에서는, 기판(101) 위에 도전막을 형성하고, 포토리소그래피 공정에 의해 형성한 레지스트로 형성되는 마스크를 사용하여, 상기 도전막을 에칭한다. The gate electrode 103 uses a sputtering method or a vacuum deposition method on the substrate 101, forms a conductive film by the above-described material, forms a mask on the conductive film by a photolithography method, an inkjet method, or the like, and then applies the mask. It can be used by etching the conductive film. It is also possible to form conductive nano pastes such as silver, gold or copper by discharging them onto a substrate by an inkjet method and firing them. The nitride film of the metal material may be formed between the substrate 101 and the gate electrode 103 for the purpose of improving the adhesion between the gate electrode 103 and the substrate 101. Here, a conductive film is formed on the substrate 101, and the conductive film is etched using a mask formed of a resist formed by a photolithography step.

또한, 게이트 전극(103)의 측면은 테이퍼 형상으로 하는 것이 바람직하다. 이것은 이후의 공정에서, 게이트 전극(103) 위에 형성되는 절연막, 반도체막 및 배선이, 게이트 전극(103)의 단차 개소에 있어서 절단되지 않기 때문이다. 게이트 전극(103)의 측면을 테이퍼 형상으로 하기 위해서는, 레지스트로 형성되는 마스크를 후퇴시키면서 에칭을 행하면 좋다. The side surface of the gate electrode 103 is preferably tapered. This is because the insulating film, the semiconductor film, and the wiring formed on the gate electrode 103 are not cut at the stepped position of the gate electrode 103 in the subsequent step. In order to make the side surface of the gate electrode 103 taper-shaped, etching may be performed, retracting the mask formed from a resist.

또한, 게이트 전극(103)을 형성하는 공정에 의해, 게이트 배선(주사선) 및 용량 배선도 동시에 형성할 수 있다. 또한, 주사선이란 화소를 선택하는 배선을 말하고, 용량 배선이란 화소의 유지 용량의 한쪽 전극에 접속된 배선을 말한다. 단, 이것에 한정되지 않고, 게이트 배선 및 용량 배선의 한쪽 또는 양쪽과, 게이트 전극(103)과는 별도로 형성해도 좋다. In addition, by the process of forming the gate electrode 103, the gate wiring (scanning line) and the capacitance wiring can also be formed simultaneously. In addition, a scanning line means the wiring which selects a pixel, and a capacitor wiring means the wiring connected to one electrode of the storage capacitor of a pixel. However, the present invention is not limited thereto, and may be formed separately from one or both of the gate wiring and the capacitor wiring and the gate electrode 103.

게이트 절연막(105)은 실시 형태 1에 나타내는 절연막(55)을 적절히 사용하여 형성할 수 있다. 또한, 게이트 절연막(105)을 산화실리콘 또는 산화질화실리콘 등의 산화절연막에 의해 형성함으로써, 박막 트랜지스터의 임계값 전압의 변동을 저감시킬 수 있다. The gate insulating film 105 can be formed using the insulating film 55 shown in Embodiment 1 as appropriate. In addition, by forming the gate insulating film 105 with an oxide insulating film such as silicon oxide or silicon oxynitride, it is possible to reduce variation in the threshold voltage of the thin film transistor.

게이트 절연막(105)은 CVD법 또는 스퍼터링법 등을 사용하여 형성할 수 있다. 게이트 절연막(105)의 CVD법에 의한 형성 공정에 있어서, 글로우 방전 플라즈마의 생성은, 실시 형태 1에 나타내는 종결정(57)의 조건을 적절히 사용할 수 있다. 또한, 고주파수가 1GHz 이상인 마이크로파 플라즈마 CVD 장치를 사용하여 게이트 절연막(105)을 형성하면, 게이트 전극과, 드레인 전극 및 소스 전극 사이의 내압을 향상시킬 수 있기 때문에, 신뢰성이 높은 박막 트랜지스터를 얻을 수 있다. The gate insulating film 105 can be formed using a CVD method, a sputtering method, or the like. In the formation process by the CVD method of the gate insulating film 105, the conditions of the seed crystal 57 shown in Embodiment 1 can be used suitably for generation | occurrence | production of a glow discharge plasma. In addition, when the gate insulating film 105 is formed by using a microwave plasma CVD apparatus having a high frequency of 1 GHz or more, the breakdown voltage between the gate electrode, the drain electrode, and the source electrode can be improved, whereby a highly reliable thin film transistor can be obtained. .

또한, 게이트 절연막(105)으로서, 유기 실란 가스를 사용한 CVD법에 의해 산화실리콘막을 형성함으로써, 이후에 형성하는 반도체막의 결정성을 높이는 것이 가능하기 때문에, 박막 트랜지스터의 온 전류 및 전계 효과 이동도를 높일 수 있다. 유기 실란 가스로서는, 테트라에톡시실란(TEOS: 화학식 Si(OC2H5)4), 테트라메틸실란(TMS: 화학식 Si(CH3)4), 테트라메틸사이클로테트라실록산(TMCTS), 옥타메틸사이클로테트라실록산(OMCTS), 헥사메틸실라잔(HMDS), 트리에톡시실란(SiH(OC2H5)3), 트리스디메틸아미노실란(SiH(N(CH3)2)3) 등의 실리콘 함유 화합물을 사용할 수 있다. In addition, since the silicon oxide film is formed by the CVD method using an organic silane gas as the gate insulating film 105, it is possible to increase the crystallinity of the semiconductor film to be formed later, so that the on-current and the field effect mobility of the thin film transistor are increased. It can increase. Examples of the organosilane gas include tetraethoxysilane (TEOS: chemical formula Si (OC 2 H 5 ) 4 ), tetramethylsilane (TMS: chemical formula Si (CH 3 ) 4 ), tetramethylcyclotetrasiloxane (TMCTS), and octamethylcyclo. Silicon-containing compounds such as tetrasiloxane (OMCTS), hexamethylsilazane (HMDS), triethoxysilane (SiH (OC 2 H 5 ) 3 ), trisdimethylaminosilane (SiH (N (CH 3 ) 2 ) 3 ) Can be used.

종결정(107)은 실시 형태 1에 나타내는 종결정(57)과 같이, 높은 결정성의 혼상립을 낮은 입자 밀도로 형성하는 제 1 조건으로 형성할 수 있다. As the seed crystal 57 shown in Embodiment 1, the seed crystal 107 can be formed under the first condition of forming a high crystalline mixed phase grain at a low particle density.

종결정(107)의 원료 가스에, 헬륨, 아르곤, 네온, 크립톤, 크세논 등의 희가스를 첨가함으로써, 종결정(107)의 결정성을 높일 수 있다. 이로 인해, 박막 트랜지스터의 온 전류 및 전계 효과 이동도가 높아지는 동시에, 스루풋을 높일 수 있다. By adding a rare gas such as helium, argon, neon, krypton, or xenon to the source gas of the seed crystal 107, the crystallinity of the seed crystal 107 can be improved. As a result, the ON current and the field effect mobility of the thin film transistor are increased, and the throughput can be increased.

다음에, 도 3b에 도시하는 바와 같이, 종결정(107) 위에 미결정 반도체막(109)을 형성한다. 미결정 반도체막(109)은 실시 형태 1에 나타내는 미결정 반도체막(59)과 같이, 종결정(107)의 혼상립을 결정 성장시켜 혼상립의 간극을 메우는 제 2 조건을 사용하여 형성할 수 있다. Next, as shown in FIG. 3B, a microcrystalline semiconductor film 109 is formed over the seed crystal 107. Like the microcrystalline semiconductor film 59 shown in Embodiment 1, the microcrystalline semiconductor film 109 can be formed using the 2nd condition which crystal-grows mixed phase grains of the seed crystal 107, and fills the gap of a mixed phase grain.

미결정 반도체막(109)의 원료 가스에, 헬륨, 아르곤, 네온, 크립톤, 크세논 등의 희가스를 첨가함으로써, 종결정(107)과 같이, 미결정 반도체막(109)의 결정성을 높일 수 있다. 이로 인해, 박막 트랜지스터의 온 전류 및 전계 효과 이동도가 높아지는 동시에, 스루풋을 높일 수 있다. By adding a rare gas such as helium, argon, neon, krypton, xenon, etc. to the source gas of the microcrystalline semiconductor film 109, the crystallinity of the microcrystalline semiconductor film 109 can be improved like the seed crystal 107. As a result, the ON current and the field effect mobility of the thin film transistor are increased, and the throughput can be increased.

다음에, 도 3c에 도시하는 바와 같이, 미결정 반도체막(109) 위에 반도체막(111)을 형성한다. 반도체막(111)은 미결정 반도체 영역(111a) 및 비정질 반도체 영역(111b)으로 구성된다. 다음에, 반도체막(111) 위에, 불순물 반도체막(113)을 형성한다. 다음에, 불순물 반도체막(113) 위에 레지스트로 형성되는 마스크(115)를 형성한다. Next, as shown in FIG. 3C, the semiconductor film 111 is formed over the microcrystalline semiconductor film 109. The semiconductor film 111 is composed of a microcrystalline semiconductor region 111a and an amorphous semiconductor region 111b. Next, an impurity semiconductor film 113 is formed over the semiconductor film 111. Next, a mask 115 formed of a resist is formed on the impurity semiconductor film 113.

미결정 반도체막(109)을 종결정으로 하고, 부분적으로 결정 성장시키는 조건(결정 성장을 억제시키는 조건)으로, 미결정 반도체 영역(111a) 및 비정질 반도체 영역(111b)을 갖는 반도체막(111)을 형성할 수 있다. The semiconductor film 111 having the microcrystalline semiconductor region 111a and the amorphous semiconductor region 111b is formed under the conditions in which the microcrystalline semiconductor film 109 is a seed crystal and partially crystal-grows (conditions for suppressing crystal growth). can do.

반도체막(111)은 플라즈마 CVD 장치의 처리실 내에 있어서, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와, 수소와, 질소를 포함하는 기체를 혼합하고, 글로우 방전 플라즈마에 의해 형성한다. 질소를 포함하는 기체로서는, 암모니아, 질소, 불화질소, 염화질소, 클로로아민, 플루오로아민 등이 있다. 글로우 방전 플라즈마의 생성은, 종결정(107)과 같이 할 수 있다. The semiconductor film 111 is formed in a processing chamber of a plasma CVD apparatus by mixing a deposition gas containing silicon or germanium, a gas containing hydrogen and nitrogen, and a glow discharge plasma. Examples of the gas containing nitrogen include ammonia, nitrogen, nitrogen fluoride, nitrogen chloride, chloroamine, and fluoroamine. The glow discharge plasma can be generated in the same manner as the seed crystal 107.

이 때, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체와 수소의 유량비는, 종결정(107) 또는 미결정 반도체막(109)과 같이 미결정 반도체막을 형성하는 유량비를 사용하고, 또한 원료 가스에 질소를 포함하는 기체를 첨가함으로써, 종결정(107) 및 미결정 반도체막(109)의 퇴적 조건보다도, 결정 성장을 억제할 수 있다. 구체적으로는, 반도체막(111)의 퇴적 초기에 있어서는, 원료 가스에 질소를 포함하는 기체가 포함되기 때문에, 부분적으로 결정 성장이 억제되어 추 형상의 미결정 반도체 영역이 성장하는 동시에, 비정질 반도체 영역이 형성된다. 또한, 퇴적 중기 또는 후기에서는, 추 형상의 미결정 반도체 영역의 결정 성장이 정지되고, 비정질 반도체 영역만이 퇴적된다. 이 결과, 반도체막(111)에 있어서, 미결정 반도체 영역(111a), 및 결함이 적고, 가전자대 밴드단에 있어서의 준위의 테일의 기울기가 급준한 질서성이 높은 반도체막으로 형성되는 비정질 반도체 영역(111b)을 형성할 수 있다. At this time, the flow rate ratio of the deposition gas containing silicon or germanium and hydrogen uses a flow rate ratio for forming the microcrystalline semiconductor film, such as the seed crystal 107 or the microcrystalline semiconductor film 109, and further contains nitrogen in the source gas. By adding a gas, crystal growth can be suppressed more than the deposition conditions of the seed crystal 107 and the microcrystalline semiconductor film 109. Specifically, in the deposition initial stage of the semiconductor film 111, since the gas containing nitrogen is included in the source gas, crystal growth is partially suppressed, and the amorphous microcrystalline semiconductor region grows while the amorphous semiconductor region grows. Is formed. In the middle or late deposition period, crystal growth of the weight-shaped microcrystalline semiconductor region is stopped, and only the amorphous semiconductor region is deposited. As a result, in the semiconductor film 111, the amorphous semiconductor region 111a is formed of a highly ordered semiconductor film with few defects and a steep slope of the tail in the valence band band. 111b can be formed.

여기서는, 반도체막(111)을 형성하는 조건의 대표예는, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소의 유량이 10 내지 2000배, 바람직하게는 10 내지 200배이다. 또한, 통상의 비정질 반도체막을 형성하는 조건의 대표예는, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체의 유량에 대한 수소의 유량은 0 내지 5배이다. Here, as a representative example of the conditions for forming the semiconductor film 111, the flow rate of hydrogen to the flow rate of the deposition gas containing silicon or germanium is 10 to 2000 times, preferably 10 to 200 times. In addition, as a representative example of the conditions for forming an ordinary amorphous semiconductor film, the flow rate of hydrogen with respect to the flow rate of the deposition gas containing silicon or germanium is 0 to 5 times.

또한, 반도체막(111)의 원료 가스에, 헬륨, 아르곤, 네온, 크세논, 또는 크립톤 등의 희가스를 도입함으로써, 성막 속도를 높일 수 있다. In addition, the deposition rate can be increased by introducing a rare gas such as helium, argon, neon, xenon, or krypton into the source gas of the semiconductor film 111.

반도체막(111)의 두께는, 두께 50 내지 350nm으로 하는 것이 바람직하고, 더욱 바람직하게는 120 내지 250nm으로 한다. It is preferable that the thickness of the semiconductor film 111 is 50-350 nm, More preferably, it is 120-250 nm.

여기서, 도 3c에 도시하는 게이트 절연막(105)과, 불순물 반도체막(113) 사이의 확대도를, 도 4에 도시한다. An enlarged view between the gate insulating film 105 and the impurity semiconductor film 113 shown in FIG. 3C is shown in FIG. 4.

도 4a에 도시하는 바와 같이, 반도체막(111)의 미결정 반도체 영역(111a)은 요철상이며, 볼록부는 게이트 절연막(105)으로부터 비정질 반도체 영역(111b)을 향하여 선단이 좁아지는(볼록부의 선단이 예각) 볼록상(추 형상)이다. 또한, 미결정 반도체 영역(111a)의 형상은, 게이트 절연막(105)으로부터 비정질 반도체 영역(111b)을 향하여 폭이 넓어지는 볼록상(역추 형상)이라도 좋다. As shown in FIG. 4A, the microcrystalline semiconductor region 111a of the semiconductor film 111 is uneven, and the convex portion is narrowed from the gate insulating film 105 toward the amorphous semiconductor region 111b (the tip of the convex portion is narrowed). Acute angle) It is convex shape. In addition, the shape of the microcrystalline semiconductor region 111a may be a convex shape (backlash shape) that becomes wider from the gate insulating film 105 toward the amorphous semiconductor region 111b.

종결정(107), 미결정 반도체막(109) 및 미결정 반도체 영역(111a)의 두께, 즉, 게이트 절연막(105)과 종결정(107)의 계면으로부터 미결정 반도체 영역(111a)의 돌기(볼록부)의 선단까지의 거리를, 5nm 이상 310nm 이하로 함으로써, 박막 트랜지스터의 오프 전류를 저감시킬 수 있다. The thickness of the seed crystal 107, the microcrystalline semiconductor film 109, and the microcrystalline semiconductor region 111a, that is, the projections (convex portions) of the microcrystalline semiconductor region 111a from the interface between the gate insulating film 105 and the seed crystal 107. The off current of the thin film transistor can be reduced by setting the distance to the front end of 5 nm or more and 310 nm or less.

또한, 반도체막(111)에 포함되는 산소의 2차 이온 질량 분석법에 의해 계측되는 농도를, 1×1018atoms/㎤ 미만으로 함으로써, 미결정 반도체 영역(111a)의 결정성을 높일 수 있기 때문에 바람직하다. 또한 2차 이온 질량 분석법에 의해 계측되는 반도체막(111)의 질소 농도 프로파일의 피크 농도는 1×1020atoms/㎤ 이상 1×1021atoms/㎤ 이하, 바람직하게는 2×1020atoms/㎤ 이상 1×1021atoms/㎤ 이하이다.In addition, since the crystallinity of the microcrystalline semiconductor region 111a can be improved by making the concentration measured by the secondary ion mass spectrometry of oxygen contained in the semiconductor film 111 less than 1 × 10 18 atoms / cm 3. Do. Further, the peak concentration of the nitrogen concentration profile of the semiconductor film 111 measured by the secondary ion mass spectrometry is 1 × 10 20 atoms / cm 3 or more and 1 × 10 21 atoms / cm 3 or less, preferably 2 × 10 20 atoms / cm 3 The value is 1 × 10 21 atoms / cm 3 or less.

비정질 반도체 영역(111b)은 질소를 갖는 비정질 반도체로 형성된다. 질소를 갖는 비정질 반도체에 포함되는 질소는, 예를 들면 NH기 또는 NH2기로서 존재하고 있어도 좋다. 비정질 반도체로서는, 비정질 실리콘을 사용하여 형성한다. The amorphous semiconductor region 111b is formed of an amorphous semiconductor having nitrogen. Nitrogen contained in the amorphous semiconductor having nitrogen may be present as an NH group or NH 2 group, for example. As an amorphous semiconductor, it forms using amorphous silicon.

질소를 포함하는 비정질 반도체는, 종래의 비정질 반도체와 비교하여, CPM(Constant photocurrent method)이나 포토루미네센스 분광 측정으로 측정되는 Urbach단의 에너지가 작고, 결함 흡수 스펙트럼량이 적은 반도체이다. 즉, 질소를 포함하는 비정질 반도체는, 종래의 비정질 반도체와 비교하여, 결함이 적고, 가전자대 밴드단에 있어서의 준위의 테일의 기울기가 급준한 질서성이 높은 반도체이다. 질소를 포함하는 비정질 반도체는, 가전자대 밴드단에 있어서의 준위의 테일의 기울기가 급준하기 때문에, 밴드갭이 넓어져 터널 전류가 흐르기 어렵다. 이로 인해, 질소를 포함하는 비정질 반도체를 미결정 반도체 영역(111a) 및 불순물 반도체막(113) 사이에 형성함으로써, 박막 트랜지스터의 오프 전류를 저감시킬 수 있다. 또한, 질소를 포함하는 비정질 반도체를 형성함으로써, 온 전류와 전계 효과 이동도를 높이는 것이 가능하다. An amorphous semiconductor containing nitrogen is a semiconductor having a smaller energy of the Urbach stage measured by a CPM (Constant Photocurrent Method) or photoluminescence spectroscopy and a smaller amount of defect absorption spectra than conventional amorphous semiconductors. That is, the amorphous semiconductor containing nitrogen is a semiconductor with high order | order which has few defects compared with the conventional amorphous semiconductor, and the steepness of the tail of the level in a valence band band | edge stage is steep. In the amorphous semiconductor containing nitrogen, the slope of the tail of the level at the valence band band is steep, so that the band gap is widened and tunnel current is difficult to flow. For this reason, by forming the amorphous semiconductor containing nitrogen between the microcrystalline semiconductor region 111a and the impurity semiconductor film 113, the off current of a thin film transistor can be reduced. In addition, by forming an amorphous semiconductor containing nitrogen, it is possible to increase the on-current and the field effect mobility.

또한, 질소를 포함하는 비정질 반도체는, 저온 포토루미네센스 분광에 의한 스펙트럼의 피크 영역이, 1.31eV 이상 1.39eV 이하이다. 또한, 미결정 반도체, 대표적으로는 미결정 실리콘을 저온 포토루미네센스 분광에 의해 측정한 스펙트럼의 피크 영역은, 0.98eV 이상 1.02eV 이하이며, 질소를 포함하는 비정질 반도체는, 미결정 반도체와는 상이한 것이다. In addition, in the amorphous semiconductor containing nitrogen, the peak region of the spectrum by low-temperature photoluminescence spectroscopy is 1.31 eV or more and 1.39 eV or less. In addition, the peak area of the spectrum which measured the microcrystalline semiconductor, typically microcrystalline silicon by low temperature photoluminescence spectroscopy, is 0.98 eV or more and 1.02 eV or less, and the amorphous semiconductor containing nitrogen is different from a microcrystalline semiconductor.

또한, 비정질 반도체 영역(111b) 이외에, 미결정 반도체 영역(111a)에도, NH기 또는 NH2기를 가져도 좋다. In addition to the amorphous semiconductor region 111b, the microcrystalline semiconductor region 111a may also have an NH group or an NH 2 group.

또한, 도 4b에 도시하는 바와 같이, 비정질 반도체 영역(111b)에, 입자 직경이 1nm 이상 10nm 이하, 바람직하게는 1nm 이상 5nm 이하의 반도체 혼상립(111c)을 포함시킴으로써, 더욱 온 전류와 전계 효과 이동도를 높이는 것이 가능하다. In addition, as shown in FIG. 4B, the amorphous semiconductor region 111b includes a semiconductor mixed phase 111c having a particle diameter of 1 nm or more and 10 nm or less, preferably 1 nm or more and 5 nm or less, further turning on the current and the electric field effect. It is possible to increase the mobility.

게이트 절연막(105)으로부터 비정질 반도체 영역(111b)을 향하여 선단이 좁아지는 볼록상(추 형상)의 미결정 반도체는, 미결정 반도체가 퇴적되는 조건으로 미결정 반도체를 형성한 후, 결정 성장을 억제하는 조건으로 결정 성장시키는 동시에, 비정질 반도체를 퇴적시킴으로써, 이러한 구조가 된다. The convex (vertical) microcrystalline semiconductor whose tip is narrowed from the gate insulating film 105 toward the amorphous semiconductor region 111b is formed under the condition of suppressing crystal growth after forming the microcrystalline semiconductor under the condition that the microcrystalline semiconductor is deposited. Such a structure is obtained by growing the crystal and depositing an amorphous semiconductor.

반도체막(111)의 미결정 반도체 영역(111a)은 추 형상 또는 역추 형상이기 때문에, 온 상태에서 소스 전극 및 드레인 전극 사이에 전압이 인가되었을 때의 세로 방향(막 두께 방향)에 있어서의 저항, 즉, 반도체막(111)의 저항을 낮추는 것이 가능하다. 또한, 미결정 반도체 영역(111a)과 불순물 반도체막(113) 사이에, 결함이 적고, 가전자대 밴드단에 있어서의 준위의 테일의 기울기가 급준한 질서성이 높은, 질소를 포함하는 비정질 반도체를 가지기 때문에, 터널 전류가 흐르기 어려워진다. 이상의 점에서, 본 실시 형태에 나타내는 박막 트랜지스터는, 온 전류 및 전계 효과 이동도를 높이는 동시에, 오프 전류를 저감시킬 수 있다. Since the microcrystalline semiconductor region 111a of the semiconductor film 111 is in the shape of a weight or a back, the resistance in the longitudinal direction (film thickness direction) when a voltage is applied between the source electrode and the drain electrode in the on state, that is, It is possible to lower the resistance of the semiconductor film 111. In addition, between the microcrystalline semiconductor region 111a and the impurity semiconductor film 113, there is an amorphous semiconductor containing nitrogen having a small order of defects and a high order of steepness of the tail of the level at the valence band band end. As a result, the tunnel current becomes difficult to flow. In view of the above, the thin film transistor shown in the present embodiment can increase the on current and the field effect mobility and reduce the off current.

여기서는, 반도체막(111)의 원료 가스에 질소를 포함하는 기체를 포함시켜 미결정 반도체 영역(111a) 및 비정질 반도체 영역(111b)을 갖는 반도체막(111)을 형성했지만, 다른 반도체막(111)의 형성 방법으로서, 미결정 반도체막(109)의 표면에 질소를 포함하는 기체를 노출시키고, 미결정 반도체막(109)의 표면에 질소를 흡착시킨 후, 실리콘 또는 게르마늄을 포함하는 퇴적성 기체 및 수소를 원료 가스로 하여, 미결정 반도체 영역(111a) 및 비정질 반도체 영역(111b)을 갖는 반도체막(111)을 형성할 수 있다. Here, the semiconductor film 111 having the microcrystalline semiconductor region 111a and the amorphous semiconductor region 111b was formed by including a gas containing nitrogen in the source gas of the semiconductor film 111, but the other semiconductor film 111 As a forming method, a gas containing nitrogen is exposed on the surface of the microcrystalline semiconductor film 109, and nitrogen is adsorbed onto the surface of the microcrystalline semiconductor film 109, and then a deposition gas containing silicon or germanium and hydrogen are used as raw materials. As a gas, the semiconductor film 111 having the microcrystalline semiconductor region 111a and the amorphous semiconductor region 111b can be formed.

불순물 반도체막(113)은 인이 첨가된 비정질 실리콘, 인이 첨가된 미결정 실리콘 등으로 형성한다. 또한, 인이 첨가된 비정질 실리콘 및 인이 첨가된 미결정 실리콘의 적층 구조로 할 수도 있다. 또한, 박막 트랜지스터로서, p형의 박막 트랜지스터를 형성하는 경우는, 불순물 반도체막(113)은 붕소가 첨가된 미결정 실리콘, 붕소가 첨가된 비정질 실리콘 등으로 형성한다. 또한, 반도체막(111)과, 이후에 형성하는 배선(129a, 129b)이 오믹 콘택트를 하는 경우는, 불순물 반도체막(113)을 형성하지 않아도 좋다. The impurity semiconductor film 113 is formed of amorphous silicon with phosphorus, microcrystalline silicon with phosphorus, or the like. Moreover, it can also be set as the laminated structure of the amorphous silicon which phosphorus added and the microcrystalline silicon which phosphorus added. When the p-type thin film transistor is formed as the thin film transistor, the impurity semiconductor film 113 is formed of microcrystalline silicon to which boron is added, amorphous silicon to which boron is added, or the like. In addition, when the semiconductor film 111 and the wirings 129a and 129b formed thereafter make ohmic contact, the impurity semiconductor film 113 may not be formed.

불순물 반도체막(113)은 플라즈마 CVD 장치의 반응실 내에 있어서, 실리콘을 포함하는 퇴적성 기체와, 수소와, 포스핀(수소 희석 또는 실란 희석)을 혼합하고, 글로우 방전 플라즈마에 의해 형성한다. 이것에 의해, 인이 첨가된 비정질 실리콘, 또는 인이 첨가된 미결정 실리콘이 형성된다. 또한, p형의 박막 트랜지스터를 제작하는 경우는, 불순물 반도체막(113)으로서, 포스핀 대신에, 디보란을 사용하고, 글로우 방전 플라즈마에 의해 형성하면 좋다. In the reaction chamber of the plasma CVD apparatus, the impurity semiconductor film 113 mixes a deposition gas containing silicon, hydrogen, and phosphine (hydrogen dilution or silane dilution), and is formed by a glow discharge plasma. As a result, amorphous silicon to which phosphorus is added or microcrystalline silicon to which phosphorus is added is formed. In the case of manufacturing a p-type thin film transistor, diborane may be used as the impurity semiconductor film 113 instead of phosphine, and formed by glow discharge plasma.

또한, 불순물 반도체막(113)을, 인이 첨가된 미결정 실리콘, 또는 붕소가 첨가된 미결정 실리콘으로 형성하는 경우는, 반도체막(111)과, 불순물 반도체막(113) 사이에, 미결정 반도체막, 대표적으로는 미결정 실리콘막을 형성함으로써, 계면의 특성을 향상시킬 수 있다. 이 결과, 불순물 반도체막(113)과, 반도체막(111)의 계면에 발생하는 저항을 저감시킬 수 있다. 이 결과, 박막 트랜지스터의 소스 영역, 반도체막, 및 드레인 영역을 흐르는 전류량을 증가시켜 온 전류 및 전계 효과 이동도의 증가가 가능해진다. In addition, when the impurity semiconductor film 113 is formed of microcrystalline silicon to which phosphorus is added or microcrystalline silicon to which boron is added, the microcrystalline semiconductor film, between the semiconductor film 111 and the impurity semiconductor film 113, Typically, the characteristics of the interface can be improved by forming a microcrystalline silicon film. As a result, resistance generated at the interface between the impurity semiconductor film 113 and the semiconductor film 111 can be reduced. As a result, the amount of current flowing through the source region, the semiconductor film, and the drain region of the thin film transistor can be increased to increase the on-state current and the field effect mobility.

레지스트로 형성되는 마스크(115)는 포토리소그래피 공정에 의해 형성할 수 있다. The mask 115 formed of a resist may be formed by a photolithography process.

다음에, 레지스트로 형성되는 마스크(115)를 사용하여, 종결정(107), 미결정 반도체막(109), 반도체막(111), 및 불순물 반도체막(113)을 에칭한다. 이 공정에 의해, 종결정(107), 미결정 반도체막(109), 반도체막(111) 및 불순물 반도체막(113)을 소자별로 분리하고, 섬형상의 반도체 적층체(117), 및 섬형상의 불순물 반도체막(121)을 형성한다. 또한, 반도체 적층체(117)는 종결정(107), 미결정 반도체막(109), 및 반도체막(111)의 미결정 반도체 영역 각각 일부를 포함하는 미결정 반도체 영역(117a)과, 반도체막(111)의 비정질 반도체 영역을 포함하는 비정질 반도체 영역(117b)을 가진다. 이 다음, 레지스트로 형성되는 마스크(115)를 제거한다(도 3d 참조).Next, the seed crystal 107, the microcrystalline semiconductor film 109, the semiconductor film 111, and the impurity semiconductor film 113 are etched using the mask 115 formed of a resist. By this step, the seed crystal 107, the microcrystalline semiconductor film 109, the semiconductor film 111, and the impurity semiconductor film 113 are separated for each element, and the island-like semiconductor laminate 117 and the island-like shape are separated. An impurity semiconductor film 121 is formed. In addition, the semiconductor laminate 117 includes a microcrystalline semiconductor region 117a and a semiconductor film 111 each including a seed crystal 107, a microcrystalline semiconductor film 109, and a portion of the microcrystalline semiconductor region of the semiconductor film 111. It has an amorphous semiconductor region 117b including an amorphous semiconductor region of. Next, the mask 115 formed of resist is removed (see FIG. 3D).

다음에, 불순물 반도체막(121) 위에 도전막(127)을 형성한다(도 5a 참조). 도전막(127)은 알루미늄, 구리, 티탄, 네오디뮴, 스칸듐, 몰리브덴, 크롬, 탄탈 또는 텅스텐 등에 의해 단층으로, 또는 적층하여 형성할 수 있다. 또는, 힐록 방지 원소가 첨가된 알루미늄 합금(게이트 전극(103)에 사용할 수 있는 Al-Nd 합금 등)에 의해 형성해도 좋다. 도너가 되는 불순물 원소를 첨가한 결정성 실리콘을 사용해도 좋다. 도너가 되는 불순물 원소가 첨가된 결정성 실리콘과 접하는 측의 막을, 티탄, 탄탈, 몰리브덴, 텅스텐 또는 이들 원소의 질화물에 의해 형성하고, 그 위에 알루미늄 또는 알루미늄 합금을 형성한 적층 구조로 해도 좋다. 또한, 알루미늄 또는 알루미늄 합금의 상면 및 하면을, 티탄, 탄탈, 몰리브덴, 텅스텐 또는 이들 원소의 질화물 사이에 개재한 적층 구조로 해도 좋다. 도전막(127)은 CVD법, 스퍼터링법 또는 진공 증착법을 사용하여 형성한다. 또한, 도전막(127)은 은, 금 또는 구리 등의 도전성 나노 페이스트를 사용하여 스크린 인쇄법 또는 잉크젯법 등을 사용하여 토출하고 소성함으로써 형성해도 좋다.Next, a conductive film 127 is formed over the impurity semiconductor film 121 (see FIG. 5A). The conductive film 127 may be formed in a single layer or laminated by aluminum, copper, titanium, neodymium, scandium, molybdenum, chromium, tantalum or tungsten. Or you may form with the aluminum alloy (Al-Nd alloy etc. which can be used for the gate electrode 103) to which the heellock prevention element was added. You may use crystalline silicon which added the impurity element used as a donor. The film on the side in contact with the crystalline silicon to which the impurity element to be a donor is added may be formed of titanium, tantalum, molybdenum, tungsten or a nitride of these elements, and may have a laminated structure in which aluminum or an aluminum alloy is formed thereon. In addition, the upper and lower surfaces of aluminum or an aluminum alloy may be a laminated structure interposed between titanium, tantalum, molybdenum, tungsten or nitrides of these elements. The conductive film 127 is formed using the CVD method, the sputtering method or the vacuum vapor deposition method. The conductive film 127 may be formed by discharging and firing using a conductive nano paste such as silver, gold, copper, or the like using a screen printing method or an inkjet method.

다음에, 포토리소그래피 공정에 의해 레지스트로 형성되는 마스크를 형성하고, 상기 레지스트로 형성되는 마스크를 사용하여 도전막(127)을 에칭하여, 소스 전극 및 드레인 전극으로서 기능하는 배선(129a, 129b)을 형성한다(도 5b 참조). 도전막(127)의 에칭은 드라이 에칭 또는 웨트 에칭을 사용할 수 있다. 또한, 배선(129a, 129b)의 한쪽은, 소스 전극 또는 드레인 전극뿐만아니라 신호선으로도 기능한다. 단, 이것에 한정되지 않고, 신호선과 소스 전극 및 드레인 전극과는 별도로 형성해도 좋다. Next, a mask formed of a resist is formed by a photolithography step, and the conductive film 127 is etched using the mask formed of the resist to form wirings 129a and 129b serving as source and drain electrodes. Form (see FIG. 5B). The etching of the conductive film 127 may use dry etching or wet etching. In addition, one of the wirings 129a and 129b functions not only as a source electrode or a drain electrode but also as a signal line. However, the present invention is not limited thereto, and may be formed separately from the signal line, the source electrode, and the drain electrode.

다음에, 불순물 반도체막(121) 및 반도체 적층체(117)의 일부를 에칭하여 소스 영역 및 드레인 영역으로서 기능하는 한 쌍의 불순물 반도체막(131a, 131b)을 형성한다. 또한, 미결정 반도체 영역(133a) 및 한 쌍의 비정질 반도체 영역(133b)을 갖는 반도체 적층체(133)를 형성한다. 이 때, 미결정 반도체 영역(133a)이 노출되도록 반도체 적층체(117)를 에칭함으로써, 배선(129a, 129b)으로 피복되는 영역에서는 미결정 반도체 영역(133a) 및 비정질 반도체 영역(133b)이 적층되고, 배선(129a, 129b)으로 피복되지 않고, 또한 게이트 전극과 겹치는 영역에 있어서는, 미결정 반도체 영역(133a)이 노출되는 반도체 적층체(133)가 된다. Next, a part of the impurity semiconductor film 121 and the semiconductor laminate 117 are etched to form a pair of impurity semiconductor films 131a and 131b functioning as source and drain regions. In addition, a semiconductor laminate 133 having a microcrystalline semiconductor region 133a and a pair of amorphous semiconductor regions 133b is formed. At this time, by etching the semiconductor laminate 117 so that the microcrystalline semiconductor region 133a is exposed, in the region covered with the wirings 129a and 129b, the microcrystalline semiconductor region 133a and the amorphous semiconductor region 133b are laminated. In the region not covered with the wirings 129a and 129b and overlapping with the gate electrode, the semiconductor laminate 133 is exposed to the microcrystalline semiconductor region 133a.

여기서는, 배선(129a, 129b)의 단부와, 불순물 반도체막(131a, 131b)의 단부가 일치하고 있지만, 배선(129a, 129b)의 단부와, 불순물 반도체막(131a, 131b)의 단부가 어긋나 단면에 있어서, 배선(129a, 129b)의 단부가, 불순물 반도체막(131a, 131b)의 단부보다 내측에 위치해도 좋다.Here, the ends of the wirings 129a and 129b and the ends of the impurity semiconductor films 131a and 131b coincide with each other. However, the ends of the wirings 129a and 129b and the ends of the impurity semiconductor films 131a and 131b are shifted. The end portions of the wirings 129a and 129b may be located inside the end portions of the impurity semiconductor films 131a and 131b.

다음에, 드라이 에칭을 행하여도 좋다. 드라이 에칭의 조건은, 노출되어 있는 미결정 반도체 영역(133a) 및 비정질 반도체 영역(133b)에 대미지가 생기지 않고, 또한 미결정 반도체 영역(133a) 및 비정질 반도체 영역(133b)에 대한 에칭 속도가 낮은 조건을 사용한다. 에칭 가스로서는, 대표적으로는 Cl2, CF4, 또는 N2 등을 사용한다. 또한, 에칭 방법에 관해서는 특별히 한정은 없고, 유도 결합형 플라즈마(ICP: Inductively Coupled Plasma) 방식, 용량 결합형 플라즈마(CCP: Capacitively Coupled Plasma) 방식, 전자 사이클로트론 공명 플라즈마(ECR: Electron Cyclotron Resonance) 방식, 반응성 이온 에칭(RIE: Reactive Ion Etching) 방식 등을 사용할 수 있다. Next, dry etching may be performed. The dry etching conditions are conditions in which damage is not caused to the exposed microcrystalline semiconductor region 133a and the amorphous semiconductor region 133b and the etching rate with respect to the microcrystalline semiconductor region 133a and the amorphous semiconductor region 133b is low. use. As the etching gas, Cl 2 , CF 4 , N 2 , or the like is typically used. The etching method is not particularly limited, and may be an inductively coupled plasma (ICP) method, a capacitively coupled plasma (CCP) method, or an electron cyclotron resonance (ECR) method. And reactive ion etching (RIE) may be used.

다음에, 미결정 반도체 영역(133a) 및 비정질 반도체 영역(133b)의 표면에 플라즈마 처리, 대표적으로는 물 플라즈마 처리, 산소 플라즈마 처리, 암모니아 플라즈마 처리, 질소 플라즈마 처리, 산소 및 수소의 혼합 가스에 의한 플라즈마 처리 등을 행한다. Next, plasma treatment, typically water plasma treatment, oxygen plasma treatment, ammonia plasma treatment, nitrogen plasma treatment, and plasma with a mixed gas of oxygen and hydrogen are applied to the surfaces of the microcrystalline semiconductor region 133a and the amorphous semiconductor region 133b. Processing and the like.

물 플라즈마 처리는, 수증기(H2O증기)로 대표되는, 물을 주성분으로 하는 가스를 반응 공간에 도입하여 플라즈마를 생성하여 행할 수 있다. 그 다음, 레지스트로 형성되는 마스크를 제거한다. 또한, 상기 레지스트로 형성되는 마스크의 제거는, 불순물 반도체막(121) 및 반도체 적층체(117)의 드라이 에칭전에 행하여도 좋다. The water plasma treatment can be performed by introducing a gas containing water as a main component represented by water vapor (H 2 O vapor) into the reaction space to generate a plasma. Then, the mask formed of resist is removed. The mask formed of the resist may be removed before the dry etching of the impurity semiconductor film 121 and the semiconductor laminate 117.

상기한 바와 같이, 미결정 반도체 영역(133a) 및 비정질 반도체 영역(133b)을 형성한 후에, 미결정 반도체 영역(133a) 및 비정질 반도체 영역(133b)에 대미지를 주지 않는 조건으로 다시 드라이 에칭을 행함으로써, 노출된 미결정 반도체 영역(133a) 및 비정질 반도체 영역(133b) 위에 존재하는 잔사 등의 불순물을 제거할 수 있다. 또한, 드라이 에칭에 이어서 물 플라즈마 처리를 행함으로써, 레지스트로 형성되는 마스크의 잔사를 제거하는 동시에, 미결정 반도체 영역(133a)의 결함을 저감시킬 수 있다. 또한, 플라즈마 처리를 행함으로써, 소스 영역과 드레인 영역 사이의 절연을 확실하게 할 수 있어 완성되는 박막 트랜지스터의 오프 전류를 저감시키고, 전기적 특성의 불균일을 저감시킬 수 있다. As described above, after the microcrystalline semiconductor region 133a and the amorphous semiconductor region 133b are formed, dry etching is again performed under conditions that do not damage the microcrystalline semiconductor region 133a and the amorphous semiconductor region 133b. Impurities such as residues on the exposed microcrystalline semiconductor region 133a and the amorphous semiconductor region 133b may be removed. Further, by performing water plasma treatment subsequent to dry etching, the residue of the mask formed of the resist can be removed, and defects in the microcrystalline semiconductor region 133a can be reduced. In addition, by performing the plasma treatment, the insulation between the source region and the drain region can be ensured, so that the off current of the completed thin film transistor can be reduced, and variations in electrical characteristics can be reduced.

또한, 포토리소그래피 공정에 의해 레지스트로 형성되는 마스크를 도전막(127) 위에 형성하고, 상기 레지스트로 형성되는 마스크를 사용하여 도전막(127)을 에칭하여, 소스 전극 및 드레인 전극으로서 기능하는 배선(129a, 129b)을 형성한다. 다음에, 불순물 반도체막(121)을 에칭하고, 소스 영역 및 드레인 영역으로서 기능하는 한 쌍의 불순물 반도체막(131a, 131b)을 형성한다. 이 때, 반도체 적층체(117)의 일부가 에칭되는 경우도 있다. 다음에, 레지스트로 형성되는 마스크를 제거한 후, 반도체 적층체(117)의 일부를 에칭하여, 미결정 반도체 영역(133a) 및 한 쌍의 비정질 반도체 영역(133b)을 갖는 반도체 적층체(133)를 형성해도 좋다. In addition, a wiring formed on the conductive film 127 by a photolithography step is formed on the conductive film 127, and the conductive film 127 is etched using the mask formed of the resist to serve as a source electrode and a drain electrode ( 129a and 129b are formed. Next, the impurity semiconductor film 121 is etched to form a pair of impurity semiconductor films 131a and 131b functioning as source and drain regions. At this time, a part of the semiconductor laminate 117 may be etched. Next, after removing the mask formed of resist, a part of the semiconductor laminate 117 is etched to form a semiconductor laminate 133 having a microcrystalline semiconductor region 133a and a pair of amorphous semiconductor regions 133b. Also good.

이 결과, 레지스트로 형성되는 마스크를 제거하는 공정에 있어서, 미결정 반도체 영역(117a)이 비정질 반도체 영역(117b)으로 피복되어 있기 때문에, 미결정 반도체 영역(117a)이 박리액, 및 레지스트의 잔사물에 접촉하는 경우가 없다. 또한, 레지스트로 형성되는 마스크를 제거한 후, 배선(129a, 129b)을 사용하여 비정질 반도체 영역(117b)을 에칭하여 미결정 반도체 영역(133a)을 노출시킨다. 이로 인해, 박리액 및 레지스트의 잔사물에 접촉한 비정질 반도체 영역은, 백 채널에는 잔존하지 않는다. 이 결과, 백 채널에 잔존한 박리액, 및 레지스트의 잔사물에 의한 누설 전류가 발생하지 않기 때문에, 박막 트랜지스터의 오프 전류를 보다 저감시킬 수 있다. As a result, in the step of removing the mask formed of the resist, the microcrystalline semiconductor region 117a is covered with the amorphous semiconductor region 117b, so that the microcrystalline semiconductor region 117a is applied to the release liquid and the residue of the resist. There is no contact. In addition, after removing the mask formed of resist, the amorphous semiconductor region 117b is etched using the wirings 129a and 129b to expose the microcrystalline semiconductor region 133a. For this reason, the amorphous semiconductor region in contact with the residue of the stripping solution and the resist does not remain in the back channel. As a result, no leakage current due to the peeling liquid remaining in the back channel and the residue of the resist is generated, so that the off current of the thin film transistor can be further reduced.

이상의 공정에 의해 싱글 게이트형의 박막 트랜지스터를 제작할 수 있다. 또한, 오프 전류가 낮고, 온 전류 및 전계 효과 이동도가 높은 싱글 게이트형의 박막 트랜지스터를 생산성 높게 제작할 수 있다. Through the above steps, a single gate thin film transistor can be manufactured. In addition, a single gate thin film transistor having low off current and high on current and field effect mobility can be manufactured with high productivity.

다음에, 반도체 적층체(133) 및 배선(129a, 129b) 위에 절연막(137)(제 2 게이트 절연막이라고도 한다.)을 형성한다. 절연막(137)은 게이트 절연막(105)과 같이 형성할 수 있다. Next, an insulating film 137 (also referred to as a second gate insulating film) is formed over the semiconductor laminate 133 and the wirings 129a and 129b. The insulating film 137 may be formed like the gate insulating film 105.

다음에, 포토리소그래피 공정에 의해 형성한 레지스트로 형성되는 마스크를 사용하여 절연막(137)에 개구부(도시하지 않음)를 형성한다. 다음에, 절연막(137) 위에 백 게이트 전극(139)(제 2 게이트 전극이라고도 한다.)을 형성한다(도 5c 참조). 이상의 공정에 의해, 듀얼 게이트형의 박막 트랜지스터를 제작할 수 있다. Next, an opening (not shown) is formed in the insulating film 137 using a mask formed of a resist formed by a photolithography step. Next, a back gate electrode 139 (also referred to as a second gate electrode) is formed over the insulating film 137 (see FIG. 5C). Through the above steps, a dual gate type thin film transistor can be manufactured.

백 게이트 전극(139)은 배선(129a, 129b)과 같이 형성할 수 있다. 또한, 백 게이트 전극(139)은 산화텅스텐을 포함하는 인듐 산화물, 산화텅스텐을 포함하는 인듐아연 산화물, 산화티탄을 포함하는 인듐 산화물, 산화티탄을 포함하는 인듐주석 산화물, 인듐주석 산화물, 인듐아연 산화물, 또는 산화실리콘을 첨가한 인듐주석 산화물 등의 투광성을 갖는 도전성 재료를 사용하여 형성할 수 있다. The back gate electrode 139 may be formed like the wirings 129a and 129b. In addition, the back gate electrode 139 includes indium oxide including tungsten oxide, indium zinc oxide including tungsten oxide, indium oxide containing titanium oxide, indium tin oxide containing titanium oxide, indium tin oxide, and indium zinc oxide. Or a transmissive conductive material such as indium tin oxide added with silicon oxide.

또한, 백 게이트 전극(139)은 투광성을 갖는 도전성 고분자(도전성 중합체라고도 한다.)를 포함하는 도전성 조성물을 사용하여 형성할 수 있다. 백 게이트 전극(139)은 시트 저항이 10000Ω/sq. 이하이고, 또한 파장 550nm에 있어서의 투광율이 70% 이상인 것이 바람직하다. 또한, 도전성 조성물에 포함되는 도전성 고분자의 저항율이 0.1Ω?cm 이하인 것이 바람직하다. In addition, the back gate electrode 139 can be formed using a conductive composition containing a conductive polymer (also called a conductive polymer) having transparency. The back gate electrode 139 has a sheet resistance of 10000 Ω / sq. It is below and it is preferable that the light transmittance in wavelength 550nm is 70% or more. Moreover, it is preferable that the resistivity of the conductive polymer contained in a conductive composition is 0.1 ohm * cm or less.

도전성 고분자로서는, 소위 π전자 공액계 도전성 고분자를 사용할 수 있다. 예를 들면, 폴리아닐린 또는 그 유도체, 폴리피롤 또는 그 유도체, 폴리티오펜 또는 그 유도체, 또는 아닐린, 피롤 및 티오펜의 2종 이상의 공중합체 또는 그 유도체 등을 들 수 있다. As the conductive polymer, a so-called π-electron conjugated conductive polymer can be used. For example, polyaniline or derivatives thereof, polypyrrole or derivatives thereof, polythiophene or derivatives thereof, or two or more copolymers of aniline, pyrrole and thiophene or derivatives thereof and the like can be given.

백 게이트 전극(139)은 스퍼터링법에 의해, 상기 재료 중 어느 하나를 사용한 박막을 형성한 후, 포토리소그래피 공정에 의해 형성한 레지스트로 형성되는 마스크를 사용하여 상기 박막을 에칭함으로써 형성할 수 있다. 또한, 투광성을 갖는 도전성 고분자를 포함하는 도전성 조성물을 도포 또는 인쇄한 후, 소성하여 형성할 수 있다. The back gate electrode 139 can be formed by sputtering to form a thin film using any one of the above materials, and then etching the thin film using a mask formed of a resist formed by a photolithography step. Moreover, after apply | coating or printing the electroconductive composition containing the electroconductive polymer which has translucent, it can bake and form.

다음에, 박막 트랜지스터의 상면도에 도 6을 사용하여 백 게이트 전극의 형상을 설명한다. Next, the shape of the back gate electrode will be described with reference to FIG. 6 in the top view of the thin film transistor.

도 6a에 도시하는 바와 같이, 백 게이트 전극(139)은 게이트 전극(103)과 평행하게 형성할 수 있다. 이 경우, 백 게이트 전극(139)에 인가하는 전위와, 게이트 전극(103)에 인가하는 전위를, 각각 임의로 제어하는 것이 가능하다. 이로 인해, 박막 트랜지스터의 임계값 전압을 제어할 수 있다. 또한, 캐리어가 흐르는 영역, 즉 채널 영역이 미결정 반도체 영역의 게이트 절연막(105)측, 및 절연막(137)측에 형성되기 때문에, 박막 트랜지스터의 온 전류를 높일 수 있다. As shown in FIG. 6A, the back gate electrode 139 can be formed in parallel with the gate electrode 103. In this case, it is possible to arbitrarily control the potential applied to the back gate electrode 139 and the potential applied to the gate electrode 103, respectively. For this reason, the threshold voltage of a thin film transistor can be controlled. In addition, since the region where the carrier flows, that is, the channel region, is formed on the gate insulating film 105 side and the insulating film 137 side of the microcrystalline semiconductor region, the on current of the thin film transistor can be increased.

또한, 도 6b에 도시하는 바와 같이, 백 게이트 전극(139)은 게이트 전극(103)에 접속시킬 수 있다. 즉, 게이트 절연막(105) 및 절연막(137)에 형성한 개구부(150)에 있어서, 게이트 전극(103) 및 백 게이트 전극(139)이 접속하는 구조로 할 수 있다. 이 경우, 백 게이트 전극(139)에 인가하는 전위와, 게이트 전극(103)에 인가하는 전위는 동일하다. 이 결과, 반도체막에 있어서, 캐리어가 흐르는 영역, 즉 채널 영역이, 미결정 반도체 영역의 게이트 절연막(105)측, 및 절연막(137)측에 형성되기 때문에, 박막 트랜지스터의 온 전류를 높일 수 있다. In addition, as shown in FIG. 6B, the back gate electrode 139 can be connected to the gate electrode 103. That is, the opening 150 formed in the gate insulating film 105 and the insulating film 137 can have a structure in which the gate electrode 103 and the back gate electrode 139 are connected. In this case, the potential applied to the back gate electrode 139 and the potential applied to the gate electrode 103 are the same. As a result, in the semiconductor film, the region in which the carrier flows, that is, the channel region, is formed on the gate insulating film 105 side and the insulating film 137 side of the microcrystalline semiconductor region, so that the ON current of the thin film transistor can be increased.

또한, 도 6c에 도시하는 바와 같이, 백 게이트 전극(139)은 게이트 전극(103)과 접속하지 않고, 플로팅이라도 양호하다. 백 게이트 전극(139)에 전위를 인가하지 않아도, 채널 영역이 미결정 반도체 영역의 게이트 절연막(105)측, 및 절연막(137)측에 형성되기 때문에, 박막 트랜지스터의 온 전류를 높일 수 있다. In addition, as shown in FIG. 6C, the back gate electrode 139 may be floated without being connected to the gate electrode 103. Even if a potential is not applied to the back gate electrode 139, the channel region is formed on the gate insulating film 105 side and the insulating film 137 side of the microcrystalline semiconductor region, so that the on-state current of the thin film transistor can be increased.

또는, 도 6d에 도시하는 바와 같이, 백 게이트 전극(139)은 절연막(137)을 개재하여 배선(129a, 129b)과 중첩되어도 좋다. 여기에서는, 도 6a에 도시하는 구조의 백 게이트 전극(139)을 사용하여 나타냈지만, 도 6b 및 도 6c에 도시하는 백 게이트 전극(139)도 마찬가지로 배선(129a, 129b)과 중첩되어도 좋다. Alternatively, as shown in FIG. 6D, the back gate electrode 139 may overlap the wirings 129a and 129b via the insulating film 137. Although the back gate electrode 139 of the structure shown in FIG. 6A was shown here, the back gate electrode 139 shown in FIG. 6B and 6C may also overlap with wiring 129a, 129b similarly.

본 실시 형태에 나타내는 싱글 게이트형의 박막 트랜지스터 및 듀얼 게이트형의 박막 트랜지스터는, 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막으로 채널 영역을 형성하는 것이 가능하다. 이로 인해, 싱글 게이트형의 박막 트랜지스터 및 듀얼 게이트형의 박막 트랜지스터의 캐리어의 이동량이 증가하여 온 전류 및 전계 효과 이동도를 높일 수 있다. 또한, 미결정 반도체 영역(133a)과, 불순물 반도체막(131a, 131b) 사이에, 비정질 반도체 영역(133b)을 가진다. 이로 인해, 박막 트랜지스터의 오프 전류를 저감시킬 수 있다. 이상의 점에서, 싱글 게이트형의 박막 트랜지스터 및 듀얼 게이트형의 박막 트랜지스터의 면적을 작게 하는 것이 가능하여, 반도체 장치로의 고집적화가 가능하다. 또한, 표시 장치의 구동 회로에 본 실시 형태에 나타내는 박막 트랜지스터를 사용함으로써, 구동 회로의 면적을 저감시킬 수 있기 때문에, 표시 장치의 프레임을 더 좁게 하는 것이 가능하다. In the single gate type thin film transistor and the dual gate type thin film transistor shown in this embodiment, it is possible to form a channel region with a microcrystalline semiconductor film having high crystallinity by reducing the gap between the mixed phase grains. As a result, the amount of carrier movement of the single gate thin film transistor and the dual gate thin film transistor can be increased to increase the on current and the field effect mobility. Further, an amorphous semiconductor region 133b is provided between the microcrystalline semiconductor region 133a and the impurity semiconductor films 131a and 131b. For this reason, the off current of a thin film transistor can be reduced. In view of the foregoing, the area of the single gate thin film transistor and the dual gate thin film transistor can be reduced, and high integration into a semiconductor device is possible. In addition, since the area of the drive circuit can be reduced by using the thin film transistor shown in the present embodiment for the drive circuit of the display device, the frame of the display device can be further narrowed.

또한, 본 실시 형태에서는 실시 형태 1을 사용하여 미결정 반도체막을 형성했지만, 실시 형태 2를 사용하여 미결정 반도체막을 형성할 수 있다. 또한, 실시 형태 2에 나타내는 미결정 반도체막을 사용하여 듀얼 게이트형의 박막 트랜지스터를 형성하면, 백 게이트 전극측의 미결정 반도체막의 결정성이 높기 때문에, 듀얼 게이트형의 박막 트랜지스터의 전기 특성을 더욱 양호하게 할 수 있다. In addition, in this embodiment, although the microcrystalline semiconductor film was formed using Embodiment 1, the microcrystal semiconductor film can be formed using Embodiment 2. In addition, when the dual gate thin film transistor is formed using the microcrystalline semiconductor film shown in Embodiment 2, since the crystallinity of the microcrystalline semiconductor film on the back gate electrode side is high, the electrical characteristics of the dual gate thin film transistor can be further improved. Can be.

(실시 형태 4)(Embodiment 4)

본 실시 형태에서는, 실시 형태 3과 비교하여, 또한, 오프 전류의 저감이 가능한 박막 트랜지스터의 제작 방법에 관해서, 도 3 및 도 7을 사용하여 설명한다. In this embodiment, a manufacturing method of a thin film transistor that can reduce the off current can be further described with reference to FIG. 3 and FIG. 7.

실시 형태 3과 같이, 도 3a 내지 도 3c의 공정을 거쳐 도 7a에 도시하는 바와 같이, 반도체 적층체(117)를 형성한다. As in the third embodiment, the semiconductor laminate 117 is formed through the process of FIGS. 3A to 3C as shown in FIG. 7A.

다음에, 레지스트로 형성되는 마스크(115)를 잔존시킨 채, 반도체 적층체(117)의 측면에 플라즈마(123)를 노출시키는 플라즈마 처리를 행한다. 여기에서는, 산화 가스 또는 질화 가스 분위기에서 플라즈마를 발생시켜 반도체 적층체(117)에 플라즈마(123)를 노출시킨다. 산화 가스로서는, 산소, 오존, 일산화이질소, 수증기, 산소 및 수소의 혼합 기체 등이 있다. 또한, 질화 가스로서는, 질소, 암모니아, 불화질소, 염화질소, 클로로아민, 플루오로아민 등이 있다. 산화 가스 또는 질화 가스 분위기에서 플라즈마를 발생시킴으로써, 산소 라디칼 또는 질소 라디칼이 발생한다. 상기 라디칼은 반도체 적층체(117)와 반응하여, 반도체 적층체(117)의 측면에 장벽 영역인 절연 영역을 형성할 수 있다. 또한, 플라즈마를 조사하는 대신, 자외광을 조사하여 산소 라디칼 또는 질소 라디칼을 발생시켜도 좋다.Next, a plasma treatment is performed in which the plasma 123 is exposed on the side surface of the semiconductor laminate 117 while the mask 115 formed of the resist remains. Here, plasma is generated in an oxidizing gas or nitriding gas atmosphere to expose the plasma 123 to the semiconductor laminate 117. Examples of the oxidizing gas include oxygen, ozone, dinitrogen monoxide, water vapor, a mixed gas of oxygen, and hydrogen. In addition, examples of the nitriding gas include nitrogen, ammonia, nitrogen fluoride, nitrogen chloride, chloroamine, and fluoroamine. By generating a plasma in an oxidizing gas or nitriding gas atmosphere, oxygen radicals or nitrogen radicals are generated. The radicals may react with the semiconductor laminate 117 to form an insulation region, which is a barrier region, on a side surface of the semiconductor laminate 117. Instead of irradiating the plasma, ultraviolet light may be irradiated to generate oxygen radicals or nitrogen radicals.

또한, 산화 가스로서, 산소, 오존, 수증기, 산소 및 수소의 혼합 기체를 사용하면, 도 7b에 도시하는 바와 같이, 플라즈마 조사에 의해 레지스트가 후퇴하여, 상면의 면적이 축소된 마스크(115a)가 형성된다. 이로 인해, 상기 플라즈마 처리에 의해, 반도체 적층체(117)의 측면과 함께, 노출된 불순물 반도체막(121)이 산화되고, 반도체 적층체(117)의 측면 및 불순물 반도체막(121)의 측면 및 상면의 일부에도 장벽 영역인 절연 영역(125)이 형성된다. In addition, when a mixed gas of oxygen, ozone, water vapor, oxygen, and hydrogen is used as the oxidizing gas, as shown in FIG. 7B, the resist is retracted by plasma irradiation, and the mask 115a having the reduced area of the upper surface is formed. Is formed. For this reason, the exposed impurity semiconductor film 121 is oxidized along with the side surface of the semiconductor laminate 117 by the plasma treatment, and the side surface of the semiconductor laminate 117 and the side surface of the impurity semiconductor film 121 and An insulating region 125, which is a barrier region, is also formed in a part of the upper surface.

다음에, 실시 형태 3에 도시하는 바와 같이, 도 5a 및 도 5b와 같은 공정을 거쳐 도 5c에 도시하는 바와 같이, 소스 전극 및 드레인 전극으로서 기능하는 배선(129a, 129b), 소스 영역 및 드레인 영역으로서 기능하는 한 쌍의 불순물 반도체막(131a, 131b), 미결정 반도체 영역(133a) 및 한 쌍의 비정질 반도체 영역(133b)을 갖는 반도체 적층체(133), 절연막(137)을 형성함으로써, 싱글 게이트형의 박막 트랜지스터를 제작할 수 있다. Next, as shown in the third embodiment, the wirings 129a and 129b, the source region and the drain region functioning as the source electrode and the drain electrode, as shown in FIG. 5C through the same process as those in FIGS. 5A and 5B. A single gate is formed by forming a semiconductor laminate 133 and an insulating film 137 having a pair of impurity semiconductor films 131a and 131b, a microcrystalline semiconductor region 133a, and a pair of amorphous semiconductor regions 133b that function as a single gate. Type thin film transistor can be manufactured.

또한, 절연막(137) 위에 백 게이트 전극을 형성함으로써, 듀얼 게이트형의 박막 트랜지스터를 제작할 수 있다. In addition, by forming the back gate electrode on the insulating film 137, a dual gate type thin film transistor can be manufactured.

본 실시 형태에 나타내는 싱글 게이트형의 박막 트랜지스터 및 듀얼 게이트형의 박막 트랜지스터는, 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막으로 채널 영역을 형성하는 것이 가능하다. 또한, 반도체 적층체(133) 및 배선(129a, 129b) 사이에 장벽 영역인 절연 영역을 형성함으로써, 배선(129a, 129b)으로부터 반도체 적층체(133)로의 홀의 주입을 억제하는 것이 가능하며, 오프 전류가 낮고, 전계 효과 이동도 및 온 전류가 높은 박막 트랜지스터가 된다. 이로 인해, 박막 트랜지스터의 면적을 작게 하는 것이 가능하여 반도체 장치의 고집적화가 가능하다. 또한, 표시 장치의 구동 회로에 본 실시 형태에 나타내는 박막 트랜지스터를 사용함으로써, 구동 회로의 면적을 저감시킬 수 있기 때문에, 표시 장치의 프레임을 더 좁게 하는 것이 가능하다. In the single gate type thin film transistor and the dual gate type thin film transistor shown in this embodiment, it is possible to form a channel region with a microcrystalline semiconductor film having high crystallinity by reducing the gap between the mixed phase grains. In addition, by forming an insulating region that is a barrier region between the semiconductor laminate 133 and the wirings 129a and 129b, it is possible to suppress the injection of holes from the wirings 129a and 129b into the semiconductor laminate 133, and thus to turn off. The thin film transistor is low in current, high in field effect mobility and high on current. For this reason, the area of a thin film transistor can be made small and high integration of a semiconductor device is attained. In addition, since the area of the drive circuit can be reduced by using the thin film transistor shown in the present embodiment for the drive circuit of the display device, the frame of the display device can be further narrowed.

또한, 본 실시 형태에서는, 실시 형태 3을 사용하여 설명했지만, 적절히 다른 실시 형태를 사용할 수 있다. In addition, although this Embodiment was described using Embodiment 3, other embodiment can be used suitably.

(실시 형태 5)(Embodiment 5)

본 실시 형태에서는, 본 발명의 일 형태인 반도체 장치에 형성되는 박막 트랜지스터의 제작 방법에 관해서, 도 3, 도 5, 및 도 8을 참조하여 설명한다. 도 8은, 도 5b에 도시하는 공정에 대응하는 공정이다. In this embodiment, the manufacturing method of the thin film transistor formed in the semiconductor device which is one Embodiment of this invention is demonstrated with reference to FIG. 3, FIG. 5, and FIG. FIG. 8 is a process corresponding to the process shown in FIG. 5B.

실시 형태 3과 같이, 도 3a 내지 도 3d 및 도 5a의 공정을 거쳐 도전막(127)을 형성한다. As in the third embodiment, the conductive film 127 is formed through the processes of FIGS. 3A to 3D and 5A.

다음에, 도 8에 도시하는 바와 같이, 실시 형태 3과 같이, 배선(129a, 129b)을 형성하고, 불순물 반도체막(121) 및 반도체 적층체(117)의 일부를 에칭하여, 소스 영역 및 드레인 영역으로서 기능하는 한 쌍의 불순물 반도체막(131a, 131b)을 형성한다. 또한, 미결정 반도체 영역(143a) 및 비정질 반도체 영역(143b)을 갖는 반도체 적층체(143)를 형성한다. 이 때, 비정질 반도체 영역(143b)이 노출되도록 반도체 적층체(117)를 에칭함으로써, 배선(129a, 129b)으로 피복되는 영역에서는 미결정 반도체 영역(143a) 및 비정질 반도체 영역(143b)이 적층되고, 배선(129a, 129b)으로 피복되지 않고, 또한 게이트 전극과 겹치는 영역에 있어서는, 미결정 반도체 영역(143a)이 노출되지 않고, 비정질 반도체 영역(143b)이 노출되는 반도체 적층체(143)가 된다. 또한, 여기에서의 반도체 적층체(117)의 에칭량은 도 5b보다 적은 것으로 한다. Next, as shown in FIG. 8, as in the third embodiment, the wirings 129a and 129b are formed, and a part of the impurity semiconductor film 121 and the semiconductor laminate 117 are etched to form a source region and a drain. A pair of impurity semiconductor films 131a and 131b serving as regions are formed. In addition, a semiconductor laminate 143 having a microcrystalline semiconductor region 143a and an amorphous semiconductor region 143b is formed. At this time, by etching the semiconductor laminate 117 so that the amorphous semiconductor region 143b is exposed, in the region covered with the wirings 129a and 129b, the microcrystalline semiconductor region 143a and the amorphous semiconductor region 143b are laminated. In the region not covered by the wirings 129a and 129b and overlapping with the gate electrode, the semiconductor laminate 143 is exposed without the microcrystalline semiconductor region 143a and the amorphous semiconductor region 143b. In addition, the etching amount of the semiconductor laminated body 117 here shall be less than FIG. 5B.

이 다음의 공정은, 실시 형태 3과 같다. This next step is the same as that in the third embodiment.

이상의 공정에 의해 싱글 게이트형의 박막 트랜지스터를 제작할 수 있다. 이 박막 트랜지스터는 백 채널측이 비정질이기 때문에, 도 5b에 도시하는 박막 트랜지스터에 비해 오프 전류를 저감시킬 수 있다. Through the above steps, a single gate thin film transistor can be manufactured. Since this thin film transistor is amorphous on the back channel side, the off current can be reduced as compared with the thin film transistor shown in Fig. 5B.

또한, 본 실시 형태에서는, 도 8에 도시하는 공정 뒤에, 도 5c에 도시하는 공정과 같이, 절연막(137)을 개재하여 백 게이트 전극(139)을 형성해도 좋다. In the present embodiment, the back gate electrode 139 may be formed after the step shown in FIG. 8 via the insulating film 137 as in the step shown in FIG. 5C.

본 실시 형태는, 다른 실시 형태와 적절히 조합하여 사용할 수 있다. This embodiment can be used in appropriate combination with any of the other embodiments.

(실시 형태 6)(Embodiment 6)

박막 트랜지스터를 제작하고, 상기 박막 트랜지스터를 화소부, 또한 구동 회로에 사용하여 표시 기능을 갖는 반도체 장치(표시 장치라고도 한다)를 제작할 수 있다. 또한, 박막 트랜지스터를 사용한 구동 회로의 일부 또는 전체를, 화소부와 동일한 기판 위에 일체 형성하고, 시스템 온 패널을 형성할 수 있다. A thin film transistor can be fabricated, and a semiconductor device (also referred to as a display device) having a display function can be fabricated by using the thin film transistor for a pixel portion and a driving circuit. In addition, a part or all of the driving circuit using the thin film transistor can be integrally formed on the same substrate as the pixel portion to form a system on panel.

표시 장치는 표시 소자를 포함한다. 표시 소자로서는 액정 소자(액정 표시 소자라고도 한다), 발광 소자(발광 표시 소자라고도 한다)를 사용할 수 있다. 발광 소자는 전류 또는 전압에 의해 휘도가 제어되는 소자를 그 범주에 포함하고 있으며, 구체적으로는 무기 EL(Electro Luminescence) 소자, 유기 EL 소자 등이 포함된다. 또한, 전자 잉크 등, 전기적 작용에 의해 콘트라스트가 변화되는 표시 매체도 적용할 수 있다. The display device includes a display element. As the display element, a liquid crystal element (also called a liquid crystal display element) and a light emitting element (also called a light emitting display element) can be used. The light emitting device includes, in its category, an element whose luminance is controlled by a current or voltage, and specifically includes an inorganic EL (Electro Luminescence) device, an organic EL device, and the like. Further, a display medium in which the contrast is changed by an electrical action such as an electronic ink can also be applied.

또한, 표시 장치는 표시 소자가 밀봉된 상태에 있는 패널과, 상기 패널에 컨트롤러를 포함하는 IC 등을 실장한 상태에 있는 모듈을 포함한다. 또한, 상기 표시 장치를 제작하는 과정에 있어서의, 표시 소자가 완성되기 전의 일 형태에 상당하는 소자 기판에 관한 것이며, 상기 소자 기판은 전류를 표시 소자에 공급하기 위한 수단을 복수의 각 화소에 구비한다. 소자 기판은, 구체적으로는, 표시 소자의 화소 전극만이 형성된 상태라도 좋고, 화소 전극이 되는 도전막을 형성한 후이며, 에칭하여 화소 전극을 형성하기 전의 상태라도 좋고, 모든 형태가 적합하다.The display device also includes a panel in which the display element is sealed, and a module in which an IC including a controller is mounted on the panel. Furthermore, in the process of manufacturing the said display apparatus, it is related with the element board | substrate corresponded to one form before the display element is completed, The said element substrate is equipped with the means for supplying an electric current to a display element in each of several pixel. do. Specifically, the element substrate may be in a state in which only a pixel electrode of the display element is formed, or may be formed after forming a conductive film to be a pixel electrode, and before etching to form a pixel electrode, and all forms are suitable.

또한, 본 명세서 중에 있어서의 표시 장치란, 화상 표시 디바이스, 표시 디바이스, 또는 광원(조명 장치 포함)을 가리킨다. 또한, 커넥터, 예를 들면 FPC(Flexible printed circuit) 또는 TAB(Tape Automated Bonding) 테이프 또는 TCP(Tape Carrier Package)가 장착된 모듈, TAB 테이프나 TCP 끝에 프린트 배선판이 형성된 모듈, 또는 표시 소자에 COG(Chip On Glass) 방식에 의해 IC(집적 회로)가 직접 실장된 모듈도 모두 표시 장치에 포함하는 것으로 한다. In addition, the display apparatus in this specification refers to an image display device, a display device, or a light source (including an illumination device). In addition, connectors such as flexible printed circuit (FPC) or Tape Automated Bonding (TAB) tapes or Tape Carrier Package (TCP) tapes, modules having printed wiring boards formed at the end of TAB tapes or TCPs, or display elements have COG ( All modules in which ICs (integrated circuits) are mounted directly by a chip on glass method are also included in the display device.

(실시 형태 7)(Seventh Embodiment)

본 실시 형태에서는, 반도체 장치의 일 형태인 광전 변환 장치에 관해서, 설명한다. 본 실시 형태에 나타내는 광전 변환 장치에서는, 반도체막에 실시 형태 1 및 실시 형태 2에 나타내는 바와 같은, 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막을 채용한다. 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막이 채용되는 반도체막으로서는, 광전 변환을 행하는 반도체막이나 도전형을 나타내는 반도체막 등이 있지만, 특히, 광전 변환을 행하는 반도체막에 채용하는 것이 적합하다. 또는, 광전 변환을 행하는 반도체막이나 도전형을 나타내는 반도체막과, 다른 막과의 계면에, 혼상립의 간극을 저감시킴으로써 결정성을 향상시킨 미결정 반도체막을 채용할 수도 있다. In this embodiment, a photoelectric conversion device which is one embodiment of a semiconductor device will be described. In the photoelectric conversion device shown in the present embodiment, a microcrystalline semiconductor film having high crystallinity is employed as the semiconductor film by reducing the gap between the mixed phases as shown in the first and second embodiments. Examples of the semiconductor film in which the microcrystalline semiconductor film having increased crystallinity by reducing the interphase gap are employed include a semiconductor film for performing photoelectric conversion and a semiconductor film for conducting conductivity, but are particularly suitable for use in a semiconductor film for performing photoelectric conversion. Do. Alternatively, a microcrystalline semiconductor film having improved crystallinity may be employed at the interface between a semiconductor film for conducting photoelectric conversion, a semiconductor film having a conductivity type, and another film, by reducing interphase gaps.

상기한 바와 같은 구성을 채용함으로써, 광전 변환을 행하는 반도체막이나 도전형을 나타내는 반도체막에 의해 생기는 저항(직렬 저항)을 저감시켜 특성을 향상시킬 수 있다. 또한, 광전 변환을 행하는 반도체막이나 도전형을 나타내는 반도체막과, 다른 막과의 계면에 있어서의 광학적?전기적인 손실을 억제하여 광전 변환 효율을 향상시킬 수 있다. 이하, 도 9를 사용하여, 광전 변환 장치의 제작 방법의 일 형태에 관해서 설명한다. By adopting the above-described configuration, the resistance (series resistance) generated by the semiconductor film for performing photoelectric conversion or the semiconductor film showing the conductivity type can be reduced to improve the characteristics. Moreover, the optical and electrical loss in the interface of the semiconductor film which performs photoelectric conversion, the semiconductor film of a conductive type, and another film can be suppressed, and photoelectric conversion efficiency can be improved. Hereinafter, one aspect of the manufacturing method of the photoelectric conversion device will be described with reference to FIG. 9.

도 9a에 도시하는 바와 같이, 기판(200) 위에 제 1 전극(202)을 형성한다. As shown in FIG. 9A, the first electrode 202 is formed on the substrate 200.

기판(200)으로서는, 실시 형태 1에 나타내는 기판(51)을 적절히 사용할 수 있다. 또한, 플라스틱 기판을 사용할 수도 있다. 플라스틱 기판으로서는, 에폭시 수지, 불포화 폴리에스테르 수지, 폴리이미드 수지, 비스말레이미드트리아진 수지, 시아네이트 수지 등의 열경화성 수지를 포함하는 기판이나, 폴리페닐렌옥사이드 수지, 폴리에테르이미드 수지, 불소 수지 등의 열가소성 수지를 포함하는 기판을 사용하면 좋다. As the board | substrate 200, the board | substrate 51 shown in Embodiment 1 can be used suitably. It is also possible to use a plastic substrate. Examples of the plastic substrate include substrates containing thermosetting resins such as epoxy resins, unsaturated polyester resins, polyimide resins, bismaleimide triazine resins, and cyanate resins, polyphenylene oxide resins, polyetherimide resins, and fluorine resins. It is good to use the board | substrate containing the thermoplastic resin of this.

또한, 기판(200)은 텍스처 구조라도 좋다. 이것에 의해, 광전 변환 효율을 향상시키는 것이 가능하다. In addition, the substrate 200 may have a texture structure. Thereby, it is possible to improve photoelectric conversion efficiency.

또한, 본 실시 형태에서는, 광이 기판(200)의 이면측(도면의 아래쪽)으로부터 입사하는 구성으로 하기 때문에, 투광성을 갖는 기판을 채용하지만, 이후에 형성되는 제 2 전극(210)측(도면의 윗쪽)으로부터 광이 입사하는 구성으로 하는 경우에는, 이것에 한정되지 않는다. 이 경우, 실리콘 등의 재료를 포함하는 반도체 기판이나, 금속 재료 등을 포함하는 도전성 기판을 사용해도 좋다.In addition, in this embodiment, since light enters from the back surface side (lower part of drawing) of the board | substrate 200, the board | substrate which has translucency is employ | adopted, but the 2nd electrode 210 side formed later (drawing-fiber) is adopted. In the case where the light enters from above), the configuration is not limited to this. In this case, a semiconductor substrate containing a material such as silicon or a conductive substrate containing a metal material or the like may be used.

제 1 전극(202)은 실시 형태 3에 나타내는 백 게이트 전극(139)에 사용하는 투광성을 갖는 도전성 재료를 사용하여 형성할 수 있다. 제 1 전극(202)은 스퍼터링법, CVD법, 진공 증착법, 도포법, 인쇄법 등을 사용하여 형성한다. The 1st electrode 202 can be formed using the electroconductive material which has a translucency used for the back gate electrode 139 shown in Embodiment 3. As shown in FIG. The first electrode 202 is formed using a sputtering method, a CVD method, a vacuum deposition method, a coating method, a printing method, or the like.

제 1 전극(202)은 10nm 내지 500nm, 바람직하게는, 50nm 내지 100nm의 두께로 형성한다. 또한, 제 1 전극(202)의 시트 저항은, 20Ω/sq. 내지 200Ω/sq. 정도가 되도록 형성한다. The first electrode 202 is formed to a thickness of 10 nm to 500 nm, preferably 50 nm to 100 nm. In addition, the sheet resistance of the 1st electrode 202 is 20 ohm / sq. To 200 Ω / sq. Form so that it is about.

또한, 본 실시 형태에서는, 광이 기판(200)의 이면측(도면의 아래쪽)으로부터 입사하는 구성으로 하기 때문에, 투광성을 갖는 도전성 재료를 사용하여 제 1 전극(202)을 형성하고 있지만, 이후에 형성되는 제 2 전극(210)측(도면의 윗쪽)으로부터 광이 입사하는 구성으로 하는 경우에는, 이것에 한정되지 않는다. 이러한 경우에는, 알루미늄, 백금, 금, 은, 구리, 티탄, 탄탈, 텅스텐 등의 투광성을 갖지 않는 도전성 재료를 사용하여 제 1 전극(202)을 형성할 수 있다. 특히, 알루미늄, 은, 티탄, 탄탈 등의 광을 반사하기 쉬운 재료를 사용하는 경우에는, 광전 변환 효율을 충분히 향상시키는 것이 가능하다. In addition, in this embodiment, since light enters from the back surface side (lower part of drawing) of the board | substrate 200, although the 1st electrode 202 is formed using the electroconductive material which has a translucency, afterwards, In the case where the light is incident from the second electrode 210 side (upper part of the drawing) formed, the present invention is not limited thereto. In such a case, the first electrode 202 can be formed using a conductive material having no light transmissive properties such as aluminum, platinum, gold, silver, copper, titanium, tantalum and tungsten. In particular, when using a material which easily reflects light such as aluminum, silver, titanium, tantalum, or the like, it is possible to sufficiently improve the photoelectric conversion efficiency.

기판(200)과 같이 제 1 전극(202)을 텍스처 구조로 해도 좋다. 또한, 제 1 전극(202)에 접하도록, 저저항의 도전성 재료로 이루어지는 보조 전극을 별도 형성해도 좋다. Like the substrate 200, the first electrode 202 may have a texture structure. In addition, an auxiliary electrode made of a low resistance conductive material may be separately formed so as to contact the first electrode 202.

다음에, 도 9b에 도시하는 바와 같이, 제 1 전극(202) 위에 제 1 도전형을 나타내는 반도체막(204)을 형성한다. 제 1 도전형을 나타내는 반도체막(204)은, 대표적으로는, 도전형을 부여하는 불순물 원소가 첨가된 반도체 재료를 포함하는 반도체막을 사용하여 형성한다. 반도체 재료로서는, 생산성이나 비용 등의 점에서 실리콘을 사용하는 것이 적합하다. 반도체 재료로서 실리콘을 사용하는 경우, 도전형을 부여하는 불순물 원소로서는, n형을 부여하는 인, 비소, p형을 부여하는 붕소, 알루미늄 등이 채용된다. Next, as shown in FIG. 9B, a semiconductor film 204 showing the first conductivity type is formed over the first electrode 202. The semiconductor film 204 which shows a 1st conductivity type is typically formed using the semiconductor film containing the semiconductor material to which the impurity element which gives a conductivity type was added. As the semiconductor material, it is suitable to use silicon in terms of productivity and cost. When using silicon as a semiconductor material, as an impurity element which imparts a conductivity type, phosphorus which gives n type, arsenic, boron which gives p type, aluminum, etc. are employ | adopted.

또한, 본 실시 형태에서는, 광이 기판(200)의 이면측(도면의 아래쪽)으로부터 입사하는 구성하고 있기 때문에, 제 1 도전형을 나타내는 반도체막(204)의 도전형(제 1 도전형)은 p형으로 하는 것이 바람직하다. 이것은, 홀의 수명이 전자의 수명의 약 절반으로 짧고, 그 결과 홀의 확산 길이가 짧은 점, 전자와 홀의 형성이, 광전 변환을 행하는 반도체막(206)의 광이 입사하는 쪽에서 많이 이루어지는 점 등에 의한 것이다. 이와 같이 제 1 도전형을 p형으로 함으로써, 홀이 소멸되기 전에 전류로서 취출하는 것이 가능하기 때문에, 광전 변환 효율의 저하를 억제할 수 있다. 또한, 상기가 문제가 되지 않는 상황, 예를 들면, 광전 변환을 행하는 반도체막(206)이 충분히 얇은 경우 등에 있어서는, 제 1 도전형을 n형으로 해도 좋다.In addition, in this embodiment, since light is comprised from the back surface side (lower part of drawing) of the board | substrate 200, the conductivity type (1st conductivity type) of the semiconductor film 204 which shows a 1st conductivity type is It is preferable to set it as p type. This is due to the fact that the lifetime of the hole is short at about half of the lifetime of the electron, and as a result, the diffusion length of the hole is short, and the formation of electrons and holes is often made at the side where the light of the semiconductor film 206 undergoing photoelectric conversion is incident. . By setting the first conductivity type to p-type in this way, it is possible to take out as a current before the hole disappears, so that the decrease in photoelectric conversion efficiency can be suppressed. In addition, in the situation where the above is not a problem, for example, when the semiconductor film 206 that performs photoelectric conversion is sufficiently thin, the first conductivity type may be n-type.

제 1 도전형을 나타내는 반도체막(204)에 사용할 수 있는 반도체 재료로서는, 그 외에도, 탄화실리콘, 게르마늄, 갈륨비소, 인화인듐, 셀렌화아연, 질화갈륨, 실리콘게르마늄 등이 있다. 또한, 유기 재료를 포함하는 반도체 재료나, 금속산화물을 포함하는 반도체 재료 등을 사용하는 것도 가능하다. 상기 재료에 관해서는, 광전 변환을 행하는 반도체막(206)과의 관계에서 적절히 선택할 수 있다. Examples of the semiconductor material that can be used for the semiconductor film 204 showing the first conductivity type include silicon carbide, germanium, gallium arsenide, indium phosphide, zinc selenide, gallium nitride, silicon germanium, and the like. It is also possible to use a semiconductor material containing an organic material, a semiconductor material containing a metal oxide, or the like. The material can be appropriately selected in relation to the semiconductor film 206 which performs photoelectric conversion.

제 1 도전형을 나타내는 반도체막(204)의 결정성에 관한 요구는 특별히 없지만, 제 1 도전형을 나타내는 반도체막(204)에, 실시 형태 1 또는 실시 형태 2에 나타내는 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막을 채용하는 경우에는, 종래의 미결정 반도체막을 채용하는 경우와 비교하여, 직렬 저항을 저감시키고, 또한, 다른 막과의 계면에 있어서의 광학적?전기적인 손실을 억제할 수 있기 때문에, 적합하다. 물론, 비정질, 다결정, 단결정 등의 다른 결정성의 반도체를 채용하는 것도 가능하다. Although there is no request regarding the crystallinity of the semiconductor film 204 showing the first conductivity type, the semiconductor film 204 showing the first conductivity type is determined by reducing the gap of the mixed phase shown in the first or second embodiment. In the case of employing a microcrystalline semiconductor film having improved properties, the series resistance can be reduced and the optical and electrical loss at the interface with other films can be suppressed as compared with the conventional microcrystalline semiconductor film. , Suitable. Of course, it is also possible to employ other crystalline semiconductors such as amorphous, polycrystalline, and single crystals.

또한, 제 1 도전형을 나타내는 반도체막(204)을, 기판(200)과 같이 텍스처 구조로 해도 좋다.In addition, the semiconductor film 204 showing the first conductivity type may have a texture structure like the substrate 200.

제 1 도전형을 나타내는 반도체막(204)은, 실리콘을 포함하는 퇴적성 가스, 및 디보란을 사용한 플라즈마 CVD법으로 형성할 수 있다. 또한, 제 1 도전형을 나타내는 반도체막(204)은, 1nm 내지 100nm, 바람직하게는 5nm 내지 50nm의 두께가 되도록 형성한다. The semiconductor film 204 showing the first conductivity type can be formed by a plasma CVD method using a deposition gas containing silicon and diborane. The semiconductor film 204 showing the first conductivity type is formed to have a thickness of 1 nm to 100 nm, preferably 5 nm to 50 nm.

또한, 도전형을 부여하는 불순물 원소가 첨가되지 않은 실리콘막을 플라즈마 CVD법 등에 의해 형성한 후, 이온 주입 등의 방법으로 붕소를 첨가하여, 제 1 도전형을 나타내는 반도체막(204)을 형성해도 좋다. In addition, after forming the silicon film to which the impurity element imparting a conductivity type is not added by plasma CVD or the like, boron may be added by a method such as ion implantation to form a semiconductor film 204 showing the first conductivity type. .

다음에, 도 9c에 도시하는 바와 같이, 제 1 도전형을 나타내는 반도체막(204) 위에는, 광전 변환을 행하는 반도체막(206)을 형성한다. 광전 변환을 행하는 반도체막(206)으로서는, 반도체막(204)과 같은 반도체 재료를 사용한 반도체막이 적용된다. 즉, 반도체 재료로서, 실리콘, 탄화실리콘, 게르마늄, 갈륨비소, 인화인듐, 셀렌화아연, 질화갈륨, 실리콘게르마늄 등을 사용할 수 있다. 이 중에서도, 실리콘을 사용하는 것이 적합하다. 이외에, 유기 재료를 포함하는 반도체 재료나, 금속산화물 반도체 재료 등을 사용하는 것도 가능하다. Next, as shown in FIG. 9C, on the semiconductor film 204 showing the first conductivity type, a semiconductor film 206 for performing photoelectric conversion is formed. As the semiconductor film 206 for performing the photoelectric conversion, a semiconductor film using the same semiconductor material as the semiconductor film 204 is applied. That is, silicon, silicon carbide, germanium, gallium arsenide, indium phosphide, zinc selenide, gallium nitride, silicon germanium, or the like can be used as the semiconductor material. Among these, it is suitable to use silicon. Besides, it is also possible to use a semiconductor material containing an organic material, a metal oxide semiconductor material, or the like.

광전 변환을 행하는 반도체막(206)으로서는, 실시 형태 1 및 실시 형태 2에 나타내는 바와 같은, 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막을 적용하는 것이 보다 적합하다. 반도체막에 실시 형태 1 및 실시 형태 2에 나타내는 것과 같은, 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막을 채용함으로써, 종래의 미결정 반도체막을 채용하는 경우와 비교하여 직렬 저항을 저감시키고, 또한, 다른 막과의 계면에 있어서의 광학적?전기적인 손실을 억제할 수 있다. As the semiconductor film 206 which performs photoelectric conversion, it is more suitable to apply the microcrystalline semiconductor film which improved crystallinity by reducing the clearance gap of a mixed phase as shown in Embodiment 1 and Embodiment 2. By employing a microcrystalline semiconductor film having high crystallinity by reducing the interphase gap between the semiconductor films as shown in Embodiments 1 and 2, the series resistance is reduced as compared with the case where a conventional microcrystalline semiconductor film is employed. The optical and electrical losses at the interface with other films can be suppressed.

또한, 광전 변환을 행하는 반도체막(206)에는 충분한 광 흡수가 요구되기 때문에, 그 두께는, 100nm 내지 10㎛ 정도로 하는 것이 바람직하다. Moreover, since sufficient light absorption is required for the semiconductor film 206 which performs photoelectric conversion, it is preferable that the thickness is about 100 nm-about 10 micrometers.

다음에, 도 9d에 도시하는 바와 같이, 광전 변환을 행하는 반도체막(206) 위에, 제 2 도전형을 나타내는 반도체막(208)을 형성한다. 본 실시 형태에서는, 제 2 도전형을 n형으로 한다. 제 2 도전형을 나타내는 반도체막(208)은, 도전형을 부여하는 불순물 원소로서 인이 첨가된 실리콘 등의 재료를 사용하여 형성할 수 있다. 제 2 도전형을 나타내는 반도체막(208)에 사용할 수 있는 반도체 재료는, 제 1 도전형을 나타내는 반도체막(204)과 같다. Next, as shown in FIG. 9D, a semiconductor film 208 showing the second conductivity type is formed on the semiconductor film 206 for performing photoelectric conversion. In this embodiment, the second conductivity type is n-type. The semiconductor film 208 showing the second conductivity type can be formed using a material such as silicon to which phosphorus is added as an impurity element imparting a conductivity type. The semiconductor material which can be used for the semiconductor film 208 showing the second conductivity type is the same as the semiconductor film 204 showing the first conductivity type.

제 2 도전형을 나타내는 반도체막(208)은, 제 1 도전형을 나타내는 반도체막(204)과 같이 형성할 수 있다. 예를 들면, 실리콘을 포함하는 퇴적성 가스, 및 포스핀을 사용한 플라즈마 CVD법으로 형성할 수 있다. 제 2 도전형을 나타내는 반도체막(208)에 관해서도, 실시 형태 1 또는 실시 형태 2에 나타내는 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막을 채용하는 것이 적합하다. The semiconductor film 208 showing the second conductivity type can be formed like the semiconductor film 204 showing the first conductivity type. For example, it can be formed by a plasma CVD method using a deposition gas containing silicon and phosphine. Also for the semiconductor film 208 showing the second conductivity type, it is suitable to employ a microcrystalline semiconductor film having high crystallinity by reducing the gap between the interphase grains shown in the first or second embodiment.

또한, 본 실시 형태에서는, 광이 기판(200)의 이면측(도면의 아래쪽)으로부터 입사하는 구성으로 하고 있기 때문에, 반도체막(208)의 도전형(제 2 도전형)을 n형으로 하고 있지만, 개시하는 발명의 일 형태는 이것에 한정되지 않는다. 제 1 도전형을 n형으로 하는 경우에는, 제 2 도전형이 p형이 된다. In addition, in this embodiment, since light enters from the back surface side (lower part of drawing) of the board | substrate 200, the conductivity type (2nd conductivity type) of the semiconductor film 208 is set to n type. One embodiment of the disclosed invention is not limited to this. When the first conductivity type is n-type, the second conductivity type is p-type.

다음에, 도 9e에 도시하는 바와 같이, 제 2 도전형을 나타내는 반도체막(208) 위에 제 2 전극(210)을 형성한다. 제 2 전극(210)은 금속 등의 도전성 재료를 사용하여 형성한다. 예를 들면, 알루미늄, 은, 티탄, 탄탈 등의 광을 반사하기 쉬운 재료를 사용하여 형성할 수 있다. 이 경우, 반도체막(206)에 있어서 전부 흡수할 수 없었던 광을 다시 반도체막(206)에 입사시킬 수 있어 광전 변환 효율을 향상시키는 것이 가능하기 때문에, 적합하다. Next, as shown in FIG. 9E, the second electrode 210 is formed over the semiconductor film 208 having the second conductivity type. The second electrode 210 is formed using a conductive material such as metal. For example, it can form using materials which are easy to reflect light, such as aluminum, silver, titanium, and tantalum. In this case, since the light which could not be fully absorbed in the semiconductor film 206 can be made to enter the semiconductor film 206 again, the photoelectric conversion efficiency can be improved.

제 2 전극(210)의 형성 방법으로서는, 스퍼터링법, 진공 증착법, CVD법, 도포법, 인쇄법 등이 있다. 또한, 제 2 전극(210)은 10nm 내지 500nm, 바람직하게는, 50nm 내지 100nm의 두께로 형성한다. Examples of the method for forming the second electrode 210 include sputtering, vacuum deposition, CVD, coating, printing, and the like. In addition, the second electrode 210 is formed to a thickness of 10nm to 500nm, preferably 50nm to 100nm.

또한, 본 실시 형태에서는, 광이 기판(200)의 이면측(도면의 아래쪽)으로부터 입사하는 구성으로 하기 때문에, 투광성을 갖지 않는 재료를 사용하여 제 2 전극(210)을 형성하고 있지만, 제 2 전극(210)의 구성은 이것에 한정되지 않는다. 예를 들면, 제 2 전극(210)측(도면의 윗쪽)으로부터 광이 입사하는 구성으로 하는 경우에는, 제 2 전극(210)은 제 1 전극(202)에 나타내는 투광성을 갖는 도전성 재료를 사용하여 형성할 수 있다. In addition, in this embodiment, since light enters from the back surface side (lower part of drawing) of the board | substrate 200, although the 2nd electrode 210 is formed using the material which does not have translucency, it is 2nd The configuration of the electrode 210 is not limited to this. For example, when the light enters from the 2nd electrode 210 side (upper part of drawing), the 2nd electrode 210 uses the electroconductive material which has the translucency shown to the 1st electrode 202, and is used. Can be formed.

또한, 제 2 전극(210)에 접하도록, 저저항의 도전성 재료로 이루어지는 보조 전극을 형성해도 좋다. In addition, an auxiliary electrode made of a low resistance conductive material may be formed so as to be in contact with the second electrode 210.

상기의 방법으로, 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막을, 광전 변환을 행하는 반도체막, 제 1 도전형을 나타내는 반도체막, 제 2 도전형을 나타내는 반도체막 중 어느 하나에 사용한 광전 변환 장치를 제작할 수 있다. 그리고, 이것에 의해, 광전 변환 장치의 변환 효율을 높일 수 있다. 또한, 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막은, 광전 변환을 행하는 반도체막, 제 1 도전형을 나타내는 반도체막, 제 2 도전형을 나타내는 반도체막 중 어느 하나에 사용되고 있으면 되며, 그 어느 것에 사용할지는 적절히 변경이 가능하다. 또한, 상기 반도체막의 복수에 혼상립의 간극을 저감시킴으로써 결정성을 높인 미결정 반도체막을 사용하는 경우에는, 보다 효과적이다. The photoelectric used in the above-mentioned method by using the microcrystalline semiconductor film which improved crystallinity by reducing the gap of a mixed phase in the semiconductor film which performs photoelectric conversion, the semiconductor film which shows a 1st conductivity type, and the semiconductor film which shows a 2nd conductivity type. A converter can be manufactured. And by this, the conversion efficiency of a photoelectric conversion device can be improved. In addition, the microcrystalline semiconductor film which has improved crystallinity by reducing the interphase gap may be used in any one of the semiconductor film which performs photoelectric conversion, the semiconductor film which shows a 1st conductivity type, and the semiconductor film which shows a 2nd conductivity type, Which one to use can be changed as appropriate. Moreover, when using the microcrystal semiconductor film which improved crystallinity by reducing the clearance gap of a mixed phase in the plurality of said semiconductor films, it is more effective.

또한, 본 실시 형태에서는 하나의 유닛 셀을 갖는 광전 변환 장치를 나타냈지만, 적절히 두개 이상의 유닛 셀을 적층한, 광전 변환 장치로 할 수 있다. In addition, although the photoelectric conversion apparatus which has one unit cell was shown in this embodiment, it can be set as the photoelectric conversion apparatus which laminated | stacked two or more unit cells suitably.

본 실시 형태는 다른 실시 형태와 적절히 조합하여 사용할 수 있다. This embodiment can be used in appropriate combination with any of the other embodiments.

(실시 형태 8)(Embodiment 8)

본 명세서에 개시하는 반도체 장치는, 전자 페이퍼로서 적용할 수 있다. 전자 페이퍼는, 정보를 표시하는 것이면 모든 분야의 전자 기기에 사용하는 것이 가능하다. 예를 들면, 전자 페이퍼를 사용하여, 전자 서적(전자 북), 포스터, 디지털 사이니지(digital signage), PID(Public Information Display), 전차 등의 탈것의 차내 광고, 신용 카드 등의 각종 카드에 있어서의 표시 등에 적용할 수 있다. 전자 기기의 일 예를 도 10에 도시한다. The semiconductor device disclosed in this specification can be applied as an electronic paper. The electronic paper can be used for electronic devices in all fields as long as it displays information. For example, in electronic cards, posters, digital signage, public information displays (PIDs), in-car advertising of vehicles such as trains, and various cards such as credit cards, etc. Applicable to the display of. An example of an electronic device is shown in FIG. 10.

도 10은 전자 서적의 일례를 도시하고 있다. 예를 들면, 전자 서적(2700)은, 케이스(2701) 및 케이스(2703)의 2개의 케이스로 구성되어 있다. 케이스(2701) 및 케이스(2703)는 축부(2711)에 의해 일체로 되어 있고, 상기 축부(2711)를 축으로 하여 개폐 동작을 행할 수 있다. 이러한 구성에 의해, 종이 서적과 같은 동작을 행하는 것이 가능해진다. 10 shows an example of an electronic book. For example, the electronic book 2700 is composed of two cases, a case 2701 and a case 2703. The case 2701 and the case 2703 are integrated by the shaft portion 2711, and the opening and closing operation can be performed with the shaft portion 2711 as the shaft. This configuration makes it possible to perform operations such as paper books.

케이스(2701)에는 표시부(2705) 및 광전 변환 장치(2706)가 내장되고, 케이스(2703)에는 표시부(2707) 및 광전 변환 장치(2708)가 내장되어 있다. 표시부(2705) 및 표시부(2707)는 연속 화면을 표시하는 구성으로 해도 좋고, 상이한 화면을 표시하는 구성으로 해도 좋다. 상이한 화면을 표시하는 구성으로 함으로써, 예를 들면 우측의 표시부(도 10에서는 표시부(2705))에 문장을 표시하고, 좌측의 표시부(도 10에서는 표시부(2707))에 화상을 표시할 수 있다. The display unit 2705 and the photoelectric conversion device 2706 are built in the case 2701, and the display unit 2707 and the photoelectric conversion device 2708 are built into the case 2703. The display unit 2705 and the display unit 2707 may be configured to display a continuous screen or may be configured to display different screens. By setting the structure to display different screens, for example, sentences can be displayed on the right display unit (display unit 2705 in FIG. 10), and images can be displayed on the left display unit (display unit 2707 in FIG. 10).

또한, 도 10에서는, 케이스(2701)에 조작부 등을 구비한 예를 도시하고 있다. 예를 들면, 케이스(2701)에 있어서, 전원 스위치(2721), 조작 키(2723), 스피커(2725) 등을 구비하고 있다. 조작 키(2723)에 의해, 페이지를 넘길 수 있다. 또한, 케이스의 표시부와 동일면에 키보드나 포인팅 디바이스 등을 구비하는 구성으로 해도 좋다. 또한, 케이스의 이면이나 측면에, 외부 접속용 단자(이어폰 단자, USB 단자, 또는 AC 어댑터 및 USB 케이블 등의 각종 케이블과 접속 가능한 단자 등), 기록 매체 삽입부 등을 구비하는 구성으로 해도 좋다. 또한, 전자 서적(2700)은 전자 사전으로서의 기능을 갖게 한 구성으로 해도 좋다. In addition, in FIG. 10, the example which provided the operation part etc. in the case 2701 is shown. For example, the case 2701 includes a power switch 2721, an operation key 2723, a speaker 2725, and the like. The page can be turned by the operation key 2723. In addition, it is good also as a structure provided with a keyboard, a pointing device, etc. on the same surface as the display part of a case. Further, the rear surface or the side surface of the case may be provided with an external connection terminal (such as an earphone terminal, a USB terminal, or a terminal that can be connected to various cables such as an AC adapter and a USB cable), a recording medium insertion portion, and the like. The electronic book 2700 may be configured to have a function as an electronic dictionary.

또한, 전자 서적(2700)은 무선으로 정보를 송수신할 수 있는 구성으로 해도 좋다. 무선에 의해, 전자 서적 서버로부터, 원하는 서적 데이터 등을 구입하고, 다운로드하는 구성으로 하는 것도 가능하다. The electronic book 2700 may be configured to transmit and receive information wirelessly. It is also possible to make the structure which purchases and downloads desired book data etc. from an electronic book server by radio.

(실시 형태 9)(Embodiment 9)

본 명세서에 개시하는 반도체 장치는, 다양한 전자 기기(게임기도 포함)에 적용할 수 있다. 전자 기기로서는, 예를 들면, 텔레비전 장치(텔레비전, 또는 텔레비전 수신기라고도 한다), 컴퓨터용 등의 모니터, 디지털 카메라, 디지털 비디오 카메라, 디지털 포토프레임, 휴대 전화기(휴대 전화, 휴대 전화 장치라고도 한다), 휴대형 게임기, 휴대 정보 단말, 음향 재생 장치, 파칭코기 등의 대형 게임기 등을 들 수 있다. The semiconductor device disclosed in this specification can be applied to various electronic devices (including game machines). As the electronic device, for example, a television device (also called a television or a television receiver), a monitor for a computer, a digital camera, a digital video camera, a digital photo frame, a mobile phone (also called a mobile phone or a mobile phone device), And a large game machine such as a portable game machine, a portable information terminal, an audio reproducing apparatus, or a pachining machine.

도 11a는 텔레비전 장치의 일례를 도시하고 있다. 텔레비전 장치(9600)는 케이스(9601)에 표시부(9603)가 내장되어 있다. 표시부(9603)에 의해, 영상을 표시하는 것이 가능하다. 또한, 여기에서는, 스탠드(9605)에 의해 케이스(9601)를 지지한 구성을 나타내고 있다. 11A shows an example of a television device. The television unit 9600 incorporates a display portion 9603 in the case 9601. An image can be displayed by the display portion 9603. In addition, the structure which supported the case 9601 by the stand 9605 is shown here.

텔레비전 장치(9600)의 조작은, 케이스(9601)가 구비하는 조작 스위치나, 별체의 리모트 컨트롤 조작기(9610)에 의해 행할 수 있다. 리모트 컨트롤 조작기(9610)가 구비하는 조작 키(9609)에 의해, 채널이나 음량의 조작을 행할 수 있고, 표시부(9603)에 표시되는 영상을 조작할 수 있다. 또한, 리모트 컨트롤 조작기(9610)에 상기 리모트 컨트롤 조작기(9610)로부터 출력하는 정보를 표시하는 표시부(9607)를 형성하는 구성으로 해도 좋다. The operation of the television device 9600 can be performed by an operation switch included in the case 9601 or a separate remote control manipulator 9610. The operation keys 9609 included in the remote control manipulator 9610 allow the channel and the volume to be operated, and the video displayed on the display portion 9603 can be operated. In addition, it is good also as a structure which forms the display part 9607 which displays the information output from the said remote control manipulator 9610 in the remote control manipulator 9610.

또한, 텔레비전 장치(9600)는 수신기나 모뎀 등을 구비한 구성으로 한다. 수신기에 의해 일반 텔레비전 방송의 수신을 행할 수 있고, 또한 모뎀을 통하여 유선 또는 무선에 의한 통신 네트워크에 접속함으로써, 1방향(송신자로부터 수신자) 또는 쌍방향(송신자와 수신자간, 또는 수신자간끼리 등)의 정보 통신을 행하는 것도 가능하다. The television device 9600 is configured to include a receiver, a modem, and the like. General television broadcasting can be received by the receiver, and by connecting to a wired or wireless communication network through a modem, the receiver can be connected in one direction (sender to receiver) or in two directions (between the transmitter and the receiver or between receivers). It is also possible to perform information communication.

도 11b는 디지털 포토프레임의 일례를 도시하고 있다. 예를 들면, 디지털 포토프레임(9700)은, 케이스(9701)에 표시부(9703)가 구비되어 있다. 표시부(9703)는 각종 화상을 표시하는 것이 가능하고, 예를 들면 디지털 카메라 등으로 촬영한 화상 데이터를 표시시킴으로써, 통상의 사진 액자와 같이 기능시킬 수 있다. 11B shows an example of a digital photoframe. For example, the digital photo frame 9700 is provided with a display portion 9703 in the case 9701. The display portion 9703 can display various images. For example, the display portion 9703 can function as a normal picture frame by displaying image data photographed with a digital camera or the like.

또한, 디지털 포토프레임(9700)은 조작부, 외부 접속용 단자(USB 단자, USB 케이블 등의 각종 케이블과 접속 가능한 단자 등), 기록 매체 삽입부 등을 구비하는 구성으로 한다. 이러한 구성은 표시부와 동일면에 내장되어 있어도 좋지만, 측면이나 이면에 구비하면 디자인성이 향상되기 때문에 바람직하다. 예를 들면, 디지털 포토프레임의 기록 매체 삽입부에, 디지털 카메라로 촬영한 화상 데이터를 기억한 메모리를 삽입하여 화상 데이터를 취득하고, 취득한 화상 데이터를 표시부(9703)에 표시시킬 수 있다. The digital photo frame 9700 is configured to include an operation unit, a terminal for external connection (such as a terminal capable of connecting with various cables such as a USB terminal and a USB cable), a recording medium insertion unit, and the like. Although such a structure may be built in the same surface as the display part, when it is provided in the side surface or the back surface, since design improves, it is preferable. For example, a memory storing memory of image data shot by a digital camera can be inserted into a recording medium insertion section of a digital photo frame to acquire image data, and the acquired image data can be displayed on the display portion 9703.

또한, 디지털 포토프레임(9700)은 무선으로 정보를 송수신할 수 있는 구성으로 해도 좋다. 무선에 의해, 원하는 화상 데이터를 취득하고, 표시시키는 구성으로 할 수도 있다. The digital photo frame 9700 may be configured to transmit and receive information wirelessly. It is also possible to obtain a configuration in which desired image data is acquired and displayed by radio.

도 12는 휴대형 컴퓨터의 일례를 도시하는 사시도이다. 12 is a perspective view illustrating an example of a portable computer.

도 12의 휴대형 컴퓨터는, 상부 케이스(9301)와 하부 케이스(9302)를 접속하는 힌지 유닛을 닫은 상태로 하여 표시부(9303)를 갖는 상부 케이스(9301)와, 키보드(9304)를 갖는 하부 케이스(9302)를 포갠 상태로 할 수 있고, 휴대가 편리한 동시에, 사용자가 키보드 입력하는 경우에는, 힌지 유닛을 연 상태로 하여, 표시부(9303)를 보고 입력 조작을 행할 수 있다. The portable computer shown in FIG. 12 includes an upper case 9301 having a display portion 9303 and a lower case having a keyboard 9304 with the hinge unit connecting the upper case 9301 and the lower case 9302 closed. 9302 can be folded, and it is convenient to carry, and when a user inputs a keyboard, an input operation can be performed by looking at the display part 9303, with the hinge unit open.

또한, 하부 케이스(9302)는 키보드(9304) 이외에 입력 조작을 행하는 포인팅 디바이스(9306)를 가진다. 또한, 표시부(9303)를 터치 입력 패널로 하면, 표시부의 일부에 터치함으로써 입력 조작을 행할 수도 있다. 또한, 하부 케이스(9302)는 CPU나 하드 디스크 등의 연산 기능부를 가지고 있다. 또한, 하부 케이스(9302)는 다른 기기, 예를 들면 USB의 통신 규격에 준거한 통신 케이블이 끼워지는 외부 접속 포트(9305)를 가지고 있다. The lower case 9302 also has a pointing device 9306 that performs input operations in addition to the keyboard 9304. When the display portion 9303 is a touch input panel, an input operation can also be performed by touching a portion of the display portion. The lower case 9302 has arithmetic functional units such as a CPU and a hard disk. The lower case 9302 also has an external connection port 9305 to which a communication cable conforming to a communication standard of another device, for example, USB is inserted.

상부 케이스(9301)에는 또한 상부 케이스(9301) 내부에 슬라이드시켜 수납 가능한 표시부(9307)를 가지고 있으며, 넓은 표시 화면을 실현할 수 있다. 또한, 수납 가능한 표시부(9307) 화면의 방향을 사용자는 조절할 수 있다. 또한, 수납 가능한 표시부(9307)를 터치 입력 패널로 하면, 수납 가능한 표시부의 일부에 터치함으로써 입력 조작을 행할 수도 있다. The upper case 9301 also has a display portion 9307 that can be slid into the upper case 9301 to accommodate it, and a wide display screen can be realized. In addition, the user may adjust the direction of the screen of the display unit 9307 that may be stored. In addition, when the receivable display part 9307 is a touch input panel, an input operation can be performed by touching a part of the receivable display part.

표시부(9303) 또는 수납 가능한 표시부(9307)는 액정 표시 패널, 유기 발광 소자 또는 무기 발광 소자 등의 발광 표시 패널 등의 영상 표시 장치를 사용한다. The display portion 9303 or the display portion 9307 that can be accommodated uses an image display apparatus such as a light emitting display panel such as a liquid crystal display panel, an organic light emitting element, or an inorganic light emitting element.

또한, 도 12의 휴대형 컴퓨터는, 수신기 등을 구비한 구성으로서, 텔레비전 방송을 수신하여 영상을 표시부에 표시할 수 있다. 또한, 상부 케이스(9301)와 하부 케이스(9302)를 접속하는 힌지 유닛을 닫은 상태로 한 채, 표시부(9307)를 슬라이드시켜 화면 전면을 노출시키고 화면 각도를 조절하여 사용자가 텔레비전 방송을 볼 수도 있다. 힌지 유닛을 연 상태로서 표시부(9303)를 표시시키지 않고, 또한 텔레비전 방송을 표시만 하는 회로의 기동만을 행하기 때문에, 최소한의 소비 전력으로 할 수 있어 배터리 용량이 한정되어 있는 휴대형의 컴퓨터에 있어서 유용하다.In addition, the portable computer shown in Fig. 12 has a receiver or the like and can receive television broadcasts and display an image on the display unit. In addition, while the hinge unit connecting the upper case 9301 and the lower case 9302 is closed, the display unit 9307 may be slid to expose the front of the screen and the screen angle may be adjusted so that the user may watch television broadcast. . Since the hinge unit is opened and the display unit 9303 is not displayed and only a circuit for displaying television broadcast is started, it is useful for a portable computer having a limited power consumption and having a minimum power consumption. Do.

(실시예 1)(Example 1)

본 실시예에서는, 실시 형태 3을 사용하여 제작한 박막 트랜지스터의 전기 특성에 관해서 설명한다. In the present Example, the electrical characteristics of the thin film transistor produced using Embodiment 3 are demonstrated.

처음에, 본 실시예의 박막 트랜지스터의 제작 방법에 관해서, 도 3 및 도 5를 참조하여 설명한다. First, the manufacturing method of the thin film transistor of this embodiment is demonstrated with reference to FIG. 3 and FIG.

우선, 기판(101) 위에 하지 절연막(여기서는 도시하지 않음)을 형성하고, 하지 절연막 위에 게이트 전극(103)을 형성하였다. First, a base insulating film (not shown here) was formed on the substrate 101, and a gate electrode 103 was formed on the base insulating film.

여기서는, 기판(101)으로서, 유리 기판(코닝 제조 EAGLE XG)을 사용하였다. Here, the glass substrate (Corning EAGLE XG) was used as the substrate 101.

게이트 전극(103)은 알루미늄층을 티탄층에 의해 협지한 구조로 하였다. 구체적으로는, 우선, 티탄 타겟을 아르곤 이온으로 스퍼터링하여, 두께 50nm의 제 1 티탄막을 하지 절연막 위에 형성하였다. 이 때, 도입하는 아르곤의 유량은 20sccm으로 하고, 처리실 내의 압력은 0.1Pa, 인가 전압은 12kW, 온도는 실온으로 하였다. 그리고, 그 위에 알루미늄 타겟을 아르곤 이온으로 스퍼터링하여, 두께 100nm의 알루미늄막을 형성하였다. 이 때, 도입하는 아르곤의 유량은 50sccm으로 하고, 처리실 내의 압력은 0.4Pa, 인가 전압은 4kW, 온도는 실온으로 하였다. 그리고, 그 위에 티탄 타겟을 아르곤 이온으로 스퍼터링하여, 두께 50nm의 제 2 티탄막을 형성하였다. 제 2 티탄막은 제 1 티탄막과 같은 방법으로 형성하였다. 즉, 도입하는 아르곤의 유량은 20sccm으로 하고, 처리실 내의 압력은 0.1Pa, 인가 전압은 12kW, 온도는 실온으로 하였다. The gate electrode 103 has a structure in which an aluminum layer is sandwiched by a titanium layer. Specifically, first, a titanium target was sputtered with argon ions to form a first titanium film having a thickness of 50 nm on the underlying insulating film. At this time, the flow rate of argon to be introduced was 20 sccm, the pressure in the processing chamber was 0.1 Pa, the applied voltage was 12 kW, and the temperature was room temperature. Then, the aluminum target was sputtered with argon ions thereon to form an aluminum film having a thickness of 100 nm. At this time, the flow rate of argon to be introduced was 50 sccm, the pressure in the processing chamber was 0.4 Pa, the applied voltage was 4 kW, and the temperature was room temperature. And the titanium target was sputtered with argon ion on it, and the 2nd titanium film of thickness 50nm was formed. The second titanium film was formed in the same manner as the first titanium film. That is, the flow rate of argon to be introduced was 20 sccm, the pressure in the processing chamber was 0.1 Pa, the applied voltage was 12 kW, and the temperature was room temperature.

다음에, 제 2 티탄막 위에 레지스트를 도포하고, 제 1 포토마스크를 사용하여 노광한 후, 현상하여 레지스트로 형성된 마스크를 형성하였다. Next, a resist was applied on the second titanium film, exposed using a first photomask, and then developed to form a mask formed of resist.

다음에, 상기 레지스트로 형성되는 마스크를 사용하여 에칭 처리를 행하고, 게이트 전극(103)을 형성하였다. 여기에서는, ICP(Inductively Coupled Plasma: 유도 결합형 플라즈마) 장치를 사용하여 2단계의 에칭을 행하였다. 즉, ICP 파워 600W, 바이어스 파워 250W, 에칭 가스로서 삼염화붕소를 유량 60sccm으로 도입하고, 염소를 유량 20sccm으로 도입하고, 처리실 내의 압력을 1.2Pa로 하고 제 1 에칭을 행한 후, ICP 파워 500W, 바이어스 파워 50W, 압력 2.0Pa, 에칭 가스로서 사불화탄소를 유량 80sccm으로 도입하고, 처리실 내의 압력을 2.0Pa으로 하여 제 2 에칭을 행하였다. 그 후, 상기 레지스트로 형성된 마스크를 제거하였다. Next, an etching process was performed using a mask formed of the resist to form the gate electrode 103. Here, two-step etching was performed using an ICP (Inductively Coupled Plasma) apparatus. That is, ICP power 600W, bias power 250W, boron trichloride was introduced at a flow rate of 60 sccm as the etching gas, chlorine was introduced at a flow rate of 20 sccm, and the first chamber was etched at 1.2 Pa in the process chamber. Carbon tetrafluoride was introduced at a flow rate of 80 sccm as the power 50 W, the pressure 2.0 Pa, and the etching gas, and the second etching was performed at a pressure of 2.0 Pa in the processing chamber. Thereafter, the mask formed of the resist was removed.

다음에, 게이트 전극(103) 및 하지 절연막 위에, 게이트 절연막(105)을 형성한 후, 게이트 절연막(105)에 플라즈마 처리를 행하였다. Next, after the gate insulating film 105 was formed over the gate electrode 103 and the underlying insulating film, the gate insulating film 105 was subjected to plasma treatment.

여기서는, 게이트 절연막(105)으로서, 두께 240nm의 질화산화실리콘막을 플라즈마 CVD법에 의해 형성하였다. 질화산화실리콘막의 퇴적은, 실란의 유량을 15sccm, 수소의 유량을 200sccm, 질소의 유량을 180sccm, 암모니아의 유량을 500sccm, 일산화이질소(N2O)의 유량을 100sccm으로 하여 원료 가스를 도입하고, 처리실 내의 압력을 100Pa, RF 전원 주파수를 13.56MHz, RF 전원의 전력을 200W로 하여 플라즈마 방전을 행하였다. 또한, 게이트 절연막(105)의 퇴적은, 평행 평판형의 플라즈마 CVD 장치를 사용하여 행하고, 상부 전극 온도를 200℃, 하부 전극 온도를 300℃으로 하고, 상부 전극과 하부 전극의 간격(갭)을 26mm로 하였다. Here, as the gate insulating film 105, a silicon nitride oxide film having a thickness of 240 nm was formed by plasma CVD. The deposition of the silicon nitride oxide film is carried out by introducing a source gas with a flow rate of silane of 15 sccm, a flow rate of hydrogen of 200 sccm, a flow rate of nitrogen of 180 sccm, a flow rate of ammonia of 500 sccm, a flow rate of dinitrogen monoxide (N 2 O) of 100 sccm, Plasma discharge was performed by setting the pressure in the processing chamber to 100 Pa, the RF power source frequency to 13.56 MHz, and the power of the RF power source to 200 W. Further, deposition of the gate insulating film 105 is performed using a parallel plate plasma CVD apparatus, and the upper electrode temperature is set to 200 ° C, the lower electrode temperature is set to 300 ° C, and the gap (gap) between the upper electrode and the lower electrode is set. It was 26 mm.

형성한 게이트 절연막으로의 플라즈마 처리는, 일산화이질소의 유량을 400sccm으로 하여 처리실 내에 도입하고, 처리실 내의 압력을 60Pa로 하고, 전력을 300W로 하고 3분간 플라즈마 방전을 행하였다. 또한, 상기 플라즈마 처리는 평행 평판형의 플라즈마 처리 장치를 사용하여 행하고, 상부 전극 온도를 200℃, 하부 전극 온도를 300℃로 하고, 상부 전극과 하부 전극의 간격을 30mm로 하였다. In the plasma treatment to the formed gate insulating film, the flow rate of dinitrogen monoxide was introduced into the processing chamber at 400 sccm, the pressure in the processing chamber was 60 Pa, the power was 300 W, and plasma discharge was performed for 3 minutes. In addition, the said plasma processing was performed using the parallel plate type plasma processing apparatus, the upper electrode temperature was 200 degreeC, the lower electrode temperature was 300 degreeC, and the space | interval of the upper electrode and the lower electrode was 30 mm.

다음에, 게이트 절연막(105) 위에, 두께 5nm의 종결정(107)을 플라즈마 CVD법으로 형성하였다. 종결정의 퇴적은, 실란의 유량을 2sccm, 수소의 유량을 750sccm, 아르곤의 유량을 750sccm으로 하여 원료 가스를 도입하고, 처리실 내의 압력을 3000Pa, RF 전원 주파수를 13.56MHz, RF 전원의 전력을 175W로 하여 플라즈마 방전을 행하였다. 또한, 종결정(107)의 퇴적은, 평행 평판형의 플라즈마 CVD 장치를 사용하여 행하고, 상부 전극의 온도를 200℃, 하부 전극의 온도를 300℃로 하고, 상부 전극과 하부 전극의 간격을 7mm로 하였다. Next, a seed crystal 107 having a thickness of 5 nm was formed on the gate insulating film 105 by plasma CVD. For seed crystal deposition, source gas was introduced at a flow rate of silane of 2 sccm, flow rate of hydrogen at 750 sccm, flow rate of argon at 750 sccm, pressure in the processing chamber at 3000 Pa, RF power frequency at 13.56 MHz, and power at RF power at 175 W. Plasma discharge was performed. The seed crystals 107 were deposited using a parallel plate plasma CVD apparatus, the temperature of the upper electrode was 200 ° C, the temperature of the lower electrode was 300 ° C, and the gap between the upper electrode and the lower electrode was 7 mm. It was set as.

여기까지의 공정에서 얻어진 구성을 도 3a에 도시한다. The structure obtained by the process to here is shown to FIG. 3A.

계속해서, 게이트 절연막(105) 및 종결정(107) 위에, 두께 65nm의 미결정 반도체막(109)을 플라즈마 CVD법으로 형성하였다. 미결정 반도체막(109)의 퇴적은, 실란의 유량을 1.5sccm, 수소의 유량을 750sccm, 아르곤의 유량을 750sccm으로 하여 원료 가스를 도입하고, 처리실 내의 압력을 10000Pa, RF 전원 주파수를 13.56MHz, RF 전원의 전력을 300W로 하여 플라즈마 방전을 행하였다. 또한, 미결정 반도체막(109)의 퇴적은, 평행 평판형의 플라즈마 CVD 장치를 사용하여 행하고, 상부 전극의 온도를 200℃, 하부 전극의 온도를 300℃로 하고, 상부 전극과 하부 전극의 간격을 7mm로 하였다. Subsequently, a microcrystalline semiconductor film 109 having a thickness of 65 nm was formed on the gate insulating film 105 and the seed crystal 107 by plasma CVD. In the deposition of the microcrystalline semiconductor film 109, the source gas was introduced with the flow rate of silane at 1.5 sccm, the flow rate of hydrogen at 750 sccm, the flow rate of argon at 750 sccm, the pressure in the process chamber at 10000 Pa, the RF power supply frequency at 13.56 MHz, and the RF. Plasma discharge was performed with the power of the power supply being 300W. Further, deposition of the microcrystalline semiconductor film 109 is performed using a parallel plate plasma CVD apparatus, the temperature of the upper electrode is set to 200 ° C, the temperature of the lower electrode is set to 300 ° C, and the gap between the upper and lower electrodes is adjusted. It was set to 7 mm.

본 공정에서서 얻어진 구성을 도 3b에 도시한다.The structure obtained in this process is shown in FIG. 3B.

다음에, 미결정 반도체막(109) 위에 두께 80nm의 반도체막(111)을 형성하고, 반도체막(111) 위에 두께 50nm의 불순물 반도체막(113)을 형성하였다. 반도체막(111) 및 불순물 반도체막(113)은, 플라즈마 CVD법에 의해 퇴적시켜 형성하였다. Next, a semiconductor film 111 having a thickness of 80 nm was formed on the microcrystalline semiconductor film 109, and an impurity semiconductor film 113 having a thickness of 50 nm was formed on the semiconductor film 111. The semiconductor film 111 and the impurity semiconductor film 113 were formed by depositing by the plasma CVD method.

반도체막(111)의 퇴적은, 실란의 유량을 25sccm, 1000ppm 암모니아(수소 희석)의 유량을 100sccm, 수소의 유량을 650sccm, 아르곤의 유량을 750sccm으로 하여 재료 가스를 도입하고, 처리실 내의 압력을 1250Pa로 하고, RF 전원 주파수를 13.56MHz, RF 전원의 전력을 150W로 하여 플라즈마 방전을 행하였다. 또한, 반도체막(111)의 퇴적은, 평행 평판형의 플라즈마 CVD 장치를 사용하여 행하고, 상부 전극 온도를 200℃, 하부 전극 온도를 300℃로 하고, 상부 전극과 하부 전극의 간격을 15mm로 하였다. The deposition of the semiconductor film 111 is performed by introducing a material gas with a flow rate of silane of 25 sccm, a flow rate of 1000 ppm ammonia (hydrogen dilution) of 100 sccm, a flow rate of hydrogen of 650 sccm, a flow rate of argon of 750 sccm, and a pressure in the processing chamber of 1250 Pa. Plasma discharge was performed using RF power frequency of 13.56 MHz and power of RF power of 150 W. The semiconductor film 111 was deposited using a parallel plate plasma CVD apparatus, the upper electrode temperature was set at 200 ° C, the lower electrode temperature was set at 300 ° C, and the gap between the upper electrode and the lower electrode was set at 15 mm. .

불순물 반도체막(113)으로서는, 인이 첨가된 비정질 실리콘막을 형성하였다. 불순물 반도체막(113)의 퇴적은, 실란의 유량을 90sccm, 5% 포스핀(실란 희석)의 유량을 10sccm, 수소의 유량을 500sccm으로 하여 재료 가스를 도입하고, 처리실 내의 압력을 170Pa, RF 전원 주파수를 13.56MHz, RF 전원의 전력을 30W로서 플라즈마 방전을 행하였다. 또한, 불순물 반도체막의 퇴적은, 평행 평판형의 플라즈마 CVD 장치를 사용하여 행하고, 상부 전극 온도를 200℃, 하부 전극 온도를 300℃로 하고, 상부 전극과 하부 전극의 간격을 25mm로 하였다. As the impurity semiconductor film 113, an amorphous silicon film to which phosphorus was added was formed. The impurity semiconductor film 113 is deposited with a flow rate of silane of 90 sccm, a flow rate of 5% phosphine (silane dilution) of 10 sccm, and a flow rate of hydrogen of 500 sccm. Plasma discharge was performed with a frequency of 13.56 MHz and a power of RF power of 30 W. The impurity semiconductor film was deposited using a parallel plate plasma CVD apparatus, the upper electrode temperature was 200 ° C, the lower electrode temperature was 300 ° C, and the gap between the upper electrode and the lower electrode was 25mm.

다음에, 불순물 반도체막(113) 위에 레지스트를 도포한 후, 제 2 포토마스크를 사용하여 노광하고, 현상하여 레지스트로 형성된 마스크(115)를 형성하였다. 여기까지의 공정에서 얻어진 구성을 도 3c에 도시한다. Next, after the resist was applied onto the impurity semiconductor film 113, it was exposed using a second photomask and developed to form a mask 115 formed of resist. The structure obtained by the process to here is shown in FIG. 3C.

다음에, 레지스트로 형성된 마스크(115)를 사용하여 미결정 반도체막(109), 반도체막(111), 불순물 반도체막(113)을 에칭하여, 미결정 반도체 영역(117a) 및 비정질 반도체 영역(117b)을 갖는 반도체 적층체(117), 및 불순물 반도체막(121)을 형성하였다. Next, the microcrystalline semiconductor film 109, the semiconductor film 111, and the impurity semiconductor film 113 are etched using the mask 115 formed of a resist, so that the microcrystalline semiconductor region 117a and the amorphous semiconductor region 117b are etched. The semiconductor laminate 117 and the impurity semiconductor film 121 were formed.

에칭을 행함에 있어서, 본 실시예에서는 ICP 장치를 사용하고, ICP 파워 450W, 바이어스 파워 100W, 에칭 가스로서 삼염화붕소를 유량 36sccm, 사불화탄소를 36sccm, 산소를 8sccm로 도입하고, 처리실 내의 압력을 2Pa로 하여 에칭을 행하였다. In performing the etching, in this embodiment, an ICP device is used, ICP power 450 W, bias power 100 W, boron trichloride flow rate 36 sccm, carbon tetrafluoride 36 sccm, oxygen 8 sccm, and the pressure in the process chamber is 2 Pa. Etching was performed.

그 후, 산소 플라즈마 처리를 행하고, 미결정 반도체 영역(117a) 및 비정질 반도체 영역(117b)을 갖는 반도체 적층체(117) 및 불순물 반도체막(121)의 측면에 산화막을 형성한 후, 레지스트로 형성된 마스크(115)를 제거하였다(도시하지 않음).Thereafter, an oxygen plasma treatment is performed to form an oxide film on the side surfaces of the semiconductor laminate 117 and the impurity semiconductor film 121 having the microcrystalline semiconductor region 117a and the amorphous semiconductor region 117b, and then a mask formed of a resist. 115 is removed (not shown).

산소 플라즈마 처리는 산소의 유량을 100sccm으로 하여 도입하고 처리실 내의 압력을 0.67Pa로 하고, 기판 온도를 -10℃로 하고, 소스 파워를 2000W, 바이어스 파워를 350W로 플라즈마 방전을 행하였다. In the oxygen plasma treatment, the flow rate of oxygen was introduced at 100 sccm, the pressure in the processing chamber was 0.67 Pa, the substrate temperature was -10 ° C, the plasma power was discharged at 2000W and the bias power at 350W.

여기까지의 공정에서 얻어진 구성을 도 3d에 도시한다. The structure obtained by the process to here is shown in FIG. 3D.

다음에, 게이트 절연막(105), 반도체 적층체(117) 및 불순물 반도체막(121)을 피복하여 도전막(127)을 형성하였다. 본 공정에서 얻어진 구성을 도 5a에 도시한다.Next, the gate insulating film 105, the semiconductor laminate 117, and the impurity semiconductor film 121 were covered to form a conductive film 127. The structure obtained by this process is shown to FIG. 5A.

본 실시예에서는, 도전막(127)은 알루미늄층을 티탄층에 의해 협지한 구조로 하고 게이트 전극(103)과 같이 형성하였다. 단, 제 1 티탄막의 두께를 50nm로 하고, 알루미늄막의 두께를 200nm로 하고, 제 2 티탄막의 두께를 50nm으로 하였다. In this embodiment, the conductive film 127 has a structure in which an aluminum layer is sandwiched by a titanium layer, and is formed like the gate electrode 103. However, the thickness of the first titanium film was 50 nm, the thickness of the aluminum film was 200 nm, and the thickness of the second titanium film was 50 nm.

다음에, 도전막(127) 위에 레지스트를 도포한 후, 제 3 포토마스크를 사용하여 노광하고, 현상하여 레지스트로 형성된 마스크를 형성하였다. 상기 레지스트로 형성된 마스크를 사용하여 도전막(127)을 드라이 에칭하여, 배선(129a) 및 배선(129b)을 형성하였다. 또한, 상기 공정에 있어서, 불순물 반도체막(121)을 드라이 에칭하여 소스 영역 및 드레인 영역으로서 기능하는 한 쌍의 불순물 반도체막(131a, 131b)을 형성하였다. 또한, 반도체 적층체(117)의 일부를 에칭하였다. Next, after applying a resist on the conductive film 127, it was exposed using a third photomask and developed to form a mask formed of resist. The conductive film 127 was dry etched using the mask formed of the resist to form the wiring 129a and the wiring 129b. In the above step, the impurity semiconductor film 121 is dry-etched to form a pair of impurity semiconductor films 131a and 131b serving as source and drain regions. In addition, part of the semiconductor laminate 117 was etched.

본 공정에서는, ICP 장치를 사용하고, ICP 파워 450W, 바이어스 파워 100W, 에칭 가스로서 삼염화붕소를 유량 60sccm으로 도입하고, 염소를 20sccm로 도입하고, 처리실 내의 압력을 1.9Pa로 하여 에칭을 행하였다. In this step, using an ICP apparatus, boron trichloride was introduced at a flow rate of 60 sccm as an ICP power of 450 W, a bias power of 100 W, and an etching gas, chlorine was introduced at 20 sccm, and etching was performed at a pressure of 1.9 Pa in the processing chamber.

다음에, 레지스트로 형성된 마스크를 제거한 후, 반도체 적층체(117)의 일부를 다시 에칭하고, 미결정 반도체 영역(133a) 및 한 쌍의 비정질 반도체 영역(133b)을 갖는 반도체 적층체(133)를 형성하였다. Next, after removing the mask formed of resist, part of the semiconductor laminate 117 is etched again to form a semiconductor laminate 133 having a microcrystalline semiconductor region 133a and a pair of amorphous semiconductor regions 133b. It was.

본 공정은 소스 파워 1000W, 바이어스 파워 50W, 에칭 가스로서 사불화탄소를 유량 100sccm으로 도입하고, 처리실 내의 압력을 0.67Pa로 하여 에칭을 행하였다. In this step, carbon tetrafluoride was introduced at a flow rate of 100 sccm as a source power of 1000 W, a bias power of 50 W, and an etching gas, and etching was performed at a pressure of 0.67 Pa in the processing chamber.

또한, 미결정 반도체 영역(133a)의 두께가 50nm이 되도록 반도체 적층체(117)를 에칭하였다. 또한, 본 실시예에서는, 소스 전극 및 드레인 전극으로서 기능하는 배선(129a, 129b)의 평면 형상은 직선형이다. In addition, the semiconductor laminate 117 was etched so that the thickness of the microcrystalline semiconductor region 133a was 50 nm. In addition, in this embodiment, the planar shape of the wirings 129a and 129b serving as the source electrode and the drain electrode is straight.

다음에, 반도체 적층체(133)의 표면을 물 플라즈마 처리하여 반도체 적층체(133) 표면에 잔류하는 불순물을 제거하였다. 본 공정에서는, 파워 1800W로 하고, 수증기를 유량 300sccm으로 도입하고, 처리실 내의 압력을 66.5Pa로 하여 물 플라즈마 처리를 행하였다. Next, the surface of the semiconductor laminate 133 was subjected to water plasma treatment to remove impurities remaining on the surface of the semiconductor laminate 133. In this step, water plasma treatment was performed at a power of 1800 W, water vapor was introduced at a flow rate of 300 sccm, and the pressure in the processing chamber was 66.5 Pa.

여기까지의 공정에서 얻어진 구성을 도 5b에 도시한다.The structure obtained by the process to here is shown in FIG. 5B.

다음에, 절연막(137)으로서, 두께 300nm의 질화실리콘막을 형성하였다. 절연막(137)의 퇴적은, 실란의 유량을 20sccm, 암모니아의 유량을 220sccm, 질소의 유량을 450sccm, 수소의 유량을 450sccm으로 하여 재료 가스를 도입하고, 처리실 내의 압력을 160Pa, RF 전원 주파수를 27MHz, RF 전원의 전력을 200W로 하여 플라즈마 방전을 행하였다. 또한, 절연막(137)의 퇴적은, 평행 평판형의 플라즈마 CVD 장치를 사용하여 행하고, 상부 전극 온도를 250℃, 하부 전극 온도를 290℃로 하고, 상부 전극과 하부 전극의 간격을 21mm로 하였다. Next, as the insulating film 137, a silicon nitride film having a thickness of 300 nm was formed. The deposition of the insulating film 137 is performed by introducing a material gas with a flow rate of silane of 20 sccm, a flow rate of ammonia of 220 sccm, a flow rate of nitrogen of 450 sccm, and a flow rate of hydrogen of 450 sccm, a pressure in the processing chamber of 160 Pa, and an RF power supply frequency of 27 MHz. The plasma discharge was performed with the power of the RF power supply set to 200W. The insulating film 137 was deposited using a parallel plate plasma CVD apparatus, the upper electrode temperature was 250 deg. C, the lower electrode temperature was 290 deg. C, and the gap between the upper electrode and the lower electrode was 21 mm.

다음에, 절연막(137) 위에 레지스트를 도포한 후, 제 4 포토마스크를 사용하여 노광하고, 현상하여 레지스트로 형성된 마스크를 형성하였다. 상기 레지스트로 형성된 마스크를 사용하여 절연막의 일부를 드라이 에칭하여, 소스 전극 및 드레인 전극으로서 기능하는 배선(129a, 129b)을 노출시켰다. 또한, 절연막(137) 및 게이트 절연막(105)의 일부를 드라이 에칭하여, 게이트 전극(103)을 노출시켰다. 그 후, 레지스트로 형성된 마스크를 제거하였다. Next, after applying a resist on the insulating film 137, it was exposed using a fourth photomask and developed to form a mask formed of resist. A portion of the insulating film was dry-etched using the mask formed of the resist to expose the wirings 129a and 129b serving as source and drain electrodes. In addition, part of the insulating film 137 and the gate insulating film 105 were dry-etched to expose the gate electrode 103. Thereafter, the mask formed of resist was removed.

다음에, 절연막(137) 위에 도전막을 형성한 후, 상기 도전막 위에 레지스트를 도포하고, 제 5 포토마스크를 사용하여 노광하고, 현상하여 레지스트로 형성된 마스크를 형성하였다. 상기 레지스트로 형성된 마스크를 사용하여 도전막의 일부를 웨트 에칭하여, 백 게이트 전극(139)을 형성하였다. Next, after the conductive film was formed on the insulating film 137, a resist was applied on the conductive film, exposed using a fifth photomask, and developed to form a mask formed of the resist. A portion of the conductive film was wet etched using the mask formed of the resist to form the back gate electrode 139.

여기서는, 도전막으로서, 스퍼터링법에 의해 두께 50nm의 인듐주석산화물을 형성한 후, 웨트 에칭 처리에 의해 백 게이트 전극(139)을 형성하였다. 또한, 여기에서는 도시하지 않지만, 백 게이트 전극(139)은 게이트 전극(103)과 접속되어 있다. 그 후, 레지스트로 형성된 마스크를 제거하였다. Here, as the conductive film, an indium tin oxide having a thickness of 50 nm was formed by the sputtering method, and then the back gate electrode 139 was formed by a wet etching process. Although not shown here, the back gate electrode 139 is connected to the gate electrode 103. Thereafter, the mask formed of resist was removed.

이상의 공정에 의해, 듀얼 게이트형 박막 트랜지스터(TFT 1이라고 나타낸다.)를 제작하였다(도 5c 참조.).Through the above steps, a dual gate type thin film transistor (denoted as TFT 1) was produced (see FIG. 5C).

도 13에, 본 실시예에서 제작한 박막 트랜지스터(TFT 1)의 전기 특성을 측정한 결과를 도시한다. 가로축은 게이트 전압(Vg)을 나타내고, 세로축은 드레인 전류(Id)를 나타낸다. 여기에서는, 게이트 전극(103)에만 게이트 전압을 인가했을 때의 전기 특성을 나타낸다. 또한, 본 실시예의 박막 트랜지스터의 채널 길이를 3.4㎛, 채널 폭을 22.1㎛, 게이트 절연막의 두께를 240nm, 평균 유전율을 5.6로 하여 전계 효과 이동도를 계산하였다. 13 shows the results of measuring electrical characteristics of the thin film transistor TFT 1 fabricated in this embodiment. The horizontal axis represents the gate voltage Vg, and the vertical axis represents the drain current Id. Here, the electrical characteristics when the gate voltage is applied only to the gate electrode 103 are shown. The field effect mobility was calculated with a channel length of 3.4 mu m, a channel width of 22.1 mu m, a thickness of a gate insulating film of 240 nm, and an average dielectric constant of 5.6 of the thin film transistor of this embodiment.

또한, 드레인 전압이 10V이고, 게이트 전압이 15V일 때의 온 전류(Ion이라고 나타낸다.), 최소 오프 전류(Ioff(min)라고 나타낸다.), 최소 오프 전류의 게이트 전압 -10V일 때의 오프 전류(Ioff라고 나타낸다.), 임계값 전압(Vth라고 나타낸다.), S값(S-value라고 나타낸다.), 최소 오프 전류에 대한 온 전류의 비(Ion/Ioff_min이라고 나타낸다.), 드레인 전압이 10V일 때의 전계 효과 이동도(μFE_sat라고 나타낸다.)를 표 1에 기재한다.In addition, the on-current when the drain voltage is 10V and the gate voltage is 15V (Ion), the minimum off-current (Ioff (min)), the off-current when the gate voltage of the minimum off-current -10V (Denoted Ioff), threshold voltage (denoted Vth), S value (denoted S-value), ratio of on current to minimum off current (denoted Ion / Ioff_min), and drain voltage 10V. Table 1 shows the field effect mobility (denoted as FEFE_sat).

Figure pat00001
Figure pat00001

도 13으로부터 미결정 반도체막을 형성하는 방법을, 한번 종결정을 형성한 후, 미결정 반도체막을 형성하도록 2단계로 형성하고, 또한 형성할 때의 압력을 고압으로 함으로써, 양호한 전기 특성을 갖는 박막 트랜지스터를 제작할 수 있었다. The method of forming the microcrystalline semiconductor film from FIG. 13 is formed in two steps to form the microcrystalline semiconductor film after the seed crystal is formed once, and the thin film transistor having good electrical characteristics can be fabricated by setting the pressure at the time of formation to a high pressure. Could.

(실시예 2)(Example 2)

본 실시예에서는, 실시 형태 1에서 설명한 바와 같이, 제 1 조건을 사용하여 종결정을 형성한 후, 제 2 조건을 사용하여 미결정 반도체막을 형성하는 2단계로 미결정 반도체막을 형성함으로써, 종결정에 포함되는 혼상립의 간극을 메우면서 미결정 반도체막을 형성할 수 있는 것을 설명한다. In this embodiment, as described in the first embodiment, the seed crystal is formed by using the first condition and then formed into the seed crystal by forming the microcrystalline semiconductor film in two steps of forming the microcrystalline semiconductor film using the second condition. It will be described that the microcrystalline semiconductor film can be formed while filling the gap between the mixed phases.

처음에, 실시 형태 1에 나타내는 방법을 사용한 미결정 반도체막의 제작 방법에 관해서 설명한다. First, the manufacturing method of the microcrystalline semiconductor film using the method shown in Embodiment 1 is demonstrated.

실시예 1과 같이, 유리 기판(코닝 제조 EAGLE XG) 위에 두께 240nm의 질화산화실리콘막을 형성하고, 이 질화산화실리콘막에 N2O 플라즈마 처리를 행하였다. 다음에, 그 위에, 두께 5nm의 종결정을 플라즈마 CVD법으로 형성한 후, 질화산화실리콘막 및 종결정 위에, 두께 25nm의 미결정 반도체막을 플라즈마 CVD법으로 형성하였다. As in Example 1, a 240 nm thick silicon nitride oxide film was formed on a glass substrate (EAGLE XG manufactured by Corning), and the silicon nitride oxide film was subjected to N 2 O plasma treatment. Next, a 5 nm thick seed crystal was formed thereon by a plasma CVD method, and then a 25 nm thick microcrystalline semiconductor film was formed on the silicon nitride oxide film and the seed crystal by the plasma CVD method.

본 실시예에 있어서, N2O 플라즈마 처리는 실시예 1과 같은 조건으로 행하고, 또한 질화산화실리콘막, 종결정 및 미결정 반도체막에 관해서도, 실시예 1과 같은 조건으로 형성하였다. In this embodiment, the N 2 O plasma treatment was performed under the same conditions as in Example 1, and the silicon nitride oxide film, seed crystal, and microcrystalline semiconductor film were formed under the same conditions as in Example 1.

제작한 미결정 반도체막을 주사형 전자현미경(Scanning Electron Microscope: SEM)에 의해 관찰한 SEM 사진(배율 20만배)을 도 14에 도시한다.The SEM photograph (magnification 200,000 times) which observed the produced microcrystalline semiconductor film with the scanning electron microscope (SEM) is shown in FIG.

도 14a에 도시하는 바와 같이, 3000Pa의 압력으로 형성한 종결정은, 혼상립이 분산되고, 그 혼상립 사이에 간극이 있는 것이 확인되었다. 또한, 도 14b에 도시하는 바와 같이, 질화실리콘막 및 종결정 위에 10000Pa의 압력으로 형성된 미결정 반도체막은, 그 혼상립 사이가 밀접하게 되어 있는 것이 확인되었다. As shown in FIG. 14A, it was confirmed that the mixed grains were dispersed in the seed crystal formed at a pressure of 3000 Pa, and there was a gap between the mixed grains. As shown in FIG. 14B, it was confirmed that the microcrystalline semiconductor film formed at a pressure of 10000 Pa on the silicon nitride film and the seed crystal was in close contact with each other.

Claims (20)

수소의 유량이 실리콘을 함유하는 퇴적성 기체의 50배 이상 1000배 이하이고, 처리실의 압력이 1333Pa보다 크고 13332Pa 이하인 제 1 조건 하에서, 플라즈마 CVD법에 의해 절연막 위에 종결정(seed crystal)을 형성하는 단계; 및
수소의 유량이 실리콘을 함유하는 퇴적성 기체의 100배 이상 2000배 이하이고, 처리실의 압력이 1333Pa 이상 13332Pa 이하인 제 2 조건 하에서, 플라즈마 CVD법에 의해 상기 종결정 위에 미결정 반도체막을 형성하는 단계를 포함하는, 미결정 반도체막 제작 방법.
Forming a seed crystal on the insulating film by the plasma CVD method under a first condition in which the flow rate of hydrogen is 50 times or more and 1000 times or less of the deposition gas containing silicon, and the pressure of the processing chamber is larger than 1333 Pa and 13332 Pa or less. step; And
Forming a microcrystalline semiconductor film on the seed crystal by plasma CVD under a second condition in which the flow rate of hydrogen is 100 times or more and 2000 times or less than the deposition gas containing silicon and the pressure of the processing chamber is 1333 Pa or more and 13332 Pa or less. A microcrystalline semiconductor film production method.
제 1 항에 있어서,
상기 종결정은 비정질 실리콘 영역 및 단결정인 결정자(crystallite)를 포함하는 혼상립을 포함하고, 상기 혼상립은 상기 종결정에 연속하여 제공되는, 미결정 반도체막 제작 방법.
The method of claim 1,
And the seed crystal comprises an interphase grain comprising an amorphous silicon region and a crystallite which is a single crystal, wherein the interphase grain is provided continuously to the seed crystal.
제 1 항에 있어서,
상기 종결정은 미결정 실리콘 막, 미결정 실리콘 게르마늄 막, 및 미결정 게르마늄 막으로 구성된 그룹으로부터 선택된 재료를 포함하는, 미결정 반도체막 제작 방법.
The method of claim 1,
And the seed crystal comprises a material selected from the group consisting of a microcrystalline silicon film, a microcrystalline silicon germanium film, and a microcrystalline germanium film.
제 1 항에 있어서,
상기 실리콘을 함유하는 퇴적성 기체는 SiH4 및 Si2H6로 구성된 그룹으로부터 선택된 가스를 포함하는, 미결정 반도체막 제작 방법.
The method of claim 1,
The deposition gas containing silicon comprises a gas selected from the group consisting of SiH 4 and Si 2 H 6 .
제 1 항에 있어서,
상기 수소 및 상기 실리콘을 함유하는 퇴적성 기체는 상기 처리실에 도입되는, 미결정 반도체막 제작 방법.
The method of claim 1,
A deposition gas containing the hydrogen and the silicon is introduced into the processing chamber.
제 1 항에 있어서,
상기 종결정은 각각 비정질 실리콘 영역 및 단결정인 결정자를 포함하는 복수의 혼상립을 포함하고, 상기 혼상립은 상기 종결정에 분산되는, 미결정 반도체막 제작 방법.
The method of claim 1,
And said seed crystals comprise a plurality of interphase grains each comprising an amorphous silicon region and a single crystal, said interphase grains being dispersed in said seed crystals.
제 1 항에 있어서,
상기 제 1 조건 하에 희가스가 상기 처리실로 도입되는, 미결정 반도체막 제작 방법.
The method of claim 1,
A method for producing a microcrystalline semiconductor film, wherein a rare gas is introduced into the processing chamber under the first condition.
제 7 항에 있어서,
상기 희가스는 헬륨, 네온, 아르곤, 크립톤, 및 크세논으로 구성된 그룹으로부터 선택되는, 미결정 반도체막 제작 방법.
The method of claim 7, wherein
The rare gas is selected from the group consisting of helium, neon, argon, krypton, and xenon.
제 1 항에 있어서,
상기 제 2 조건 하에서 희가스가 상기 처리실로 도입되는, 미결정 반도체막 제작 방법.
The method of claim 1,
The method for producing a microcrystalline semiconductor film, wherein a rare gas is introduced into the processing chamber under the second condition.
제 9 항에 있어서,
상기 희가스는 헬륨, 네온, 아르곤, 크립톤, 및 크세논으로 구성된 그룹으로부터 선택되는, 미결정 반도체막 제작 방법.
The method of claim 9,
The rare gas is selected from the group consisting of helium, neon, argon, krypton, and xenon.
기판 위에 게이트 전극을 형성하는 단계;
상기 기판 및 상기 게이트 전극 위에 게이트 절연막을 형성하는 단계;
제 1 조건 하에서 상기 게이트 절연막 위에 종결정을 형성하는 단계;
제 2 조건 하에서 상기 종결정 위에 미결정 반도체막을 형성하는 단계;
상기 미결정 반도체막 위에 미결정 반도체 영역 및 비정질 반도체 영역을 포함하는 반도체막을 형성하는 단계;
미결정 반도체 영역 및 비정질 반도체 영역을 포함하는 상기 반도체막 위에 제 1 불순물 반도체막을 형성하는 단계;
섬형상의 제 2 불순물 반도체막을 형성하기 위해 상기 제 1 불순물 반도체막의 일부를 에칭하는 단계;
섬형상의 제 1 반도체 적층체를 형성하기 위해 상기 종결정의 일부, 상기 미결정 반도체막의 일부, 및 미결정 반도체 영역 및 비정질 반도체 영역을 포함하는 상기 반도체막의 일부를 에칭하는 단계;
상기 제 2 불순물 반도체막 위에 소스 전극 및 드레인 전극으로서 기능하는 배선들을 형성하는 단계; 및
소스 영역 및 드레인 영역으로서 기능하는 한 쌍의 불순물 반도체막들을 형성하기 위해 상기 제 2 불순물 반도체막을 에칭하는 단계를 포함하고,
상기 제 1 조건에서, 수소의 유량은 실리콘을 함유하는 퇴적성 기체의 50배 이상 1000배 이하이고, 처리실의 압력이 1333Pa보다 크고 13332Pa 이하이고,
상기 제 2 조건에서, 수소의 유량은 실리콘을 함유하는 퇴적성 기체의 100배 이상 2000배 이하이고, 처리실의 압력이 1333Pa 이상 13332Pa 이하인, 반도체 장치 제작 방법.
Forming a gate electrode over the substrate;
Forming a gate insulating film on the substrate and the gate electrode;
Forming a seed crystal on the gate insulating film under a first condition;
Forming a microcrystalline semiconductor film on the seed crystals under a second condition;
Forming a semiconductor film including a microcrystalline semiconductor region and an amorphous semiconductor region on the microcrystalline semiconductor film;
Forming a first impurity semiconductor film on the semiconductor film including a microcrystalline semiconductor region and an amorphous semiconductor region;
Etching a portion of the first impurity semiconductor film to form an island-shaped second impurity semiconductor film;
Etching a portion of the seed crystal, a portion of the microcrystalline semiconductor film, and a portion of the semiconductor film including a microcrystalline semiconductor region and an amorphous semiconductor region to form an island-shaped first semiconductor laminate;
Forming wirings on the second impurity semiconductor film that function as source and drain electrodes; And
Etching the second impurity semiconductor film to form a pair of impurity semiconductor films functioning as a source region and a drain region,
Under the first condition, the flow rate of hydrogen is 50 times or more and 1000 times or less of the deposition gas containing silicon, the pressure of the processing chamber is larger than 1333 Pa and 13332 Pa or less,
Under the second condition, the flow rate of hydrogen is 100 times or more and 2000 times or less of the deposition gas containing silicon, and the pressure of the processing chamber is 1333 Pa or more and 13332 Pa or less.
제 11 항에 있어서,
상기 섬형상의 제 1 반도체 적층체를 형성하는 단계 후 및 상기 섬형상의 제 1 반도체 적층체 위에 상기 소스 전극 및 상기 드레인 전극으로서 기능하는 상기 배선들을 형성하는 단계 전에, 상기 섬형상의 제 1 반도체 적층체의 측면을 플라즈마에 노출하여 상기 섬형상의 제 1 반도체 적층체의 상기 측면 상에 장벽 영역을 형성하는 단계를 더 포함하는, 반도체 장치 제작 방법.
The method of claim 11,
After forming the island-shaped first semiconductor laminate and before forming the wirings serving as the source electrode and the drain electrode on the island-shaped first semiconductor laminate, the island-shaped first semiconductor Exposing a side of the laminate to plasma to form a barrier region on the side of the island-shaped first semiconductor laminate.
제 11 항에 있어서,
미결정 반도체 영역 및 한 쌍의 비정질 반도체 영역들이 적층된 제 2 반도체 적층체를 형성하기 위해 상기 섬형상의 제 1 반도체 적층체의 일부를 에칭하는 단계;
상기 배선들, 상기 한 쌍의 불순물 반도체 막들, 상기 제 2 반도체 적층체, 및 상기 게이트 절연막 위에 절연막을 형성하는 단계; 및
상기 절연막 위에 백 게이트 전극(back gate electrode)을 형성하는 단계를 더 포함하는, 반도체 장치 제작 방법.
The method of claim 11,
Etching a portion of the island-shaped first semiconductor laminate to form a second semiconductor laminate in which microcrystalline semiconductor regions and a pair of amorphous semiconductor regions are stacked;
Forming an insulating film on the wirings, the pair of impurity semiconductor films, the second semiconductor laminate, and the gate insulating film; And
And forming a back gate electrode over said insulating film.
제 12 항에 있어서,
미결정 반도체 영역 및 한 쌍의 비정질 반도체 영역들이 적층된 제 2 반도체 적층체를 형성하기 위해 상기 섬형상의 제 1 반도체 적층체의 일부를 에칭하는 단계;
상기 배선들, 상기 한 쌍의 불순물 반도체 막들, 상기 제 2 반도체 적층체, 및 상기 게이트 절연막 위에 절연막을 형성하는 단계; 및
상기 절연막 위에 백 게이트 전극을 형성하는 단계를 더 포함하는, 반도체 장치 제작 방법.
The method of claim 12,
Etching a portion of the island-shaped first semiconductor laminate to form a second semiconductor laminate in which microcrystalline semiconductor regions and a pair of amorphous semiconductor regions are stacked;
Forming an insulating film on the wirings, the pair of impurity semiconductor films, the second semiconductor laminate, and the gate insulating film; And
And forming a back gate electrode over said insulating film.
제 13 항에 있어서,
상기 게이트 전극 및 상기 백 게이트 전극은 서로 평행한, 반도체 장치 제작 방법.
The method of claim 13,
And the gate electrode and the back gate electrode are parallel to each other.
제 14 항에 있어서,
상기 게이트 전극 및 상기 백 게이트 전극은 서로 평행한, 반도체 장치 제작 방법.
The method of claim 14,
And the gate electrode and the back gate electrode are parallel to each other.
제 13 항에 있어서,
상기 게이트 전극 및 상기 백 게이트 전극은 서로 접속되는, 반도체 장치 제작 방법.
The method of claim 13,
And the gate electrode and the back gate electrode are connected to each other.
제 14 항에 있어서,
상기 게이트 전극 및 상기 백 게이트 전극은 서로 접속되는, 반도체 장치 제작 방법.
The method of claim 14,
And the gate electrode and the back gate electrode are connected to each other.
제 13 항에 있어서,
상기 백 게이트 전극은 플로팅 상태인, 반도체 장치 제작 방법.
The method of claim 13,
And the back gate electrode is in a floating state.
제 14 항에 있어서,
상기 백 게이트 전극은 플로팅 상태인, 반도체 장치 제작 방법.
The method of claim 14,
And the back gate electrode is in a floating state.
KR1020110073008A 2010-07-26 2011-07-22 Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device KR20120022568A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2010-167574 2010-07-26
JP2010167574 2010-07-26

Publications (1)

Publication Number Publication Date
KR20120022568A true KR20120022568A (en) 2012-03-12

Family

ID=45493973

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110073008A KR20120022568A (en) 2010-07-26 2011-07-22 Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device

Country Status (5)

Country Link
US (1) US8916425B2 (en)
JP (1) JP2012049517A (en)
KR (1) KR20120022568A (en)
CN (1) CN102345115B (en)
TW (1) TWI562201B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020257003A1 (en) * 2019-06-17 2020-12-24 Applied Materials, Inc. High density plasma cvd microcrystalline or amorphous si film for display

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7348227B1 (en) * 1995-03-23 2008-03-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
WO2011142443A1 (en) * 2010-05-14 2011-11-17 Semiconductor Energy Laboratory Co., Ltd. Microcrystalline silicon film, manufacturing method thereof, semiconductor device, and manufacturing method thereof
JP5785770B2 (en) 2010-05-14 2015-09-30 株式会社半導体エネルギー研究所 Method for manufacturing microcrystalline semiconductor film and method for manufacturing semiconductor device
US8778745B2 (en) 2010-06-29 2014-07-15 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
CN102386072B (en) * 2010-08-25 2016-05-04 株式会社半导体能源研究所 The manufacture method of microcrystalline semiconductor film and the manufacture method of semiconductor device
US8450158B2 (en) * 2010-11-04 2013-05-28 Semiconductor Energy Laboratory Co., Ltd. Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
WO2013021416A1 (en) * 2011-08-09 2013-02-14 パナソニック株式会社 Thin film semiconductor device and method for manufacturing thin film semiconductor device
JP5918572B2 (en) 2012-03-06 2016-05-18 株式会社神戸製鋼所 Continuous casting apparatus and continuous casting method for titanium ingot and titanium alloy ingot
US9484199B2 (en) * 2013-09-06 2016-11-01 Applied Materials, Inc. PECVD microcrystalline silicon germanium (SiGe)
CN104103583B (en) * 2014-06-24 2017-02-15 京东方科技集团股份有限公司 Array substrate and fabrication method thereof and display panel
GB201412201D0 (en) 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
CN108155152B (en) * 2017-12-19 2019-09-06 长鑫存储技术有限公司 Conductor structure, capacitor array structure and preparation method
US11198606B2 (en) * 2019-09-23 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for microelectromechanical systems (MEMS) devices to control pressure at high temperature
WO2021241448A1 (en) * 2020-05-29 2021-12-02 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing method, substrate processing device, and program

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56122123A (en) 1980-03-03 1981-09-25 Shunpei Yamazaki Semiamorphous semiconductor
JPH05129608A (en) 1991-10-31 1993-05-25 Sharp Corp Semiconductor device
JPH07131030A (en) 1993-11-05 1995-05-19 Sony Corp Thin film semiconductor device for display and fabrication thereof
FR2719416B1 (en) * 1994-04-29 1996-07-05 Thomson Lcd Process for passivation of the sides of a thin-film semiconductor component.
JP2000277439A (en) 1999-03-25 2000-10-06 Kanegafuchi Chem Ind Co Ltd Plasma cvd method for crystalline silicon thin-film and manufacture of silicon thin-film photoelectric conversion device
EP1118118A1 (en) * 1999-06-29 2001-07-25 Koninklijke Philips Electronics N.V. A semiconductor device
JP2001053283A (en) 1999-08-12 2001-02-23 Semiconductor Energy Lab Co Ltd Semiconductor device and its manufacture
JP2003037278A (en) 2001-07-19 2003-02-07 Sanyo Electric Co Ltd Photovoltaic element and manufacturing method therefor
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
CN100471991C (en) 2002-10-18 2009-03-25 应用材料有限公司 Silicon-containing layer deposition with silicon compounds
JP4748954B2 (en) 2003-07-14 2011-08-17 株式会社半導体エネルギー研究所 Liquid crystal display
TWI372463B (en) 2003-12-02 2012-09-11 Semiconductor Energy Lab Laser irradiation apparatus, laser irradiation method, and method for manufacturing semiconductor device
JP5159021B2 (en) 2003-12-02 2013-03-06 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
WO2007013189A1 (en) 2005-07-27 2007-02-01 Sumco Corporation Silicon wafer and process for producing the same
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
JP2008124392A (en) 2006-11-15 2008-05-29 Sharp Corp Semiconductor device, manufacturing method thereof, and display device
US20080188062A1 (en) 2007-02-02 2008-08-07 Chi-Lin Chen Method of forming microcrystalline silicon film
JP5314870B2 (en) * 2007-09-21 2013-10-16 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor
US20090122173A1 (en) * 2007-11-13 2009-05-14 William Emerson Tennant Low noise readout apparatus and method for cmos image sensors
JP2009130229A (en) * 2007-11-27 2009-06-11 Semiconductor Energy Lab Co Ltd Method of manufacturing semiconductor device
US7833885B2 (en) * 2008-02-11 2010-11-16 Applied Materials, Inc. Microcrystalline silicon thin film transistor
US8076222B2 (en) * 2008-02-11 2011-12-13 Applied Materials, Inc. Microcrystalline silicon thin film transistor
JP2010087187A (en) 2008-09-30 2010-04-15 Tokyo Electron Ltd Silicon oxide film and method of forming the same, computer-readable storage, and plasma cvd apparatus
JP2010135502A (en) * 2008-12-03 2010-06-17 Sharp Corp Semiconductor device and its production process
US20100258169A1 (en) * 2009-04-13 2010-10-14 Applied Materials , Inc. Pulsed plasma deposition for forming microcrystalline silicon layer for solar applications
US8450158B2 (en) * 2010-11-04 2013-05-28 Semiconductor Energy Laboratory Co., Ltd. Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020257003A1 (en) * 2019-06-17 2020-12-24 Applied Materials, Inc. High density plasma cvd microcrystalline or amorphous si film for display

Also Published As

Publication number Publication date
US8916425B2 (en) 2014-12-23
US20120021570A1 (en) 2012-01-26
JP2012049517A (en) 2012-03-08
CN102345115B (en) 2015-09-09
CN102345115A (en) 2012-02-08
TWI562201B (en) 2016-12-11
TW201207906A (en) 2012-02-16

Similar Documents

Publication Publication Date Title
KR101840183B1 (en) Method for manufacturing microcrystalline semiconductor film and semiconductor device
KR20120022568A (en) Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
KR101880422B1 (en) Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
KR101813016B1 (en) Thin film transistor
TWI517214B (en) Method for manufacturing microcrystalline semiconductor film and method for manufacturing semiconductor device
JP5912569B2 (en) Method for manufacturing microcrystalline semiconductor film and method for manufacturing semiconductor device
JP5823821B2 (en) Method for manufacturing microcrystalline semiconductor film and method for manufacturing semiconductor device
KR20110076788A (en) Thin film transistor
KR101827329B1 (en) Thin film transistor and manufacturing method thereof
KR20110073294A (en) Thin film transistor and manufacturing method thereof
US9159841B2 (en) Method for manufacturing semiconductor device
KR20120003374A (en) Semiconductor device and manufacturing method thereof
JP6006948B2 (en) Microcrystalline semiconductor film and method for manufacturing semiconductor device
JP6153296B2 (en) Semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal