KR20090049578A - 에칭 및 홀 어레이 - Google Patents

에칭 및 홀 어레이 Download PDF

Info

Publication number
KR20090049578A
KR20090049578A KR1020097001749A KR20097001749A KR20090049578A KR 20090049578 A KR20090049578 A KR 20090049578A KR 1020097001749 A KR1020097001749 A KR 1020097001749A KR 20097001749 A KR20097001749 A KR 20097001749A KR 20090049578 A KR20090049578 A KR 20090049578A
Authority
KR
South Korea
Prior art keywords
compound
substrate
tip
patterned
patterned area
Prior art date
Application number
KR1020097001749A
Other languages
English (en)
Inventor
챠드 미르킨
칼리드 살라이타
Original Assignee
노쓰웨스턴유니버시티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노쓰웨스턴유니버시티 filed Critical 노쓰웨스턴유니버시티
Publication of KR20090049578A publication Critical patent/KR20090049578A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/855Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/855Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure
    • Y10S977/856Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure including etching/cutting
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/849Manufacture, treatment, or detection of nanostructure with scanning probe
    • Y10S977/855Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure
    • Y10S977/857Manufacture, treatment, or detection of nanostructure with scanning probe for manufacture of nanostructure including coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/888Shaping or removal of materials, e.g. etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Micromachines (AREA)
  • ing And Chemical Polishing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 리소그래피 및 나노리소그래피 방법은 기판 표면 위에 제1 화합물을 패턴화시키는 단계, 기판 표면의 비-패턴화된 영역을 제2 화합물에 노출시키는 단계 및 제2 화합물을 그대로 두면서 제1 화합물을 제거하는 단계를 포함한다. 생성된 홀 패턴은 기판의 패턴화된 영역의 화학적 에칭 또는 기판의 패턴화된 영역 위의 금속 증착에서 템플릿으로 사용할 수 있다.
에칭, 홀 어레이, 자기-조립 단일층, 템플릿, DPN

Description

에칭 및 홀 어레이 {ETCHING AND HOLE ARRAYS}
관련 출원
본 출원은 2006년 6월 28일자로 출원된 미국 가출원 제60/816,948호에 대해 우선권을 주장하며, 상기 출원은 그 전체로 본원에 참고로 인용된다.
연방 기금에 대한 보고
본 발명은 NSF-NSEC, 인가 번호 EEC 011-8025, DARPA-ARD, 인가 번호 DAAD 19-03-1-0065 및 AFOSR/MURI 인가 번호 F49-620-00-1-0283로부터의 연방 기금을 사용하여 개발되었다. 연방 정부는 본 발명에서 일정한 권리를 가질 수 있다.
현재, 나노구조의 금속 표면은 전자공학, 광학, 생물진단학 및 촉매작용 (1-3 - 이하 열거되는 참고문헌 참조)에서 중요한 역할을 한다. 종래의 포토리소그래피에서의 포토레지스트와 유사하게, 알칸티올의 자기-조립 단일층 (SAM)은 그 밑에 있는 얇은 금속 필름의 패턴화를 위한 마스크로서 이용되어 왔다. 그러한 패턴화는 적어도 두 가지 방법에 의존해 왔다. 첫 번째 방법은 집속(focused) 이온 (4) 또는 전자 빔 (5-8), 광방사 (9-10) 또는 주사 탐침 현미경 팁(scanning probe microscope tip) (11)이 흡착된 단일층을 국소적으로 여기 또는 분해시키는 간접 방식이다. 대부분의 경우에는, 단일층이 손상 또는 파손되지만 (12-13), 일부 경 우에는, 단일층이 가교결합된다 (14). 간접 방식을 사용하여 패턴화된 SAM은 얇은 금속 필름의 노출된 구역에서 금속염의 전기증착 또는 기판 에칭을 조절하기 위한 마스크로서 이용되어 왔다 (5, 7, 15-17). 여전히, 알칸티올의 간접 패턴화는 패턴화 해상도가 제한되는 포토리소그래피법을 제외하고, 전형적으로 일련의 주사를 요하는 저-처리량 공정이다.
알칸티올 패턴화의 제2의 방법은 얇은 금속 필름 상에 알칸티올을 직접 증착시키기 위한 직접 증착 수단, 예컨대 미세-접촉 인쇄 (μCP) (18) 또는 딥-펜(dip-pen) 나노리소그래피 (DPN) 인쇄 (19, 20)를 사용한다. μCP 및 그의 변형은 표면 위에 유기 화합물을 대규모로 병렬 인쇄할 수 있는 한편, 피쳐(feature) 크기를 전형적으로는 약 200 nm 미만으로 조절한다. 헥사데칸티올 (CH3(CH2)15SH)의 μCP 생성된 패턴은 Au 위에 Ni의 무전해 증착을 위한 마스크로서 사용되어 왔다 (3). 다양한 길이의, μCP 패턴화된 알칸티올은 인가 전위에 따라 Ag 및 Au 염의 전기증착을 행하는데 사용될 수 있다 (21).
DPN 인쇄는 표면의 화학 조성을 50 nm 미만 내지 수 마이크로미터 길이 규모로 맞추기 위한 직접-기록 수단이다 (19, 20). 유기 소분자 (20, 22-24), 올리고뉴클레오티드 (25), 단백질 (26), 전도성 중합체 (27) 및 졸 겔 (28)이 DPN 인쇄를 사용하여 무기 기판, 예컨대 Au, Ag 및 SiOx 위에 패턴화되어 왔다. DPN-패턴화된 알칸티올은 다양한 무기 나노구조물의 생성을 위한 음성의 화학적 에칭 레지스트로서 사용될 수 있다. 예를 들어, 16-메르캅토헥사데칸산 (MHA) 및 1-옥타데칸티올 (ODT)을 실리콘 기판 위에 Au, Ag 또는 Pt를 포함하는, 12 nm 갭 및 50 nm 미만의 금속 디스크를 생성하기 위한 화학적 에칭 레지스트로서 각각 사용하였다 (29-33).
알칸티올을 양성의 에칭 레지스트로서 사용하는 방법이 제안되어, 높은 흡착질 용액 교환 저항을 제공하는 벌크하거나 불량하게 배향된 흡착질을 사용하는 μCP에서 실증하였으나, 불량한 에칭 레지스트이다 (34, 35).
개요
한 가지 실시양태는, 예를 들어, 팁 및 기판을 제공하는 단계; 패턴화된 영역을 생성하기 위해 팁으로부터 기판의 표면에 제1 화합물을 도포하는 단계; 기판을 제2 화합물에 노출시킴으로써, 표면의 비-패턴화된 영역에 제2 화합물을 증착시키는 단계; 표면의 비-패턴화된 영역에 있는 제2 화합물이 비-패턴화된 영역에 증착된 채로 남아 있도록, 표면의 패턴화된 영역으로부터 제1 화합물을 선택적으로 제거하는 단계; 및 이어서 표면의 패턴화된 영역을 에칭하는 단계를 포함하는 방법을 제공한다.
또다른 실시양태는, 팁 및 기판을 제공하는 단계; 패턴화된 영역을 생성하기 위해 팁으로부터 기판의 표면에 제1 화합물을 도포하는 단계; 기판을 제2 화합물에 노출시킴으로써, 표면의 비-패턴화된 영역에 제2 화합물을 증착시키는 단계; 표면의 비-패턴화된 영역에 있는 제2 화합물이 비-패턴화된 영역에 증착된 채로 남아 있도록, 표면의 패턴화된 영역으로부터 제1 화합물을 선택적으로 제거하는 단계; 및 표면의 패턴화된 영역에 물질을 증착시키는 단계를 포함하는 방법을 제공한다. 상기 물질은, 예를 들어, 전도성 물질 또는 반전도성 물질, 예컨대 금속 또는 전도 성 중합체 또는 콘쥬게이션된 중합체일 수 있다.
또다른 실시양태는, 나노스코픽 팁 및 고체 기판을 제공하는 단계; 팁 위에 제1 화합물을 포함하는 조성물을 배치하는 단계; 패턴화된 영역을 생성하기 위해 팁으로부터 기판의 표면에 제1 화합물을 증착시키는 단계 (여기서, 제1 화합물은 표면 위에 자기-조립 단일층을 형성함); 기판을 제2 화합물에 노출시킴으로써, 표면의 비-패턴화된 영역에 제2 화합물을 증착시키는 단계 (여기서, 제2 화합물은 표면 위에 자기-조립 단일층을 형성함); 표면의 비-패턴화된 영역에 있는 제2 화합물이 비-패턴화된 영역에 증착된 채로 남아 있도록, 표면의 패턴화된 영역으로부터 제1 화합물을 선택적으로 전기화학적으로 제거하는 단계; 및 표면의 패턴화된 영역을 에칭하는 단계를 포함하는 방법을 제공한다.
하나 이상의 실시양태의 장점에는, 표면으로부터 유사한 분자를 선택적으로 탈착시키는 능력; 전기화학적 탈착법의 사용; 양호한 처리량; 고해상도; 및 그중에서도 특히 양호한 처리량과 고해상도의 조합이 포함된다. 예를 들어, 해상도는 마이크로미터 내지 100 nm 미만의 측방향 해상도일 수 있고, 용도상 필요한 경우에는 조절될 수 있다.
도 1은 고체 상태 피쳐의 DPN을 사용한 홀 어레이 및 고체 상태 피쳐의 후속 생성(subsequent generation) 어레이의 제조를 설명하는 도해이다.
도 2 (A) 내지 (D)는 DPN 생성된 홀 어레이 및 고체 상태 피쳐의 어레이에 관한 AFM 데이터를 나타낸다. (A)는 SiOx 기판 위에 증발시킨 40 nm 두께의 Au 필름 위에 DPN을 사용하여 생성한, 평균 점 직경이 430, 310 및 210 nm (± 20 nm)인 여러 줄의 점 (4, 2 및 1초 유지 시간)을 포함하는 30×30 MHA 구조인 어레이 중 일부의 측력 현미경 (LFM) 영상이다. (B)는 40 nm Au 필름 내에 제작된 홀 (380, 270 및 190 (± 20) nm 직경) 어레이의 비접촉 모드 AFM (NCAFM) 영상이다. (C)는 전체 900개의 홀 어레이 (30×30)의 NCAFM 영상이다. (D)는 (B)에 나타낸 바와 같은 홀 중 두 줄의 깊이 프로파일이다. 템플릿이 더 넓을수록 더 깊은 홀을 생성한다는데 주의한다. Z축 값은 (B) 및 (C)에서 60 nm이다.
도 3은 MHA 및 ODT의 화학적 구조, 및 100 mV s-1의 주사 속도에서 0.5 M KOH 중 Au 상에서의 ODT 및 MHA 단일층의 벌크 환원성 탈착에 대한 순환 전압전류곡선을 나타낸다. 수직선은 MHA 및 ODT에 대한 전기화학적 탈착의 경계를 나타내고, 강조한 구역 (-800 mV > Edes > -850 mV)은 MHA는 선택적으로 탈착된 한편, ODT는 그대로 유지되는 전위를 나타낸다.
도 4 (A) 및 (B)는 60 nm 다결정질 금 필름에 에칭된 홀에 대한 AFM 데이터를 나타낸다. (A)는 에칭된 홀의 NCAFM이다. 면-절삭된(faceted) 홀 모양은 증발된 필름의 주된 Au(111) 특색을 반영한다. (B)는 NCAFM 영상에서 강조된 구역의 깊이 프로파일이다. 홀은 Ti/SiOx 기판 베이스(base)까지 에칭되었고, 이는 홀의 베이스가 Au 필름의 다결정질 상부보다 더 평탄한 이유를 설명해 준다.
도 5 (A) 내지 (C)는 DPN 생성된 삼각형의 홀 어레이 및 삼각형의 고체 구조 의 어레이에 관한 AFM 데이터를 나타낸다. (A)는 1 ㎛/s 팁 속도를 사용하여 작성한 삼각형의 MHA 구조인 어레이 중 일부의 LFM 영상이다. 모서리 길이는 740 nm이고, 선 폭은 190 nm (± 20 nm)이다. (B)는 MHA의 선택적 탈착 (-800 mV, 5분), 및 개회로 전위에서의 후속 에칭 (10분) 후의 (A)에서와 동일한 기판의 NCAFM 영상이다. (C)는 (B)에서 강조된 구역의 깊이 프로파일이다. 모든 구조의 평균 피크 깊이는 34 ± 3 nm이다.
도 6 (A) 내지 (C)는 템플릿으로서 DPN 생성된 홀 어레이를 사용하여 생긴 Ag 구조의 어레이에 관한 것이다. (A)는 다결정질 Au 기판 위에 생성된 15×15 Ag 구조 어레이의 암시야(dark field) 현미경 영상이다. Ag 구조는 직경이 교대되는 MHA 정의된 점 템플릿 위에 전기도금되었다. 삽입물은 어레이 중 일부의 AFM 영상이다. (B)는 (A)의 어레이의 높이 프로파일이다. (C)는 홀 어레이 (템플릿)로부터의 Ag 구조의 어레이의 생성을 도식적으로 설명한다.
도 7 (A) 내지 (E)는 템플릿으로서 Ag 구조의 어레이를 사용하여 생긴 PDMS 어레이에 관한 것이다. (A)는 DPN-정의된 템플릿 상의 전기증착된 Ag "마스터(master)"의 AFM 영상이다. (B)는 A로부터의 마스터를 정확하게 복제하는 PDMS "복제물(replica)"의 광학 현미경 영상이다. (C)는 Ag "마스터" 어레이의 광학 현미경 영상이다. 삽입물은 어레이 중 일부의 대표적인 AFM 영상을 나타낸다. (D)는 (C)에 나타낸 Ag 마스터로부터 복제된 PDMS 주형의 AFM 영상이다. (E)는 DPN-정의된 "마스터"로부터 PDMS "복제물"을 몰딩(molding)하는 방법의 도식적인 표현이다.
도 8 (A) 내지 (C)는 Au 필름에서의 DPN-템플릿의 홀 구조의 EDS 분석을 나타낸다. (A)는 DPN-템플릿 홀의 FESEM 영상이다. (B) 및 (C)는 SEM 영상에서 강조된 구역 "+"의 EDS 분석인데, 여기서 스펙트럼 1은 홀 구조에 상응하며, 스펙트럼 2는 ODT 패시베이션된(passivated) Au에 상응한다. 스펙트럼 2는 오로지 SiOx와 결합된 원소 피크를 나타내며, 스펙트럼 1은 Au와 SiOx 둘 다와 결합된 원소 피크를 나타낸다.
도 9 (A) 내지 (C)는 Au 필름 위에 전기증착된 DPN-템플릿 Ag 구조의 EDS 분석을 나타낸다. (A)는 DPN-정의된 Ag 점 모양 구조의 FESEM 영상이다. (B) 및 (C)는 SEM에서 강조된 구역 "+"의 EDS 분석이며, 여기서 스펙트럼 1은 Ag 구조에 상응하며, 스펙트럼 2는 ODT 패시베이션된 Au 구역에 상응한다. 스펙트럼 1은 Au와 Ag 둘 다에 대해 특징적인 원소 기호를 나타내는 한편, 스펙트럼 2는 오로지 Au에 대해 특징적인 원소 기호를 나타낸다.
도 10은 3H3 MHA 점 어레이의 비접촉 AFM (NCAFM) 및 페이즈(phase) 영상을 나타내는데, 여기서 배경 Au 표면은 ODT로 패시베이션시켰고, -750 mV의 전위를 5분 동안 인가하였다. 이어서, 샘플을 1 mM KCN에 20분 동안 노출시켰다. MHA 구조는 그대로 유지되며, Au 기판은 에칭되지 않는다. Z축 값은 20 nm이다.
도 11은 -850 mV의 전위를 5분 동안 인가한 다음, OCP에서 알칼리성 CN- 함유 용액에 기판을 노출시켜 생성한 홀 어레이의 NCAFM 영상을 나타낸다. ODT 패시베이션 층에 작은 핏(pit)이 있음을 주의한다. Z축 값은 60 nm이다.
도 12 (A) 내지 (C)는 -800 mV의 선택적 탈착 전위를 5, 8 및 0분 동안 인가하여 생성된 3H3 홀 어레이의 NCAFM 영상을 나타내며, 이는 각각 A, B 및 C에 해당한다. Z축 값은 A 및 B에서는 80 nm이고, C에서는 10 nm이다.
도 13 (A) 내지 (D)는 나노구조의, 석영 기판 위에 지지된 Au 필름 (우측 도식)의 광학 특성의 측정을 입증해 준다. (A)는 암시야 반사 모드에서, (B)는 명시야 반사 모드에서, (D)는 명시야 투과 모드에서의 삼각형 홀 어레이의 광학 현미경 영상이다. (C)는 Au 필름에 에칭된 대표적인 삼각형 구조의 NCAFM 영상이다.
서론
바람직한 실시양태를 상세히 참조할 것이며, 이의 예는 수반되는 도면에서 설명한다. 기술하는 발명의 여러 측면을 바람직한 실시양태와 함께 기술할 것이지만, 이는 본 발명을 그러한 실시양태로 한정하려는 의도는 아니라는 것이 이해될 것이다. 오히려, 본 발명은 첨부된 청구의 범위에 의해 정의되는 바와 같은 본 발명의 취지 및 범주 내에 포함될 수 있는 대안, 변형 및 균등물을 포괄하고자 한다.
직접-기록 인쇄를 위한 나노리소그래피 기구 및 부속품은 일리노이주 시카고 소재의 나노잉크, 인코퍼레이티드.(NanoInk, Inc.)로부터 구할 수 있다. 딥 펜 나노리소그래피(상표명) 및 DPN(상표명)은 나노잉크, 인코퍼레이티드 상표이다.
캔틸레버(cantilever), 팁 및 패턴화 화합물을 사용하는 직접-기록 인쇄와 관련된 하기의 특허 및 동시-계류중인 출원은 그 전체로 본원에 참고로 인용된다.
잉크, 팁, 기판 및 기타 기구 변수를 포함하는 DPN 인쇄 및 패턴화 방법의 기초적인 측면을 기술하는, 2003년 10월 21일자로 발행된 머킨(Mirkin) 등의 U.S. 특허 제6,635,311호 ("Methods Utilizing Scanning Probe Microscope Tips and Products Therefor or Produced Thereby").
소프트웨어 제어, 에칭 절차, 나노플로터(nanoplotter) 및 어레이 형성을 포함하는 DPN 인쇄의 기초적인 측면을 추가로 기술하는, 2004년 12월 7일자로 발행된 머킨 등의 U.S. 특허 제6,827,979호 ("Methods Utilizing canning Probe Microscope Tips and Products Therefor or Produced Thereby").
DPN 인쇄의 에퍼춰(aperture) 실시양태 및 추진력 실시양태를 기술하는, 2002년 9월 5일자로 공개된 U.S. 특허 공보 제2002/0122873 A1호 ("Nanolithography Methods and Products Produced Therefor and Produced Thereby").
DPN 인쇄를 위한 정렬 방법을 기술하는, 2003년 10월 2일자로 공개된 에비(Eby) 등의 U.S. 특허 공보 제2003/0185967호 ("Methods and Apparatus for Aligning Patterns on a Substrate").
DPN 인쇄에 대한 보정법을 기술하는, 2006년 6월 13일자로 발행된 듀페이랫(Dupeyrat) 등의 U.S. 특허 제7,060,977호 ("Nanolithographic Calibration Methods").
단백질 및 펩티드의 나노어레이를 기술하는, 2003년 4월 10일자로 공개된 머킨 등의 U.S. 특허 공보 제2003/0068446호 ("Protein and Peptide Nanoarrays").
핵산 패턴화를 기술하는, 2002년 12월 2일자로 출원된 머킨 등의 U.S. 정기 특허 출원 일련번호 제10/307,515호 ("Direct-Write Nanolithographic Deposition of Nucleic Acids from Nanoscopic Tips").
반응성 패턴화 및 졸 겔 잉크를 기술하는, 2003년 8월 28일자로 공개된 머킨 등의 U.S. 특허 공보 제2003/0162004호 ("Patterning of Solid State Features by Direct-Write Nanolithographic Printing").
2003년 11월 4일자로 발행된, 류(Liu) 등의 U.S. 특허 제6,642,129호 ("Parallel, Individually Addressible Probes for Nanolithography").
2004년 5월 18일자로 발행된, 슈바르츠(Schwartz)의 U.S. 특허 제6,737,646호 ("Enhanced Scanning Probe Microscope and Nanolithographic Methods Using Same").
2004년 1월 6일자로 발행된, 슈바르츠의 U.S. 특허 제6,674,074호 ("Enhanced Scanning Probe Microscope").
2006년 8월 29일자로 발행된 U.S. 특허 제7,098,058호.
2004년 2월 12일자로 공개된 U.S. 특허 공보 제2004/0026681호.
2006년 2월 28일자로 발행된 U.S. 특허 제7,005,378호.
2004년 9월 9일자로 공개된 U.S. 특허 공보 제2004/0175631호.
2006년 4월 25일자로 발행된 U.S. 특허 제7,034,854호.
2005년 1월 13일자로 공개된 U.S. 특허 공보 제2005/0009206호.
2005년 12월 8일자로 공개된 U.S. 특허 공보 제2005/0272885호.
2005년 11월 17일자로 공개된 U.S. 특허 공보 제2005/0255237호.
2005년 10월 27일자로 공개된 U.S. 특허 공보 제2005/0235869호.
일부 실시양태에서, 본원에 기재된 직접-기록 나노리소그래피법은 펩티드, 단백질, 핵산, DNA, RNA, 바이러스 등을 기반으로 한 바이오어레이, 나노어레이 및 마이크로어레이의 제조에 사용하는데 특히 흥미로울 수 있다. 예를 들어, 칩 및 라이브러리의 대량 제작을 위한 US 특허 제6,787,313호; 피펫 팁을 이용하는 자동화된 분자 생물학 실험실을 위한 제5,443,791호; 제약적 용도에서 분자 어레이의 자동화된 합성용 장치를 위한 제5,981,733호를 참고한다.
DPN 인쇄를 비롯한 직접 기록법은 예를 들어 문헌 [Direct Write Technologies, Sensors, Electronics, and Integrated Power Sources, Pique and Chrisey (Eds), 2002]에 기재되어 있다.
주사 탐침 현미경법은 문헌 [Bottomley, Anal. Chem., 1998, 70, 425R-475R]에서 검토된다.
주사 탐침 현미경은 US 특허 제5,705,814호 (디지털 인스트루먼츠(Digital Instruments))에 기재된 바와 같이 탐침 교환 기작을 포함하는 당업계에 공지되어 있다.
또한, 하기의 논문은 직접-기록 나노리소그래피와 함께 사용되는 습식 화학적 에칭 절차를 기술하며, 도면, 참고문헌 및 작용 실시예를 비롯하여 그 전체로 본원에 인용된다: 문헌 [Zhang et al., "Dip-Pen Nanolithography-Based Methodology for Preparing Arrays of Nanostructures Functionalized with Oligonucleotides"; Adv. Mat., 2002, 14, No. 20, October 16, pages 1472-1474]; [Zhang et al., "Biofunctionalized Nanoarrays of Inorganic Structures Prepared by Dip-Pen Nanolithography"; Nanotechnology, 2003, 14, 1113-1117].
서적 [Fundamentals of Microfabrication, The Science of Minitaturization, 2nd Ed., Marc J. Madou]는 가산법 및 감산법을 비롯한 마이크로 및 나노 기술, 예를 들어, 리소그래피 (제1장), 건식 에칭법을 이용하는 패턴 전사 (제2장), 가산법을 이용하는 패턴 전사 (제3장) 및 습식 벌크 마이크로머시닝(micromachining) (제4장)을 기술한다.
또한, 서적 [Direct-Write Technologies for Rapid Prototyping Applications: Sensors, Electronics, and Integrated Power Sources (Eds. A. Pique and D.B. Chrisey)]는 가산법 및 감산법을 비롯한 마이크로 및 나노 기술을 기술한다. 예를 들어, 벌크 마이크로머시닝 및 에칭은 페이지 617 내지 619에서 기술한다. 100 나노미터 미만의 길이 규모에서의 DPN 인쇄는 10장에서 기술한다.
자기-조립 단일층, 에칭 및 마이크로제작은 추가로 예를 들어 소(Soh) 등의 US 특허 제5,618,760호; 밤다드(Bamdad) 등의 제5,620,850호; 및 쿠마르(Kumar) 등의 제5,512,131호에서 기술한다.
2006년 4월 20일자로 공개된, 머킨 등의 US 특허 공보 제US 2006/018479호에는 유기 마이크로 및 나노 구조의 전기화학적 소형화 방법이 개시되어 있다.
또한, US 특허 제5,827,417호 및 제5,635,047호는 전도성 기판, 예컨대 금에서 티올레이트 커버범위의 제어 방법에 관한 것이다.
본원은 기판의 표면 위에 제1 화합물을 패턴화하는 단계, 표면의 비-패턴화된 영역을 제2 화합물로 패시베이션시킨 후, 제2 화합물은 그대로 남겨두면서, 패턴화된 영역으로부터 제1 화합물을 제거하는 단계를 포함하는 방법을 제공한다. 제1 화합물의 제거 후에 형성된 홀 패턴은 표면의 패턴화된 영역의 에칭 또는 표면의 패턴화된 영역에 대한 직접 금속 증착의 지표가 될 수 있는 템플릿으로서 사용될 수 있다.
상기 방법은 적어도 세 가지의 장점이 있다. 첫째로, 상기 방법은 값비싼 고품질의 마스터를 요하지 않는 무-마스크 기술이다. 그 결과로, 다양한 피쳐 크기 및 간격을 갖는 패턴의 신속한 원형화(prototyping)를 보다 용이하게 수행할 수 있다. 둘째로, 상기 방법은 클린 룸(clean room)의 사용을 요하지 않으며, 대신에 습식 화학적 방법에 의거할 수 있다. 습식 화학적 방법은 생성된 패턴에 대해 시약을 부위-특이적으로 정확히 고정화시킬 수 있다. 마지막으로, 상기 방법은 대량 병렬처리로 수정할 수 있다.
팁 실시양태를 추가로 기술할 것이다. DPN 인쇄 업계에 공지된 팁을 사용할 수 있다. 끝이 뾰족한 것을 특징으로 하는 날카로운 팁을 사용할 수 있다. 팁은 예를 들어 나노스코픽 팁일 수 있다. 팁은 예를 들어 주사 탐침 현미경 팁 또는 원자력 현미경 팁일 수 있다. 팁은 주사 탐침 또는 AFM 측정 (캔틸레버 및 피드백 기작으로 적합하게 조작한 경우)에 유용하도록 조작할 수 있다. 팁은 중공(hollow) 팁 또는 고체 팁일 수 있다. 팁은 패턴화 화합물의 전달 통로를 포함할 수 있다. 고체 및 중공 팁을 비롯한 팁은 예를 들어 US 특허 제6,635,311호 및 제6,827,979호, 뿐만 아니라 제2002/0122873호 (그 전체로 본원에 참고로 인용됨)에서 추가로 기술한다. 또한, 2005년 12월 8일자로 공개된 헨더슨(Henderson) 등의 제WO 2005/115630호는 표면 위에 증착시키기 위한 연장된 에퍼춰를 갖는 연장된 빔을 기술한다. 또한, 슬릿 또는 그루브 기술에 기초한 증착을 위한, 버고드(Bergaud) 등의 US 특허 공보 제2006/0096078호를 참고하고, 또한, 나노만년필 탐침 기록을 위한 문헌 [Espinosa et al., Small, 1 , No. 6, 632-635, 2005]; [Lewis et al., Appl. Phys. Lett., 1999, 75, 2689-2691]; [Taha et al., Appl. Phys. Lett., 2003, 83, 1041-1043]; [Hong et al, Appl. Phys. Lett., 2000, 77, 2604-2606]; [Meister et al., Microelectron. Eng., 2003, 67-68, 644-650]; [Deladi et al., Appl. Phys. Lett., 85, 5361-5363]을 참고한다.
팁은 경질의 무기물, 세라믹 물질 또는 보다 연질의 유기 물질을 포함할 수 있다. 반도체 물질을 사용할 수 있다. 절연성 및 전도성 물질을 사용할 수 있다. 예를 들어, 실리콘 또는 실리콘 니트라이드를 비롯한 AFM 영상화 업계에 공지된 팁을 사용할 수 있다. 예를 들어, 중합체 또는 중합체-코팅된 팁을 사용할 수 있다. 예를 들어, 그 전체로 본원에 참고로 인용된 장(Zhang) 등의 US 특허 공보 제2005/0255237호를 참고한다. 중합체 팁 및 캔틸레버는 예를 들어 주사 탐침 접촉 인쇄와 관련된 머킨 및 류의 US 특허 공보 제2004/0228962호에서 기술한다.
캔틸레버 위에 배치된 팁은 복수의 캔틸레버 위에 배치된 복수의 팁을 포함하는 보다 큰 구조의 일부일 수 있다. 이를 멀티팁 구조 또는 병렬 펜 구조로 칭할 수 있다. 예를 들어, 멀티팁 구조는 20개 초과, 또는 100개 초과, 또는 1,000개 초과, 또는 10,000개 초과, 또는 100,000개 초과, 또는 1,000,000개 초과의 개별 팁을 가질 수 있다. 캔틸레버 및 팁은, 하나의 팁을 또다른 팁과는 상관없이 올리거나 내릴 수 있는 개별 작동을 위해 개조될 수 있다. 개별 작동은 예를 들어 그 전체로 본원에 참고로 인용되는 류 등의 US 특허 제6,867,443호 및 제6,642,129호에서 기술한다. 정전기적 또는 열적 작동을 사용할 수 있다.
팁은 온도 조절을 위해 열적으로 가열 및 활성화될 수 있다.
기판
기판 표면은 각종 기판, 특히 고체 기판의 표면일 수 있다. 패턴의 보다 높은 해상도를 제공하기 위해서는, 보다 매끄러운 기판이 일반적으로 바람직하다. 기판은, 예를 들어, 금속, 반도체, 절연체, 자성 물질, 중합체 물질, 세라믹 물질 또는 초전도성 물질을 포함할 수 있다. 예를 들어 기판은 실리카, 실리콘 옥시드 SiOx, GaAs, InP, InAs 또는 유리를 포함할 수 있다. 일부 실시양태에서, 기판의 표면은, 예를 들어, 금, 은, 백금 또는 팔라듐을 포함하는 금속 표면일 수 있다.
패턴화
제1 화합물은 패턴을 형성하기 위해 여러 가지 상이한 방식으로 팁으로부터 기판의 표면으로 운반될 수 있으며, 이는 특별히 한정되지는 않는다. 제1 화합물을 표면에 도포하면 표면의 패턴화된 영역, 즉, 표면 중에 제1 화합물이 도포된 영역, 및 표면의 비-패턴화된 영역, 즉, 표면 중에 제1 화합물이 도포되지 않은 영역이 생긴다. DPN 인쇄에서 공지된 방법을 제1 화합물의 패턴화에 사용할 수 있다. 예를 들어, 주사 탐침 및 AFM-관련 기술에서, 팁을 표면과 상호작용시키기 위해 여러 가지 모드를 사용할 수 있는데, 여기에는 접촉 모드, 비접촉 모드 및 간헐적 접촉 모드 또는 태핑(tapping) 모드가 포함된다. 캔틸레버를 진동(oscillation)시킬 수 있다. X, Y 및 Z 방향 위치지정 및 정렬을 위해 공지된 피드백 방법을 사용할 수 있다.
팁으로부터 표면으로 제1 화합물을 운반하는 것은 기판 표면의 XY 평면에 대해 팁을 Z 방향으로만 상하로 이동시켜서 수행할 수 있다. 전달은 팁을 기판 표면 위로 운반하지 않고도, XY 평면에서 이동시켜서 수행할 수 있다. 다르게는, 팁을 XY 평면에서 이동시켜서 표면 위로 운반할 수 있다.
운반은 팁과 표면 사이의 물 메니스커스(meniscus)를 제공하는 습도, 온도 및 기체 분위기와 같은 조건 하에 수행될 수 있다. 예를 들어, 습도는 약 25% 이상, 또는 약 40% 이상, 또는 약 50% 이상일 수 있다. 조건은 환경조절 챔버를 사용하여 조절할 수 있다. 기체 분위기는 공기, 비활성 분위기, 습도 조절된 분위기, 또는 다른 휘발성 또는 기체 화합물, 예컨대 유기 화합물 또는 휘발성 용매, 예컨대 메탄올 또는 에탄올과 같은 알콜의 증기가 존재하는 분위기일 수 있다. 조건은, 예를 들어, 무수 조건 또는 모든 반응물 및 표면이 물이 없도록 선택된 조건을 비롯하여, 물 메니스커스에 호의적이지 않도록 선택할 수 있다.
운반은 수동으로 또는 컴퓨터로 제어되는 장치에 의해 행할 수 있다. 패턴 설계, 보정, 레벨링 및 정렬을 용이화할 수 있는 소프트웨어를 사용할 수 있다. 보정 방법은 예를 들어 그 전체로 본원에 인용된, 크루천-듀페이랫(Cruchon-Dupeyrat) 등의 US 특허 제7,060,977호에서 기술한다. 정렬 방법은 예를 들어 그 전체로 본원에 인용된, 에비 등의 제2003/0185967호에서 기술한다.
운반은 동일한 지점에서 또는 여러 위치에서 반복적으로 1회를 초과하여 행할 수 있다.
패턴화 조성물 및 화합물
제1 화합물은 표면에 화학흡착 또는 공유결합할 수 있는 화합물일 수 있다. 표면이 금속, 예컨대 금, 은, 팔라듐 또는 백금을 포함하는 경우에는, 제1 화합물은 황, 셀레늄 또는 텔루르 원자를 함유하는 유기 화합물일 수 있다. 예를 들어, 제1 화합물을 티올, 디술피드, 술피드, 셀레놀, 셀레나이드, 디셀레나이드, 텔루롤, 텔루라이드 또는 디텔루라이드 기를 함유하는 유기 화합물일 수 있다. 바람직하게는, 제1 화합물은 자기-조립 단일층, 바람직하게는 배향된 자기-조립 단일층을 기판의 표면 위에 형성할 수 있는 유기 화합물이다. 배향된 자기-조립 단일층을 형성할 수 있는 화합물은 당업자에게 공지되어 있으며, 예를 들어, 문헌 [A. Ulman, An Introduction to Ultrathin Organic Films: From Langmuir-Blodgett to Self-Assembly, Academic Press, San Diego, 1991]을 참고한다. 배향된 자기-조립 단일층을 형성할 수 있는 화합물로는 장쇄 알칸기, 즉, 8개 초과, 또는 10개 초과, 또는 12개 초과의 탄소 원자를 함유하는 알칸기를 함유하는 화합물이 포함된다. 배향된 자기-조립물을 형성할 수 있는 화합물의 예로는 장쇄 알칸 티올, 예컨대 16-메르캅토헥사데칸산 및 1-옥타데칸티올 및 장쇄 알칸 셀레놀, 예컨대 옥타데칸셀레놀이 포함되지만, 여기에 한정되지는 않는다.
제1 화합물의 패턴화시, 복수의 점 또는 복수의 선을 기판의 표면 위에 형성할 수 있다. 복수의 점은 당업계에 공지된 바와 같은 육각형 또는 정사각형 격자를 비롯한 점의 격자일 수 있다. 복수의 선은 수직선 및 평행선의 배열을 포함하는 그리드(grid)를 형성할 수 있다. 복수의 선 중 개별 선은 직선일 수 있거나, 직선이 아닐 수 있다. 예를 들어, 직선이 아닌 선은 다양한 다각형 모양, 예컨대 삼각형, 사각형, 마름모, 직사각형 등의 개별 패턴을 형성할 수 있다.
점 직경 및 선 폭을 비롯한, 개별 패턴의 측면 치수는, 예를 들어 약 2,000 nm 이하, 약 1,000 nm 이하, 약 500 nm 이하, 약 200 nm 이하, 보다 특히 약 100 nm 이하일 수 있다. 치수 범위는, 예를 들어, 약 1 nm 내지 약 750 nm, 약 10 nm 내지 약 2,000 nm, 약 10 nm 내지 약 500 nm, 보다 특히 약 100 nm 내지 약 350 nm일 수 있다.
복수의 패턴 중 패턴의 개수는 특별히 한정되지는 않는다. 예를 들어, 10개 이상, 100개 이상, 1,000개 이상, 10,000개 이상, 심지어 100,000개 이상일 수 있다. 예를 들어, 10×10 어레이와 같은 정사각 배열이 가능하다. 고밀도 어레이가 바람직할 수 있다.
나노어레이에서 개별 패턴들 사이의 거리는 변할 수 있으며, 특별히 한정되지는 않는다. 예를 들어, 패턴은 1 마이크로미터 미만 또는 1 마이크로미터 초과의 거리만큼 떨어질 수 있다. 거리는, 예를 들어, 약 300 내지 약 1,500 마이크로미터, 또는 약 500 마이크로미터 내지 약 1,000 마이크로미터일 수 있다. 떨어진 패턴들 사이의 거리는 패턴의 중심, 예컨대 점의 중심 또는 선의 중간으로부터 측정할 수 있다.
또한, 상기 방법은 보다 큰 규모, 예컨대 마이크로미터 규모, 밀리미터 규모 또는 센티미터 규모의 패턴을 형성하는데 적용할 수 있다. 그러한 보다 큰 패턴은, 예를 들어 미세접촉 인쇄를 이용하여 제조할 수 있다.
제2 화합물
제1 화합물과 마찬가지로, 제2 화합물은 기판의 표면에 화학흡착 또는 공유결합할 수 있는 화합물일 수 있다. 바람직하게는, 제2 화합물은 제1 화합물보다 보다 음성의 임계(threshold) 탈착 전위를 가질 수 있다. 예를 들어, Au를 포함하는 기판에 있어서, 제1 화합물이 16-메르캅토헥사데칸산 (0.5 M KOH 수용액 중 Ag/AgCl에 대한 임계 탈착 전위 -750 mV)인 경우, 제2 화합물은 0.5 M KOH 용액 중 Ag/AgCl에 대해 -750 mV보다 더욱 음성인 탈착 전위를 갖는 임의의 화합물, 예컨대 옥타데칸 티올 (Ag/AgCl에 대한 임계 탈착 전위 -850 mV)일 수 있다.
제1 화합물에 의해 패턴화되지 않은 기판 중의 영역을 제2 화합물에 노출시켜서, 제2 화합물이 기판의 표면 중 비-패턴화된 영역 위에 남게 할 수 있다. 그러한 노출은 임의의 적용가능한 방법을 사용하여 수행할 수 있다. 예를 들어, 제2 화합물은 기술한 패턴화 기술 중 임의의 것을 사용하여 표면 중의 비-패턴화된 영역 위에 증착시킬 수 있다. 또한, 비-패턴화 기술을 사용하여 제1 화합물에 의해 패턴화되지 않은 기판 중의 영역을 노출시키는 것이 보다 바람직한데, 즉, 기판의 전체 표면을 제2 화합물을 함유하는 매질에 노출시켜서, 제2 화합물이 기판 표면 중의 비-패턴화된 부분에 화학흡착 또는 결합하게 할 수 있다. 그러한 비-패턴화 증착은 기판의 표면을 제2 화합물을 함유하는 용액에 담그거나 또는 기판의 표면을 제2 화합물을 함유하는 증기에 노출시켜서 수행할 수 있다.
제1 화합물의 제거
제2 화합물은 그대로 유지하면서, 제1 화합물을 제거하는 것은 다양한 방법을 사용하여 수행할 수 있다. 바람직한 방법은 선택적 전기화학적 탈착인데, 이것은 기판에 제1 화합물의 임계 탈착 전위보다는 더욱 음성이지만, 제2 화합물의 임계 탈착 전위보다는 보다 덜 음성인 전위를 인가하여 수행할 수 있다. 제1 화합물을 제거하는데 사용되는 특별한 조건, 예컨대 탈착 시간 및 탈착 전위는, 예를 들어, 기판의 특정 유형, 제거에 사용되는 용액의 특정 유형, 및 사용하는 특정의 제1 및 제2 화합물에 좌우될 수 있다. 제거 조건은 아래 작용 실시예에 기술하는 바와 같이 최적화시킬 수 있다.
바람직하게는, 제1 화합물을 제거하면, 기판으로부터 제1 화합물의 분자 전부 또는 대부분이 제거된다.
예를 들어, 티올의 전기화학적 탈착이 US 특허 제5,827,417호 및 제5,635,047호에 개시되어 있다.
예를 들어, 선택적 전기화학적 탈착이 US 특허 공보 제2006/0081479호에 개시되어 있다.
홀 패턴
제1 화합물을 제거하면, 이전에 제1 화합물에 의해 커버된 기판의 표면이 노출되어서, 제2 화합물에 의해 둘러싸인 홀 또는 음성 패턴이 노출된다. 홀의 측면 치수는 제1 화합물에 의해 형성된 초기 패턴의 측면 치수에 의해 실질적으로 정의된다. 홀의 측면 치수는 제1 화합물에 의해 형성된 초기 패턴의 측면 치수와 동일할 수 있다. 또한, 홀의 측면 치수는, 예를 들어 제1 화합물과 제2 화합물 간의 교환에 기인하여, 제1 화합물에 의해 형성된 초기 패턴의 측면 치수보다 약간 더 작을 수 있다. 제1 화합물에 의해 형성된 초기 패턴과 마찬가지로, 홀 패턴은 복수의 점 또는 선을 형성할 수 있다. 측면 치수로서, 개별 홀 사이의 간격은 제1 화합물에 의해 형성된 본래의 패턴에 의해 정의된다.
에칭
일부 실시양태에서, 제1 화합물의 제거시, 에칭 (습식 또는 건식)을 기판 표면에 적용할 수 있다. 그러한 경우, 그대로 남는 제2 화합물은 에칭 레지스트로서 작용할 수 있고, 따라서, 에칭은 표면의 패턴화된 영역에만 한정될 수 있다. 일반적으로, 습식 (화학적) 에칭 기술이 바람직하지만, 다른 유형의 에칭 또한 사용할 수 있다. 습식 에칭 절차 및 그에 사용되는 물질은 표준이며, 당업계에 주지되어 있다. 예를 들어, 문헌 [Xia et al., Angew. Chem. Int. Ed., 37 550 (1998)]; [Xia et al., Chem. Mater., 7, 2332 (1995)]; [Kumar et al., J. Am. Chem. Soc, 114, 9188-9189 (1992)]; [Seidel et al., J. Electrochem. Soc, 137, 3612 (1990)]을 참고한다. 습식 에칭 절차는, 예를 들어, 관심 있는 기판 (예를 들어 Si 웨이퍼) 위에 또는 내에서의 3차원 구성물(architecture)의 제조에 사용된다. 예를 들어, 문헌 [Xia et al., Angew. Chem. Int. Ed., 37, 550 (1998)]; [Xia et al., Chem. Mater., 7, 2332 (1995)]를 참고한다. 에칭 후에, 제2 화합물은 기판 위에 남을 수 있거나, 제거될 수 있다. 기판으로부터 화합물을 제거하는 방법은 당업계에 주지되어 있다. 예를 들어, 에칭 후에 기판의 비패턴화된 영역으로부터 제2 화합물을 제거하는데 전기 화학적 탈착을 사용할 수 있다.
에칭시, 제1 화합물에 의해 초기에 형성된 패턴을 갭 패턴으로 옮긴다. 개별 갭 피쳐의 측면 치수 및 개별 갭 피쳐 간의 간격은 둘 다 제1 화합물에 의해 형성된 초기 패턴에 의해 정의된다.
금속 증착
일부 실시양태에서, 제1 화합물의 제거시, 금속을 기판의 패턴화된 영역 위에 증착시킬 수 있다. 금속 증착은 임의의 적당한 방법에 의해 수행할 수 있다.
일부 실시양태에서, 금속 증착은 무전해 증착, 즉, 표면에 외부 전류를 가하지 않고 수행되는 증착일 수 있다. 무전해 증착에서, 증착될 금속의 이온 및 환원제, 예컨대 디메틸아민 보란 (DMAB), 하이포포스파이트 이온, 포름알데히드 또는 히드라진을 함유하는 용액에 기판을 노출시킬 수 있다. 금속 증착 레지스트로서 작용하는 제2 화합물에 의해 비-패턴화된 영역 상의 금속 증착을 방지하면서, 표면의 패턴화된 영역 위에 금속을 증착시킬 수 있다. 무전해 금속 증착은 당업계에 공지되어 있으며, 예를 들어, 문헌 [Kumar, A.; Biebuyck, H. A.; Whitesides, G. M. Langmuir 1994, 10, 1498-1511]; [Nakahara, S.; Okinaka, Y. Annu. Rev. Mater. Sci. 1991, 21, 93-129]; [Kamrava, S. J.; Soederholm.S. J. Mater. Sci. 1990, 5, 1697-1702]; [Ohno, Izumi Mater. Sci. Eng., A 1991, A146, 33-49]를 참고한다.
일부 실시양태에서, 금속 증착은 전기화학적 금속 증착일 수 있다. 전기화학적 금속 증착은 증착시킬 금속의 이온을 함유하는 용액, 예컨대 상기 금속의 염의 용액에 기판을 노출시키고, 기판에 음성 전위를 인가하여 수행한다. 무전해 증착과 유사하게, 금속 증착 레지스트로서 작용하는 제2 화합물에 의해 비-패턴화된 영역 위에서의 금속 증착을 방지하면서, 표면의 패턴화된 영역 위에 금속을 증착시킨다. 전기도금으로도 알려져 있는 전기화학적 금속 증착은 당업계에 공지되어 있으며, 예를 들어, 문헌 [Pesika, N. S.; Fan, F. Q.; Searson, P. C; Stebe, K. J. J Am Chem Soc 2005, 127, 11960-11962] 및 또한 본 출원의 작용 실시예를 참고한다.
금속 증착은 기판의 패턴화된 영역에 상기 금속을 포함하는 고체 상태 구조의 템플릿 (양성 패턴)을 형성할 수 있다. 개별 고체 구조의 측면 치수 및 그들 사이의 간격은 제1 화합물에 의해 형성된 본래의 패턴에 의해 정의된다. 상기 구조의 높이는 증착 시간을 변형하여 조절할 수 있다. 전기화학적 증착의 경우, 구조의 높이는 전체 쿨롱 수, 즉, 증착 도중에 용액을 통과하는 전하를 조정하여 조절할 수 있다.
또다른 실시양태는 표면의 패턴화된 영역에 물질을 증착시키는 단계를 포함하는데, 여기서 예를 들어 상기 물질은 전도성일 수 있거나, 전도성 또는 반전도성이 되도록 만들 수 있다. 예를 들어, 전도성 물질은 콘쥬게이션된 중합체, 예컨대 폴리아닐린 또는 폴리티오펜을 포함할 수 있다. 반전도성 물질은 예를 들어 CdS 또는 CdSe일 수 있다.
스탬프 제작
검토한 바와 같이 금속 증착을 통해 제작된 고체 구조의 패턴을 마스터로서 사용할 수 있으며, 그로부터 다수의 복제 구조를 제작할 수 있었다. 상기 복제물은 변형가능한 물질, 예컨대 엘라스토머 또는 상기 변형가능한 물질의 하나 이상의 전구체를 고체 구조 패턴 위에 배치하여 제작할 수 있다. 엘라스토머의 한 가지 예는 실리콘 엘라스토머 또는 예를 들어 폴리디메틸 실록산 (PDMS)과 같이 소수성 성질이 있는 엘라스토머일 수 있다. PDMS 복제물을 형성하기 위해, PDMS 단량체 및 개시제를 고체 구조 패턴 위에 배치하고, 상승된 온도 하에 경화시킬 수 있다. 형성된 복제물은 마스터의 고체 상태 구조 패턴을 복제한 피쳐를 갖는다. 형성된 복제물은 넓은 면적에 걸친 피쳐를 생성하기 위한 스탬프로서 사용할 수 있다.
본원에 기술한 실시양태를 하기 작용 실시예에 의해 추가로 설명하지만, 여기에 결코 한정되지는 않는다.
실험 섹션
화학물질. 1-옥타데칸티올 (ODT) (98%), 16-메르캅토헥사데칸산 (MHA) (90%), KCN (97%), KOH (반도체-등급)는 알드리치 케미컬 컴퍼니(Aldrich Chemical Co.)로부터 구입하였다. 에탄올 (ACS/USP 등급)은 팜코프로덕츠 인코퍼레이티드(Pharmcoproducts Inc.)로부터 구입하였다. 아세토니트릴 (시약 등급) 및 메틸렌 클로라이드 (99.9%)는 피셔 사이언티픽(Fisher Scientific)으로부터 구입하였다. 모든 화학물질은 수령한 그대로 사용하였다.
기판, 패턴화 및 영상화. 전형적인 실험에서, (53)에서 상술한 바와 같이 제조한 Au-코팅된 (20 내지 60 nm), Ti-코팅된 (5 nm) 실리콘 옥시드 (Au/Ti/SiOx/Si)를 닫힌-고리 스캔 제어장치를 갖는 100 ㎛ 스캐너가 장착된 원자력 현미경 (AFM, CP, 캘리포니아주 써니베일 소재의 비코/써모마이크로스콥스(Veeco/ThermoMicroscopes)) 또는 100 ㎛ 스캐너 및 닫힌-고리 스캔 제어장치가 장착된 엔스크립터(Nscriptor)(상표명) (일리노이주 시카고 소재의 나노잉크 인코퍼레이티드.) 및 상업적 리소그래피 소프트웨어 (DPNWrite(상표명), DPN 시스템-1, 일리노이주 시카고 소재의 나노잉크 인코퍼레이티드.)를 사용하여 MHA로 DPN을 통해 패턴화시켰다. 금-코팅된 Si3N4 AFM 캔틸레버 (마이크로레버(Microlever), 캘리포니아주 써니베일 소재의 비코/써모마이크로스콥스) (스프링 상수 0.05 N/m) 및 상업적으로 이용가능한 금-코팅된 Si3N4 다중-캔틸레버 A-26 어레이 (스프링 상수 0.097 N/m) (일리노이주 시카고 소재의 나노잉크)를 페턴화에 사용하였다. MHA-코팅된 팁은 캔틸레버를 MHA로 포화된 아세토니트릴 용액에 수 초 동안 담금으로써 제조하였다. 이어서, 팁을 순수 에탄올 용액으로 세척한 후, N2 기류 하에 취입 건조시켰다.
DPN-생성된 패턴의 영상화는 접촉 모드에서의 패턴화에 사용한 것과 동일한 조건 하에 깨끗한 AFM 팁을 사용하여 수행하였다. 에칭 후 패턴화된 구조는 주사 전자 현미경, SEM (레오 제미니(Leo Gemini) 1525) 및 암시야 현미경 (자이스 악시오베르트(Zeiss Axiovert) 100A 도립현미경, 뉴욕주 쏜우드 소재)에 의해 특징을 규명하였다. 암시야 현미경에는 펭귄(Penguin) 600CL 디지털 카메라 및 스트림픽스(StreamPix) 소프트웨어가 장착되어 있다. 나노스코프(Nanoscope) IV가 장착된 나노맨(Nanoman) AFM으로 태핑 모드 AFM 영상을 수집하였다.
에칭. 전위 조절 하에서 기판의 CN--유발된 에칭을 상기한 바와 같은 전기화학적 셀에서 수행하였는데, 여기서 전해질은 KCN을 함유하는 알칼리 용액이었다 (54). ODT-패시베이션된 Au 기판의 개회로 전위 (OCP)는 (Ag/AgCl에 대해) 약 -520 mV였다. OCP는 비보호된 Au의 용해를 개시하기에 충분히 양성이었고, 패턴화된 기판은 교반 또는 혼합 없이 에칭 용액에 담근 후, 나노퓨어(Nanopure)(상표명) 물 및 에탄올로 세척하였다.
전기도금. 은 시아나이드/칼륨 시아나이드 도금 용액 (테그닉 실버(Technic Silver) 1025) 및 칼륨 금 시아나이드 도금 용액 (오로템프(Orotemp) 24 도금 용액)은 로드 아일랜드 주 크랜스톤 소재의 테크닉 인코퍼레이티드.(Technic Inc.)로부터 구입하였다. 사용하기 전에, 용액을 공극 크기가 0.2 ㎛인 시린지 필터를 통과시켰다. 증착은 부드럽게 교반 (약 100 rpm)하면서 정전위 제어 (전형적으로는 Ag/AgCl에 대해 -800 mV) 하에 주변 조건에서 수행하였다. 증착 후에 기판을 나노퓨어(상표명) 물 및 에탄올로 각각 세척하였다.
PDMS 복제물. DPN-제조된 마스터 (상기한 절차를 사용)를 유리 페트리 접시에 담근 후, 마스터 위에 10:1 (v:v) 단량체 대 개시제 비율로 폴리디메틸실록산 (PDMS, 실가드(Sylgard) 184, 미시건 주 미들랜드 소재의 다우 코닝(Dow Corning)) 의 혼합물을 부어서 스탬프를 제작하였다. 1시간 탈기시킨 후, 엘라스토머를 2시간 동안 60℃에서 경화시킨 후, 마스터로부터 서서히 벗겨내어 광학현미경으로 검사하였다.
점의 홀 어레이
도 2A는 SiOx 기판 위에 증발시킨 40 nm 두께의 Au 필름 위에 DPN을 사용하여 생성한, 평균 점 직경이 430, 310 및 210 nm (± 20 nm)인 여러 줄의 점 (4, 2 및 1초 유지 시간)의 30×30 MHA 구조인 어레이를 나타낸다. 이때, Au 기판은 5 mM ODT 용액 중에서 15분 동안 패시베이션시킨 후, 에탄올 및 나노퓨어(상표명) 물로 헹궜다. MHA 템플릿의 선택적 탈착을 위해, (Ag/AgCl, 3 M NaCl에 대해) -800 mV의 전위를 기판에 5분 동안 인가하였다. 샘플을 1 mM KCN 용액 중에서 20분 동안 개회로 전위 (OCP)에서 유지하여, 노출된 Au 템플릿을 에칭하였다 (ODT 보호된 Au의 OCP는 약 -520 mV였음). 도 2B 내지 D에서 비접촉 AFM 영상 (NCAFM)은 생성된 홀 구조가 홀 직경 및 깊이 프로파일에 의해 정의된 바와 같이 매우 균일하며, DPN-생성된 MHA 템플릿의 측면 치수 및 격자 간격과 일치함을 나타낸다. 흥미롭게도, 홀 구조는 본래의 DPN-정의된 MHA 패턴보다 약 -10% 더 작은 측면 직경을 갖고, 평균 점 직경은 4, 2 및 1초 유지 시간으로 제조한 점에 대해 각각 400 nm (± 21 nm), 270 nm (± 21 nm) 및 190 nm (± 27 nm)였다 (n=14). 본원에 기재한 실시양태가 작동 이론에 의해 제한되지는 않지만, MHA 피쳐 크기 및 홀 크기 사이의 차는 MHA 피쳐의 주변에서 용액 중 ODT와 흡착된 MHA의 교환의 결과일 수 있고, 이 는 이전에 DPN 증착된 구조와 관련하여 조사되었다 (43).
홀의 깊이는 MHA-정의된 템플릿의 직경에 비례한다. 예를 들어, 430 nm 직경 템플릿은 평균 깊이가 40 ± 3 nm인 홀을 산출하는 한편, 310 nm 직경 템플릿은 평균 깊이가 37 ± 4 nm이고, 210 nm 직경 템플릿은 평균 깊이가 30 ± 3 nm이다 (도 2D 참고). 모든 경우에 각 홀의 바닥에 금이 남는다.
크룩스(Crooks)와 동료들은 CN- 용액 중에서 전위 조절 하에 Au<111>의 부식을 조사하였고, 그대로의 Au의 에칭 속도가 1-헥사데칸티올 단일층 패시베이션된 Au보다 상당히 더 빠름을 발견하였다 (44, 45). MHA 탈착에 의해 생긴 템플릿에 의해 정의된 영역에서의 Au 표면의 한정된 에칭은 대부분의 MHA 분자가 -800 mV의 인가 전위에서 실제로 환원적으로 제거됨을 나타낸다. 이 공정은 인가 전위에 매우 민감하다. 예를 들어, 인가 전위가 -850 mV인 경우, ODT 탈착이 일어나기 시작하고, 그 결과로 Au 샘플 도처에 랜덤하게 분산된 핏을 관찰할 수 있다 (아래 "인가 전위에 대한 의존" 참고). 다르게는, MHA 패턴화된 Au 기판을 -750 mV에서 5분 동안 유지하고, CN- 에칭 용액에 20분 동안 노출시키면 MHA 정의된 구역을 에칭하지 않는다 (아래 "인가 전위에 대한 의존" 참고). MHA 탈착이 -800 mV보다 더 음성인 모든 전위에서 유발되었지만, 비교적 작은 구간 (-800 mV > Edes > -850 mV) 내에서만 ODT에 대한 MHA에서의 선택적 탈착이 일어났다 (도 3, 음영 영역 참고).
홀 어레이를 CN- 용액에 장시간 (예를 들어, 30분) 노출시키는 경우에는, 제 작된 홀 중 일부가 3중 대칭의 고도로 면-절삭된 구조를 나타낸다 (도 4 참고). 본원에 기술한 실시양태가 작동 이론에 의해 제한되지는 않지만, 상기 3중 대칭은 Ti 코팅된 실리콘 옥시드 및 유리 기판 위에 증발된 Au 필름의 주된 Au<111> 특색을 반영할 수 있다 (46). 이러한 결과는 바드(Bard) 및 맥칼리(McCarley)에 의한, CN- 수용액 중 단결정 Au<111>의 에칭 도중 형성되는 삼각형 에칭 핏의 관측과 일치한다 (47).
이러한 에칭 핏의 또다른 특색은 일단 모든 Au가 에칭되면, Ti/SiOx (약 5 내지 10 nm)의 결정 크기가 Au (약 30 내지 50 nm)보다 더 작기 때문에, 각 홀의 베이스가 매우 편평하다는 점이다 (도 4A 및 4B 비교). DPN-템플릿 나노홀 아래의 기판의 화학적 조성은 에너지-분산성 X-선 분광법 (EDS) 실험을 사용하여 확인하였다 (도 8 참고). EDS 분석은 나노홀이 SiOx에 대한 특징적인 원소 기호만을 나타내는 한편, ODT 패시베이션된 Au는 Au 및 SiOx 둘 다의 원소 기호를 나타냄을 보여준다. 대체로, 홀의 측벽 또는 베이스에 대한 반응물의 선택적 고정화가 가능한데, 이는 그들이 티올 및 실란 기 각각에 대해 반응성이 다양한 상이한 표면을 나타내기 때문이다.
인가 전위 및 탈착 시간에 대한 탈착 의존
인가 전위에 대한 의존. ODT와 MHA SAM의 탈착 전위는 0.5 M KOH 용액 중에서 약 100 mV만큼 차이난다 (도 3 참고). MHA 주변 부위에 대한 전기화학적 탈착의 개시는 (0.5 M KOH 중 Ag/AgCl에 대해) -750 mV인 한편, ODT 주변 부위에 대한 전기화학적 탈착의 개시는 (0.5 M KOH 중 Ag/AgCl에 대해) -850 mV였다 (1, 2). 그러나, MHA 점의 주변이 일단 ODT로 블로킹되면, 전기화학적 삭감(whittling)은 -750 mV에서 더 이상 진행되지 않는다 (도 10 참고). 따라서, ODT에 대한 MHA의 선택적 탈착은 -750 mV 이하의 전위에서는 진행되지 않는다. 반대로, -850 mV의 과전위가 5분 동안 인가되는 경우에는, 패시베이팅 ODT 단일층의 탈착이 기판 도처에서 랜덤하게 일어나기 시작한다 (도 11 참고).
탈착 시간에 대한 의존. 홀의 형성을 조절하는 또다른 중요한 변수는 인가된 탈착 전위의 지속시간이다. 도 12는 탈착 전위를 인가하는 시간의 길이를 제외하고는 모든 조건을 유지 (Edes = -800 mV)한 세 가지 상이한 MHA 패턴화된 Au 기판을 보임으로써 상기 의존을 입증해 준다. 탈착 펄스가 장시간 (t = 8분) 동안 적용된 경우에는, 패시베이팅 ODT 단일층의 약간의 탈착이 일어나고, 그 후에 Au 표면이 피팅된다(pitted) (도 12B 참고). 탈착 펄스가 적용되지 않는 경우에는 (t = 0분), MHA 및 ODT 구조 둘 다 그대로 남고, 결과적으로 Au 필름이 보호된다 (도 12C 참고). 이는 패시베이팅 ODT 층에 대한 손상을 최소화하기 위해서는, 탈착 전위를 인가하는 시간의 길이를 최소화하는 것이 중요함을 나타낸다.
삼각형 홀의 어레이
거의 모든 모양의 나노홀을 생성하는데 이 방법을 사용할 수 있음을 입증하기 위해, 모서리 길이가 740 ± 30 nm이고, 선 폭이 190 ± 20 nm인 삼각형 MHA 프레임을 패턴화하는데 DPN을 사용하였다 (도 5A). 이는 삼각형 구조의 중심을 채우 지 않기 위해 비교적 빠른 팁 기록 속도 (1 ㎛/s)를 사용하여 달성하였다 (33). 이때, 기판을 ODT로 패시베이션시킨 후, (Ag/AgCl, 3 M NaCl에 대해) -800 mV의 전위를 5분 동안 인가하였다. CN- 에칭 용액에 노출시킨 후, 기판을 NCAFM에 의해 영상화하였다 (도 5B). 생성된 삼각형 프레임 모양의 홀은 모서리 길이가 730 ± 30 nm이고, 선 폭이 170 nm ± 25 nm였다 (도 5C). 생성된 삼각형-모양 홀의 모서리 길이 및 선 폭 둘 다 본래의 MHA-정의된 템플릿보다 더 작았고, 이는 상기한 점-모양 구조와 일치하였으며, 역시 아마도 용액 중 ODT와 MHA 교환의 결과이다. 핏의 평균 피크 깊이는 34 nm ± 3 nm였다.
투명 기판에서의 홀 어레이
DPN-생성된 홀 어레이의 투과 스펙트럼을 측정하기 위해서는, 투명한 기판을 사용할 필요가 있다. 따라서, MHA 패턴화된 템플릿의 선택적 에칭을 실리콘 기판 대신 석영 기판 위에 지지된 Au 필름에 대해 수행하였다. 석영 위에 생성된 홀 어레이의 품질은 본래의 옥시드 코팅된 실리콘의 경우와 유사하였지만 (도 13 참고), 실리콘 기판에는 보다 적은 밀도의 먼지 및 흠이 함유되어 있었다. 삼각형 홀 어레이의 투과 모드 마이크로사진은 약간 푸르스름한 녹색을 갖는 것으로 보였으며, 이는 나노구조의 Au 필름이 투과된 광과 상호작용함을 나타내었다.
금속염의 선택적 전기증착
금속염의 선택적 전기증착을 위해 그대로의 Au 템플릿을 또한 사용할 수 있다 (도 1 참고). 이 능력을 입증하기 위해, 1 ㎛ 및 400 nm의 교대 직경의 15×15 MHA 점의 어레이를 다결정질 Au 기판 위에 생성하였다. 이어서, 기판을 5 mM ODT 용액에 15분 동안 담금으로써 ODT로 패시베이션시켰다. 에탄올 및 물로 헹군 후, 패턴화된 기판의 MHA 부분을 (Ag/AgCl, 3 M NaCl에 대해) -800 mV의 전위에서 5분 동안 선택적으로 탈착시켰다. 이어서, Ag 구조를 상업적 Ag 도금 배쓰 (KAg(CN)2를 함유하는 테트로닉스(Tetronics) 1025 Ag 도금 용액)로부터, -800 mV의 전위를 추가로 5분 동안 인가하여 전기증착시켰다. 생성된 Ag 구조는 반구형의 모양을 가졌고, 암시야 현미경 및 AFM 영상화를 사용하여 특징을 규명하였다 (도 6). Ag 피쳐의 높이는 실험에서 통과된 전체 쿨롱 수를 조정하여 조절할 수 있고, Ag 구조의 측면 치수는 본래의 MHA 패턴에 의해 정의된다.
400 nm 직경의 점은 직경이 550 ± 70 nm이고 높이가 150 ± 20 nm인 Ag 구조를 생성하는 한편, 1 ㎛ 직경의 피쳐는 높이가 380 ± 20 nm인 1.27 ± 0.15 ㎛ 직경의 Ag 구조를 산출하였다. 생성된 Ag 구조는 반구형의 모양을 가지는데, 이는 똑같이, Ag 증착물은 Ag가 ODT 차단층의 높이 (2.2 nm)보다 더 커진 후에는 모든 방향으로 커질 것이기 때문임을 유념하는 것이 중요하다. 커지는 속도는 나노홀 어레이 (도 2 참고)에서 관측된 것과 유사한 양상을 나타내는 한편, 더 큰 직경의 템플릿일수록 더 깊은 홀을 생성한다 (도 6C 참고). 상기의 원인은 불분명하지만, 이 현상은, 더 작은 템플릿이 더 큰 것보다 더 빨리 커지기 때문에, 확산 제어된 Ag 입자 성장의 결과는 아닐 것이다 (49). DPN-템플릿 나노규모의 Ag 구조의 화학적 조성은 EDS 실험을 사용하여 확인하였다 (도 9 참고). Ag 전기증착된 구조의 EDS 분석은 Au 및 Ag 둘 다에 대한 특징적인 원소 기호를 나타내는 한편, 배경 Au 표면은 Au에 대해서만 원소 기호를 나타낸다.
PDMS 스탬프의 제조
폴리디메틸실록산 (PDMS) 스탬핑은 넓은 면역에 걸쳐 ㎛ 규모의 피쳐를 생성하기 위한 저렴한 고-처리량 기술로서 널리 사용된다 (18). 그러나, 마이크로패턴화된 PDMS를 제조하기 위해서는 값비싸고 정밀한 포토리소그래픽 마스크가 전형적으로 사용되며, 각 패턴 변형, 예컨대 모양, 크기 또는 간격은 새로운 마스크의 설계를 요한다. DPN-템플릿 고체 피쳐의 한 가지 용도는, 그로부터 다수의 복제 구조가 생성될 수 있는 마스터일 수 있다. 그의 광범위한 응용성에 기인하여, DPN-템플릿 피쳐를 복제하기 위해 PDMS가 선택되었다.
PDMS 단량체 및 개시제를 도 7에 나타낸 바와 같이 Ag 구조의 어레이 위에 붓고, PDMS를 밤새 60℃에서 경화시켰다. 이어서, PDMS를 벗기고, 떼어내어 광학 현미경법을 사용하여 영상화하였고, 본래의 Ag 마스터와 동일한 형상 및 치수를 갖는 점-모양 핏이 정확하게 복제되었다 (도 7B 참고). 예를 들어, Au 위에 어레이 중 1 및 2 ㎛ 간격으로 교대되는 350 nm 폭의 Ag 점을 생성하였다 (도 7C 참고). PDMS 복제물의 AFM 영상은 피쳐가 정밀하게 복제되었으며, PDMS 표면 위에 350 nm 음각(recession)이 생성되었음을 나타낸다 (도 7D 참고). 이 방법을 사용하여 50 미만의 피쳐를 복제하는 것이 가능할 수 있지만, 통상의 PDMS 스탬핑은, 피쳐 크기가 500 nm 미만인 경우에는 통상 실패한다 (50).
고-해상도 알칸티올 패턴화와 선택적 전기화학적 제어의 조합은 Au에 대한 양성 에칭 레지스트로서 알칸티올을 사용하기 위한 간단하고 다루기 쉬운 방법을 제공한다. 인가 전위의 크기 및 지속시간 둘 다 알칸티올 흡착질의 선택적 탈착을 조절하는데 중요한 역할을 한다. 상기 기술은 DPN-템플릿을 Au의 선택적 에칭 및 기판의 나노패턴화된 구역에 대한 Ag의 선택적 전기증착에 효과적으로 사용할 수 있음을 입증해 준다. 패턴화된 흡착질의 전기화학적 제어는 나노미터 길이 규모에서 넓은 면역에 걸친 구조를 생성하는데 적용할 수 있다.
참고문헌 목록
Figure 112009005288219-PCT00001
Figure 112009005288219-PCT00002
Figure 112009005288219-PCT00003
Figure 112009005288219-PCT00004
상기는 특정한 바람직한 실시양태를 나타내지만, 현재 기술하는 발명이 그렇게 한정되지는 않는다는 것이 이해될 것이다. 당업자는 개시된 실시양태에 변형을 가할 수 있으며, 그러한 변형은 현재 청구하는 발명의 범주 내에 속한다는 의도임을 알게 될 것이다.
본 명세서에서 언급하는 모든 공보, 특허 출원 및 특허는 그 전체로 본원에 참고로 인용된다.

Claims (35)

  1. 팁 및 기판을 제공하는 단계;
    패턴화된 영역을 생성하기 위해 팁으로부터 기판의 표면에 제1 화합물을 도포하는 단계;
    기판을 제2 화합물에 노출시킴으로써, 표면의 비-패턴화된 영역에 제2 화합물을 증착시키는 단계;
    표면의 비-패턴화된 영역에 있는 제2 화합물이 비-패턴화된 영역에 증착된 채로 남아 있도록, 표면의 패턴화된 영역으로부터 제1 화합물을 선택적으로 제거하는 단계; 및 이어서
    표면의 패턴화된 영역을 에칭하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 기판의 표면이 금속 표면인 방법.
  3. 제1항에 있어서, 기판이 반도체를 포함하는 것인 방법.
  4. 제1항에 있어서, 기판이 금속 표면을 갖는 반도체를 포함하는 것인 방법.
  5. 제1항에 있어서, 팁이 나노스코픽 팁인 방법.
  6. 제1항에 있어서, 팁이 주사 탐침 현미경 팁인 방법.
  7. 제1항에 있어서, 팁이 원자력 현미경 팁인 방법.
  8. 제1항에 있어서, 제1 화합물이 표면에 화학흡착되는 것인 방법.
  9. 제1항에 있어서, 제1 화합물이 황-함유 화합물인 방법.
  10. 제1항에 있어서, 제1 화합물이 표면의 패턴화된 영역 위에 자기-조립 단일층을 형성하는 것인 방법.
  11. 제1항에 있어서, 제2 화합물의 증착이 제2 화합물을 포함하는 용액에 기판을 담그는 것을 포함하는 것인 방법.
  12. 제1항에 있어서, 제2 화합물의 탈착 전위가 제1 화합물의 탈착 전위보다 더 높은 것인 방법.
  13. 제1항에 있어서, 제거가 표면의 패턴화된 영역으로부터 제1 화합물을 탈착시키는 것을 포함하는 것인 방법.
  14. 제1항에 있어서, 제거를 전기화학적으로 수행하는 방법.
  15. 제1항에 있어서, 패턴화된 영역이 어레이를 형성하는 것인 방법.
  16. 제1항에 있어서, 패턴화된 영역이 선 또는 점을 포함하는 것인 방법.
  17. 제1항에 있어서, 에칭 후의 패턴화된 영역이 약 500 nm 이하의 측면 치수를 갖는 갭 피쳐(feature)를 특징으로 하는 것인 방법.
  18. 제1항에 있어서, 제1 화합물이 산 화합물인 방법.
  19. 제1항에 있어서, 제1 화합물이 카르복실산 화합물인 방법.
  20. 제1항에 있어서, 제1 화합물이 산 화합물이며, 제거를 전기화학적으로 수행하고, 제2 화합물의 탈착 전위가 제1 화합물의 탈착 전위보다 더 높고, 제1 및 제2 화합물이 표면 위에 자기-조립 단일층을 형성하는 것인 방법.
  21. 팁 및 기판을 제공하는 단계;
    패턴화된 영역을 생성하기 위해 팁으로부터 기판의 표면에 제1 화합물을 도 포하는 단계;
    기판을 제2 화합물에 노출시킴으로써, 표면의 비-패턴화된 영역에 제2 화합물을 증착시키는 단계;
    표면의 비-패턴화된 영역에 있는 제2 화합물이 비-패턴화된 영역에 증착된 채로 남아 있도록, 표면의 패턴화된 영역으로부터 제1 화합물을 선택적으로 제거하는 단계; 및
    표면의 패턴화된 영역에 물질을 증착시키는 단계
    를 포함하는 방법.
  22. 제21항에 있어서, 기판의 표면이 금속 또는 반도체 표면인 방법.
  23. 제21항에 있어서, 팁이 주사 탐침 현미경 팁인 방법.
  24. 제21항에 있어서, 팁이 원자력 현미경 팁인 방법.
  25. 제21항에 있어서, 팁이 중공(hollow) 팁인 방법.
  26. 제21항에 있어서, 제1 화합물이 표면 위에 화학흡착되는 것인 방법.
  27. 제21항에 있어서, 제1 화합물이 표면의 패턴화된 영역 위에 자기-조립 단일 층을 형성하는 것인 방법.
  28. 제21항에 있어서, 제2 화합물이 표면 위에 화학흡착되는 것인 방법.
  29. 제21항에 있어서, 제2 화합물의 증착이 자기-조립 단일층을 형성하게 하는 것인 방법.
  30. 제21항에 있어서, 물질이 금속인 방법.
  31. 제21항에 있어서, 물질의 증착이 패턴화된 표면 위에 금속을 포함하는 양성 패턴을 형성하게 하는 것인 방법.
  32. 제31항에 있어서, 양성 패턴이 약 500 nm 이하의 측면 치수를 갖는 피쳐를 특징으로 하는 것인 방법.
  33. 제31항에 있어서, 양성 패턴 위에 엘라스토머 전구체를 포함하는 혼합물을 배치하고, 상기 전구체를 경화시켜 엘라스토머를 형성하며, 여기서 상기 배치 및 경화에 의해 엘라스토머를 포함하는 스탬프가 생기게 하며, 상기 스탬프가 양성 패턴에 의해 정의된 음성 패턴을 갖게 되는 것인 방법.
  34. 제31항에 있어서, 연질 물질 스탬프의 복제시 마스터(master)로서 양성 패턴을 사용하는 것을 추가로 포함하는 방법.
  35. 나노스코픽 팁 및 기판을 제공하는 단계;
    패턴화된 영역을 생성하기 위해 팁으로부터 기판의 표면에 제1 화합물을 도포하는 단계;
    기판을 제2 화합물에 노출시킴으로써, 표면의 비-패턴화된 영역에 제2 화합물을 증착시키는 단계;
    표면의 비-패턴화된 영역에 있는 제2 화합물이 비-패턴화된 영역에 증착된 채로 남아 있도록, 표면의 패턴화된 영역으로부터 제1 화합물을 선택적으로 제거하는 단계; 및
    표면의 패턴화된 영역에 물질을 증착시키는 단계
    를 포함하는 방법.
KR1020097001749A 2006-06-28 2007-06-28 에칭 및 홀 어레이 KR20090049578A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US81694806P 2006-06-28 2006-06-28
US60/816,948 2006-06-28

Publications (1)

Publication Number Publication Date
KR20090049578A true KR20090049578A (ko) 2009-05-18

Family

ID=39644987

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097001749A KR20090049578A (ko) 2006-06-28 2007-06-28 에칭 및 홀 어레이

Country Status (8)

Country Link
US (2) US8192795B2 (ko)
EP (1) EP2044485B1 (ko)
JP (1) JP2009542448A (ko)
KR (1) KR20090049578A (ko)
AU (1) AU2007345315A1 (ko)
CA (1) CA2654973A1 (ko)
TW (1) TW200815278A (ko)
WO (1) WO2008091279A2 (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8764996B2 (en) * 2006-10-18 2014-07-01 3M Innovative Properties Company Methods of patterning a material on polymeric substrates
US20080095988A1 (en) * 2006-10-18 2008-04-24 3M Innovative Properties Company Methods of patterning a deposit metal on a polymeric substrate
US7968804B2 (en) 2006-12-20 2011-06-28 3M Innovative Properties Company Methods of patterning a deposit metal on a substrate
WO2009029733A2 (en) * 2007-08-28 2009-03-05 Life Biosciences, Inc. Method of providing a pattern of biological-binding areas for biological testing
KR20110124214A (ko) * 2009-01-26 2011-11-16 나노잉크, 인크. 균일한 기판을 포함하는 넓은 면적의 균일한 어레이 제작
AU2010206592A1 (en) * 2009-01-26 2011-07-28 Nanoink, Inc. Large area, homogeneous array fabrication including controlled tip loading vapor deposition
WO2010151471A1 (en) * 2009-06-25 2010-12-29 3M Innovative Properties Company Methods of wet etching a self-assembled monolayer patterned substrate and metal patterned articles
TWI415969B (zh) * 2009-12-23 2013-11-21 Univ Nat Taipei Technology Preparation of nanostructures
EP2564270A1 (en) 2010-04-27 2013-03-06 Nanoink, Inc. Force curve analysis method for planar object leveling
CN103890946A (zh) 2011-09-19 2014-06-25 西玛耐诺技术以色列有限公司 用于制备透明导电涂层的方法
JP6574207B2 (ja) 2014-05-05 2019-09-11 スリーディー グラス ソリューションズ,インク3D Glass Solutions,Inc 光活性基板を製造する、2d及び3dインダクタ、アンテナ、並びにトランス
US10070533B2 (en) 2015-09-30 2018-09-04 3D Glass Solutions, Inc. Photo-definable glass with integrated electronics and ground plane
US11264167B2 (en) 2016-02-25 2022-03-01 3D Glass Solutions, Inc. 3D capacitor and capacitor array fabricating photoactive substrates
WO2017177171A1 (en) 2016-04-08 2017-10-12 3D Glass Solutions, Inc. Methods of fabricating photosensitive substrates suitable for optical coupler
US9859494B1 (en) 2016-06-29 2018-01-02 International Business Machines Corporation Nanoparticle with plural functionalities, and method of forming the nanoparticle
US11101532B2 (en) 2017-04-28 2021-08-24 3D Glass Solutions, Inc. RF circulator
CA3067812C (en) 2017-07-07 2023-03-14 3D Glass Solutions, Inc. 2d and 3d rf lumped element devices for rf system in a package photoactive glass substrates
CN107574464B (zh) * 2017-08-31 2019-05-03 华侨大学 一种具有阶层结构蘑菇形金属柱阵列表面的制备方法
WO2019108954A1 (en) 2017-12-01 2019-06-06 Arizona Board Of Regents On Behalf Of Arizona State University Materials and methods relating to single molecule arrays
AU2018383659B2 (en) 2017-12-15 2021-09-23 3D Glass Solutions, Inc. Coupled transmission line resonate RF filter
WO2019136024A1 (en) 2018-01-04 2019-07-11 3D Glass Solutions, Inc. Impedance matching conductive structure for high efficiency rf circuits
KR102626372B1 (ko) 2018-04-10 2024-01-16 3디 글래스 솔루션즈 인코포레이티드 Rf 집적형 전력 조절 커패시터
CA3071138C (en) 2018-05-29 2021-05-25 3D Glass Solutions, Inc. Low insertion loss rf transmission line
JP7053084B2 (ja) 2018-09-17 2022-04-12 スリーディー グラス ソリューションズ,インク グランドプレーンを備えた高効率のコンパクトなスロット付きアンテナ
AU2019416327B2 (en) 2018-12-28 2021-12-09 3D Glass Solutions, Inc. Annular capacitor RF, microwave and MM wave systems
AU2019416325A1 (en) 2018-12-28 2021-02-04 3D Glass Solutions, Inc. Heterogenous integration for RF, microwave and mm wave systems in photoactive glass substrates
JP7140435B2 (ja) 2019-04-05 2022-09-21 スリーディー グラス ソリューションズ,インク ガラスベースの空基板集積導波路デバイス
EP3948954B1 (en) 2019-04-18 2023-06-14 3D Glass Solutions, Inc. High efficiency die dicing and release
WO2021211855A1 (en) 2020-04-17 2021-10-21 3D Glass Solutions, Inc. Broadband inductor

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991016675A1 (en) * 1990-04-06 1991-10-31 Applied Biosystems, Inc. Automated molecular biology laboratory
US5827417A (en) * 1992-07-28 1998-10-27 Iowa State University Research Foundation, Inc. Electrochemical method of controlling thiolate coverage on a conductive substrate such as gold
US5635047A (en) 1992-07-28 1997-06-03 Iowa State University Research Foundation, Inc. Electrochemical method of controlling thiolate coverage on a conductive substrate such as gold
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5618760A (en) * 1994-04-12 1997-04-08 The Board Of Trustees Of The Leland Stanford, Jr. University Method of etching a pattern on a substrate using a scanning probe microscope
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5618850A (en) * 1995-03-09 1997-04-08 Focal, Inc. Hydroxy-acid cosmetics
US5705814A (en) 1995-08-30 1998-01-06 Digital Instruments, Inc. Scanning probe microscope having automatic probe exchange and alignment
US5981733A (en) * 1996-09-16 1999-11-09 Incyte Pharmaceuticals, Inc. Apparatus for the chemical synthesis of molecular arrays
US5922214A (en) * 1997-01-17 1999-07-13 Wayne State University Nanometer scale fabrication method to produce thin film nanostructures
DE69841614D1 (de) 1997-06-20 2010-05-27 Univ New York Elektrosprühen von lösungen zur massenherstellung von chips und molekülbibliotheken
US6635311B1 (en) 1999-01-07 2003-10-21 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or products thereby
US20020122873A1 (en) 2000-01-05 2002-09-05 Mirkin Chad A. Nanolithography methods and products therefor and produced thereby
US6827979B2 (en) 1999-01-07 2004-12-07 Northwestern University Methods utilizing scanning probe microscope tips and products therefor or produced thereby
AU2002239740A1 (en) * 2000-10-20 2002-06-11 Chad A. Mirkin Nanolithography methods and products therefor and produced thereby
US6674074B2 (en) 2001-03-02 2004-01-06 Northwestern University Enhanced scanning probe microscope
DE50106969D1 (de) * 2001-03-30 2005-09-08 Siemens Ag Gekühlte Gasturbinenschaufel
US6737646B2 (en) 2001-06-04 2004-05-18 Northwestern University Enhanced scanning probe microscope and nanolithographic methods using the same
US6642129B2 (en) 2001-07-26 2003-11-04 The Board Of Trustees Of The University Of Illinois Parallel, individually addressable probes for nanolithography
WO2003038033A2 (en) 2001-10-02 2003-05-08 Northwestern University Protein and peptide nanoarrays
KR100936599B1 (ko) 2001-12-17 2010-01-13 노쓰웨스턴유니버시티 직접 기록 나노리쏘그래피 인쇄에 의한 고체 상태특징부의 패터닝
US7172724B2 (en) 2002-03-26 2007-02-06 Matsushita Electric Works, Ltd. Method of making sintered object
AU2003211027A1 (en) * 2002-03-27 2003-10-13 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
US7060977B1 (en) * 2002-05-14 2006-06-13 Nanoink, Inc. Nanolithographic calibration methods
FR2839662B1 (fr) 2002-05-16 2005-12-02 Centre Nat Rech Scient Dispositif de depot localise d'au moins une solution biologique
AU2003300257A1 (en) * 2002-05-21 2004-05-04 Northwestern University Peptide and protein arrays and direct-write lithographic printing of peptides and proteins
US6972261B2 (en) * 2002-06-27 2005-12-06 Xerox Corporation Method for fabricating fine features by jet-printing and surface treatment
WO2004005582A2 (en) * 2002-07-05 2004-01-15 Northwestern University Electrochemical miniaturization of organic micro-and nanostructures
WO2004015772A1 (en) 2002-08-08 2004-02-19 Nanoink, Inc. Protosubstrates
US7005378B2 (en) * 2002-08-26 2006-02-28 Nanoink, Inc. Processes for fabricating conductive patterns using nanolithography as a patterning tool
US8071168B2 (en) * 2002-08-26 2011-12-06 Nanoink, Inc. Micrometric direct-write methods for patterning conductive material and applications to flat panel display repair
US7491422B2 (en) * 2002-10-21 2009-02-17 Nanoink, Inc. Direct-write nanolithography method of transporting ink with an elastomeric polymer coated nanoscopic tip to form a structure having internal hollows on a substrate
US7691541B2 (en) 2002-10-21 2010-04-06 Nanoink, Inc. Methods for additive repair of phase shift masks by selectively depositing nanometer-scale engineered structures on defective phase shifters
US6889726B2 (en) 2002-10-25 2005-05-10 Invacare Corporation Method and apparatus for filling portable high pressure cylinders with respiratory oxygen
WO2004044552A2 (en) 2002-11-12 2004-05-27 Nanoink, Inc. Methods and apparatus for ink delivery to nanolithographic probe systems
US20040228962A1 (en) 2003-05-16 2004-11-18 Chang Liu Scanning probe microscopy probe and method for scanning probe contact printing
EP1855861A4 (en) 2003-07-18 2010-12-01 Univ Northwestern SURFACE AND LOCAL POLYMERIZATION THROUGH DIRECTORY LITHOGRAPH
WO2005101466A2 (en) 2003-12-19 2005-10-27 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- and nano- structures using soft or imprint lithography
US7098058B1 (en) 2004-01-15 2006-08-29 University Of Toledo Photovoltaic healing of non-uniformities in semiconductor devices
US7690325B2 (en) 2004-04-30 2010-04-06 Bioforce Nanosciences, Inc. Method and apparatus for depositing material onto a surface
TWI238609B (en) 2004-06-29 2005-08-21 Lite On Automotive Corp Wireless update method of vehicle burglarproof system

Also Published As

Publication number Publication date
JP2009542448A (ja) 2009-12-03
CA2654973A1 (en) 2008-07-31
WO2008091279A3 (en) 2008-11-13
EP2044485B1 (en) 2013-06-05
WO2008091279A2 (en) 2008-07-31
AU2007345315A1 (en) 2008-07-31
US20120225251A1 (en) 2012-09-06
EP2044485A2 (en) 2009-04-08
TW200815278A (en) 2008-04-01
US8192795B2 (en) 2012-06-05
US20080182079A1 (en) 2008-07-31

Similar Documents

Publication Publication Date Title
KR20090049578A (ko) 에칭 및 홀 어레이
Wilbur et al. Microcontact printing of self-assembled monolayers: applications in microfabrication
Smith et al. Patterning self-assembled monolayers
US7291284B2 (en) Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
JP4570363B2 (ja) タンパク質およびペプチドのナノアレイ
ES2300334T3 (es) Metodos que utilizan puntas de microscopio como sondas de escaneo y los productos para esto o producidos de ese modo.
US8057857B2 (en) Phase separation in patterned structures
WO2002085639A1 (en) Edge transfer lithography
Fritzsche et al. Metallic nanowires created by biopolymer masking
KR20120099476A (ko) 블록 공중합체-보조 나노리소그래피
EP2122417B1 (en) Fabrication of microstructures and nanostructures using etching resist
Heule et al. Patterning colloidal suspensions by selective wetting of microcontact-printed surfaces
Bhagoria et al. Nanolithography and its alternate techniques
Salaita et al. DPN-generated nanostructures as positive resists for preparing lithographic masters or hole arrays
US20050069645A1 (en) Method of electrolytically depositing materials in a pattern directed by surfactant distribution
Ngunjiri et al. Achieving Precision and Reproducibility for Writing Patterns of n‐alkanethiol Self‐assembled Monolayers with Automated Nanografting
JP2005244173A (ja) シリコン表面の活性化方法、これにより生成される分子パターン及びその分子パターンの使用方法
Giam et al. Direct-write scanning probe lithography: towards a desktop fab
Papastavrou et al. Specific detection of interactions between uncharged surfaces in different solvents: high-resolution imaging by chemical force microscopy
Garno et al. Nanofabrication with self-assembled monolayers by scanning probe lithography
Bhure et al. Surface patterning using self assembled monolayers (SAMs)
Kim et al. Novel microcontact printing technique for multipatterning of self-assembled monolayers
Pałetko et al. Microcontact printing technology as a method of fabrication of patterned self-assembled monolayers for application in nanometrology
Sheu et al. Preparation of nano-scale patterns on the silicon oxide surface by dip-pen nanolithography
Berson Connecting the Nanoscale to the Macroworld: Toward Electric Circuits by Self-Assembly, Constructive Nanolithography and Nanoionics

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application