KR20090018725A - 반도체 디바이스의 형성에서 교호 스페이서 성막을 이용한 피치 저감 기술 및 이를 포함하는 시스템 - Google Patents

반도체 디바이스의 형성에서 교호 스페이서 성막을 이용한 피치 저감 기술 및 이를 포함하는 시스템 Download PDF

Info

Publication number
KR20090018725A
KR20090018725A KR1020097000536A KR20097000536A KR20090018725A KR 20090018725 A KR20090018725 A KR 20090018725A KR 1020097000536 A KR1020097000536 A KR 1020097000536A KR 20097000536 A KR20097000536 A KR 20097000536A KR 20090018725 A KR20090018725 A KR 20090018725A
Authority
KR
South Korea
Prior art keywords
spacers
layer
etching
spacer
width
Prior art date
Application number
KR1020097000536A
Other languages
English (en)
Inventor
바오수오 조우
미르자페르 케이. 아바트체브
아다반 니루만드
폴 에이. 모간
슈앙 멩
요셉 엔. 그릴리
브라이언 제이. 코파
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20090018725A publication Critical patent/KR20090018725A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

층을 패터닝하는 방법은 일련의 자기 정렬 스페이서들을 이용하여 최초 패터닝 층 위에 형성된 피처의 밀도를 증가시킨다. 에칭 대상 층이 제공된 다음 예를 들어 광학 리소그래피를 이용하여 형성된 최초 희생 패터닝 층이 에칭 대상 층 위에 형성된다. 실시예에 따라 패터닝 층이 트림될 수 있어서 일련의 스페이서 층들이 형성 및 에칭된다. 스페이서 층들의 수 및 그 목표 치수는 피처 밀도의 소정의 증가에 따른다. 제조중의 반도체 디바이스 및 전자 시스템이 또한 개시된다.
피치, 에칭 대상 층, 리소그래피, 스페이서, 패터닝

Description

반도체 디바이스의 형성에서 교호 스페이서 성막을 이용한 피치 저감 기술 및 이를 포함하는 시스템{PITCH REDUCTION TECHNOLOGY USING ALTERNATING SPACER DEPOSITIONS DURING THE FORMATION OF A SEMICONDUCTOR DEVICE AND SYSTEMS INCLUDING SAME}
본 발명은 반도체 제조 분야에 관한 것으로 특히, 리소그래피 마스크로 시작 피처 밀도에서 피처들(features)을 형성하고, 여러 가지 등각(conformal) 층들과 선택적 에칭의 사용을 통해 제1 밀도의 n(1보다 큰 정수)배인 최종 밀도를 생성하는 방법에 관한 것이다.
반도체 디바이스의 형성시 워드 라인, 디지트 라인, 콘택트 등의 많은 피처들 및 다른 피처들이 반도체 웨이퍼 위에 일반적으로 형성된다. 반도체 디바이스 엔지니어의 목적은 수율을 증가시키고, 제조 비용을 저감시키고 디바이스를 최소화하기 위해 소정의 영역에 이들 피처들을 가능한 한 많이 형성하는 것이다. 반도체 웨이퍼 상의 이들 구조의 형성은 리소그래피의 사용을 필요로 한다. 최선단 웨이퍼 가공에 대부분 사용된 광학 리소그래피, 리소그래피 법은 형성될 피처들을 나타내는 크롬 패턴(chrome pattern)을 갖는 수정(quartz) 포토마스크 또는 레티클(reticle)을 통해 조사원(illumination source)으로부터 소정의 파장, 일반적으 로 248나노미터(nm) 또는 193nm의 코히어런트 광을 투영하고, 포토레지스트로 코팅된 웨이퍼 상에 그 패턴을 영상화하는 것을 포함한다. 이 광은 화학적으로 포토레지스트를 변경하고, 노광된 포토레지스트(포지티브 레지스트가 사용된 경우) 또는 비노광된 포토레지스트(네거티브 레지스트가 사용된 경우)를 현상제를 이용하여 세정시킨다.
피처 크기의 저감에 따라 광학 리소그래피의 제한이 끊임없이 테스트된다. 피처 밀도의 개선은 프로세스 개선, 해상도 향상 기술이라고 하는 개선된 리소그래피 방법, 및 개선된 디바이스 및 물질들을 통해 이루어진다.
도 1-6에 도시된 하나의 이러한 프로세스 개선은 리소그래피 마스크로부터 형성된 피처들의 밀도를 배가하는데 여러 가지 층들의 형성 및 선택성 에칭과 더불어 소정의 피치(즉, 하나의 반복 피처의 시작으로부터 다음 피처의 시작으로의 소정 거리)의 반복 피처들을 갖는 마스크를 이용한다. 도 1은 반도체 웨이퍼, 에칭 대상 층(layer to be etched)(12), 예를 들어 화학적 기상 성막법(CVD) 또는 스핀 온 기술(spin-on technique)을 이용하여 탄소로부터 형성된 실리콘 질화물 층, 지지 층(14), 및 광학 리소그래피 프로세스를 이용하여 형성된 포토레지스트 층 또는 광학 리소그래피 및 에칭 프로세스를 이용하여 형성된 하드 마스크 층 등의 패턴된 마스킹 층(16)을 포함하는 반도체 웨이퍼 기판 어셈블리(10)를 포함한다. 패턴된 마스킹 층(16)은 리소그래피 프로세스에 의해 허용된 피처 크기 제한으로 형성될 수 있으며, 소정의 간격(18)으로 형성된 3개의 개별적인 피처(3개의 주기/피치)를 포함한다.
도 1의 구조를 형성한 후, 지지 층(14)의 에칭은 마스크(16)를 패턴으로서 이용하여 수행된다. 이러한 에칭은 통상적으로 에칭 대상 층(12)에 선택적인 지지 층(14)을 에칭하는(즉, 에칭 대상 층(12)의 에칭을 거의 또는 전혀 갖지 않는 지지 층(14)을 제거하는) 이방성 건식 에칭이다. 지지 층(14)을 에칭한 후, 패턴된 마스킹 층(16)이 제거되고, 예를 들어 실리콘 질화물과 같은 등각 하드 마스크 층(20)이 형성되어 도 2의 구조를 생성한다.
이어서, 도 2 구조의 스페이서 에칭이 수행되어 지지 층(14)의 측벽들을 따라 하드 마스크 층으로부터 스페이서들(20')을 갖는 도 3의 구조가 생성된다. 이어서 지지 층(14)이 에칭되어 도 4의 구조가 생성된다.
다음에 하드 마스크 층으로부터 형성된 스페이서들(20')이 에칭 대상 층(12)을 에칭하는 한 패턴으로서 사용되어 도 5의 구조를 생성한다. 최종적으로, 스페이서들(20')이 에칭 대상 층(12)에 대해 선택적으로 에칭되어 도 6의 구조를 생성한다.
도 1-6의 프로세스는 소정의 간격(18)에서 3개의 피처들을 갖는 마스킹 층(16)을 형성하는데 광학 리소그래피를 이용하는 장점을 갖는 한편, 도 6에 도시된 완성된 구조는 원래 간격(18) 내에 6개의 피처들(12)(6개의 주기/피치)을 갖는다. 따라서 간격 내의 피처들의 수는 추가의 리소그래피 마스크를 필요로 하지 않고 거의 배가된다.
피처 밀도를 증가시키는 여러 기술이 Tyler A. Lowrey 등의 미국 특허 제5,328,810호 및 Ceredig Roberts 등의 미국 특허 5,254,218호에 개시되어 있는데, 이 두 특허 모두 Micron Technology, Inc에 양도되었으며, 그 전체를 개시하듯이, 본 명세서에서 포함하기로 한다.
제1 피치를 갖는 광학 리소그래피 마스크를 이용하고 1/n(여기서, n은 1 보다 큰 정수)인 제2 피치를 갖는 피처들을 생성하고 리소그래피를 이용하여 얻을 수 있는 크기의 절반까지 피처 크기 저감 또는 스페이싱의 제한을 갖지 않는 반도체 디바이스를 형성하는 방법이 바람직하다.
도 1-6은 예를 들어 포토리소그패피를 이용하여 형성된 마스크 피처들의 수를 배가하는 종래의 프로세스를 기술하는 단면도들이다.
도 7-15는 소정의 영역 내의 피처들의 수를 4배만큼 증가시키는 본 발명의 방법의 실시예를 기술하는 제조 과정 중의(in-process) 반도체 디바이스의 단면도들이다.
도 16-22는 소정 영역 내의 피처들의 수를 6배 만큼 증가시키는 본 발명의 방법의 실시예를 기술하는 단면도들이다.
도 23-31은 소정 영역 내의 피처들의 수를 3배 만큼 증가시키는 본 발명의 방법의 다른 실시예 및 변형들을 기술하는 단면도들이다.
도 32-38은 소정 영역 내의 피처들의 수를 5배 만큼 증가시키는 본 발명의 다른 실시예를 기술하는 단면도들이다.
도 39는 본 발명의 실시예에 의해 형성된 디바이스들을 이용하여 제조될 수 있는 여러 가지 컴포넌트들의 등각도이다.
도 40은 저장 트랜지스터 어레이를 갖는 메모리 디바이스의 일 부분을 형성하기 위한 본 발명의 예시적 사용의 블록도이다.
본 명세서의 도면들은 정확한 스케일이 아닐 수 있으며, 개략적인 표현임을 강조한다. 도면들은 이 명세서에서 설명한 정보의 검토에 의해 본 기술 분야의 당업자에 의해 결정될 수 있는 본 발명의 특정 파라미터들, 물질들, 특정 사용, 또는 구조적인 세부사항을 표현하는데 그 의도가 있지 않다.
용어 "웨이퍼"는 실리콘, SOI(silicon-on-insulator), SOS(silicon-on-sapphire) 기술, 도핑 및 도핑되지 않은 반도체, 기본 반도체 기초에 의해 지지되는 실리콘 에피택셜 층들, 및 그외의 반도체 구조들을 포함하는 반도체 기반 물질로서 이해되어야 한다. 또한, 이하의 설명에서 "웨이퍼"를 참조하는 경우, 이전의 프로세스 단계들은 기본 반도체 구조 또는 기초 내에 또는 그 위쪽의 영역들 또는 접합들을 형성하는데 사용될 수 있다. 또한, 이하의 설명에서 "기판 어셈블리"를 참조하는 경우, 기판 어셈블리는 유전체와 도체 및 특정 단계의 처리에 따라 그 위에 형성된 트랜지스터 등의 피처들을 포함하는 층들을 포함하는 웨이퍼를 포함할 수 있다. 또한, 반도체는 실리콘 기반일 필요가 없고 특히 실리콘-게르마늄, SOI, SOS, 게르마늄(germanium), 또는 갈륨 비소(gallium arsenide) 기반일 수 있다. 또한 이 명세서의 설명 및 청구범위에서, 다른 것 위의 하나와 같이 두 층과 관련하여 사용된 용어 "상에(on)"는 층들 사이의 적어도 일부의 접촉을 의미하는 한편, "위에"는 층들이 가깝게 근접하여 있는 것을 의미하지만 가능하면 접촉은 가능하지 만 필요치 않도록 하나 이상의 추가의 개재 층들을 갖는다. "상에"나 "위에"는 본 명세서에서 사용되는 바와 같이, 임의의 방향성을 포함하지 않는다. 용어 "약"은, 변경이 본 발명에 문제가 되는 프로세스 또는 구조의 부적합을 초래하지 않은 한, 리스트된 값이 다소 변경될 수 있음을 나타낸다. "스페이서"는 고르지 않은 지형 위에 등각 층으로서 형성되고, 이어서 이방성으로 에칭되어 그 층의 수평 부분들을 제거하고, 그 층의 높은 수직 부분들은 남겨두는 일반적으로 유전 층을 나타낸다.
본 발명의 여러 실시예들은 피치 감소가 가변 임계 치수(critical dimension)(CD) 저감 비율을 달성하도록 교호 스페이서 성막(ASD)을 이용한다. 피치 저감 프로세스는 이전에 형성된 포토리소그래피 마스크에 의해 형성된 것보다 작은 CD를 얻는다. 본 명세서에서 개시된 여러 프로세스 실시예들은, 희생 층으로서 사용되고, 하부 층을 패터닝하는데 사용된 제2 스페이서 물질에 대해 높은 선택성으로 제거된 제1 타입의 스페이서 물질의 사용을 포함한다. 트림 비율(trim ratio), 스페이서 성막의 수 및 각 성막의 두께에 따라 종래의 포토리소그래피에 의해 정의된 원래 값의 1/n인 CD가 얻어질 수 있는데, 여기서 n은 1 보다 큰 홀수 또는 짝수의 정수이다. 환언하면, 프로세스는 n 배만큼 패턴 밀도를 증가시킨다. 특히, ASD 프로세스를 반복하고 이어서 해당 스페이서를 m 번 에칭함으로써 두 가지 방법 중 어느 것이 수행되느냐에 따라 시작 CD의 1/2m 또는 1/(2m-1)의 CD가 얻어질 수 있다. 반도체 디바이스를 형성하는 본 발명의 방법의 제1 실시예가 도 7-14에 도시되어 있다. 이 프로세스 실시예는 원래 값의 1/2m로 저감되는 CD를 발생시킨다. m의 값은 ASD 프로세스 동안 형성된 스페이서 층들의 수를 총계함으로써 결정될 수 있다.
도 7은 예를 들어, 에칭 대상 층(72) 위에 놓이는, 단면 측벽들을 갖는 포토레지스트의 단편(segmented) 부분들을 포함하는 희생 포토리소그래피 패턴(70)을 도시한다. 에칭 대상 층(72)은 반도체 웨이퍼일 수 있으며, 반도체 웨이퍼 기판 어셈블리는 반도체 웨이퍼 또는 웨이퍼 부분 위에 놓이는 하나 이상의 층들 또는 패터닝 에칭을 받게 되는 하나 이상의 그외의 층들을 구비한다. 이 실시예에서, 포토리소그래피 피처들(70)은 리소그래피 제한에서 형성되며, 각각의 피처(70)의 폭과 피처들(70) 사이의 간격(14)은 모두 거의 동일하다. 층(70)은 포토레지스트 이외에 패턴된 물질을 포함한다.
도 7 구조를 형성한 후, 등방성 에칭을 이용하여 포토레지스트 상에 트림이 수행되어, 도 8의 구조를 생성한다. 층(70)으로서 포토레지스트를 이용하는 경우, O2/Cl2 플라스마 또는 O2/HBr 플라스마 등의 산소 기반 플라스마에 마스크(70)를 노출함으로써 트림이 수행될 수 있다. 이 실시예에서, 포토레지스트 층(70)의 트림은 각각의 피처(70)의 폭을 0.25(25%)만큼 좁히는데 목적이 있다. 즉, 트리밍 후 , 각각의 피처의 폭은 트림되기 전의 피처 폭으로부터 약 25% 만큼 좁혀진다. 트림 프로세스를 규정하는 이러한 및 그외의 실시예들에서 트림을 수행하는 대안의 실시예에 있어서, 포토레지스트 피처들(70)은, 리소그래피 프로세스가 트림을 하지 않고 직접적으로 패터닝을 할 수 있도록 충분히 완화된다면, 위와는 달리 도 8의 치수에 따라 직접적으로 프린트될 수 있다. 완성된 마스크는 원래 패턴 밀도의 4 배를 갖는 것을 목표로 하며, 원래 패턴은 도 7에서 트림되지 않은 포토레지스트 층(70)이다. 원래 패턴의 4배인 패턴 밀도를 형성하는 것이 목표이므로(즉, 원래의 1/4인 피치를 갖도록), 1/2m으로 나타내어진 필요 스페이서 층들의 수는 2이다.
다음으로, 실리콘 이산화물 등의 제1 스페이서 층(90)이 도 8 구조의 표면 위에 성막되어 도 9의 구조를 생성한다. 제1 스페이서 층(90)의 두께는 도 7의 원래 패턴 폭의 0.25배가 되는 것을 목표로 한다. 도 9를 참조하면, 간격(92)은 각 트림된 포토레지스트 피처(70)의 폭과 같다. 스페이서 에칭이 종래의 기술을 이용하여 도 9의 제1 스페이서 층(90)에 대해 수행되어 제1 스페이서들(90')을 갖는 도 10 구조를 생성한다.
스페이서들(90')을 형성하기 위해 제1 스페이서 층(90)의 스페이서 에칭 후, 포토레지스트 층(70)이, 예를 들어, 애싱 프로세스(ashing process)를 이용하여 제거되고, 이어서 웨이퍼 세정이 수행되어 도 11의 구조를 생성한다. 이 프로세스는 제1 스페이서들(90')을 거의 또는 전혀 제거하지 않으므로, 110 및 92에서의 스페이싱은 크게 변경되지 않는다.
다음으로, 제2 스페이서 층(120)은 도 11 구조 위에 형성되어 도 12의 구조를 생성한다. 제2 스페이서 층(120)의 물질은, 제1 스페이서들(90')이 층(120)에 대해 선택적으로 제거될 수 있도록(즉, 스페이서 층(90')이 층(120)을 거의 또는 전혀 에칭하지 않고 제거될 수 있도록) 선택된다. 이 실시예에서, 제2 스페이서 층(120)은 실리콘 질화물을 포함한다. 이 층(120)은 또한 트림되지 않은 원래의 포토레지스트 피처들의 두께의 0.25 배의 두께를 목표로 한다. 도 11의 스페이 싱(110 및 92)은 대략 동일하므로, 도 12의 스페이싱(122 및 124) 또한 대략 동일하다.
도 12 구조를 형성한 후, 스페이서 (이방성) 에칭 등의 에칭이 제2 스페이서 층(120)에 대해 수행되어 제2 스페이서들(120')을 갖는 도 13의 구조를 생성한다. 이어서 제1 스페이서들(90')이 제2 스페이서들(120')에 대해 선택적으로 제거되어 도 14 구조를 생성한다. 실리콘 이산화물이 본 기술분야에 공지된 버퍼드 불화 수소산(buffered hydrofluoric acid)(HF) 등의 습식 프로세스 또는 건식 에칭 프로세스를 이용하여 실리콘 질화물에 대해 선택적으로 제거될 수 있다. 이 실시예에서, 나머지 제2 스페이서들(120')에 의해 형성된 패턴은 도 7에서 원래 층(70)의 밀도의 4배인 밀도를 갖는다(즉, 피치는 도 7의 피처들의 피치의 밀도의 0.25배임). 제2 스페이서들(120')에 대해 선택적인, 제1 스페이서들(90')을 제거하는데 사용된 특정 에칭은 각각의 층에 사용된 물질에 따르며, 본 기술분야에 알려진 임의의 적절한 에칭일 수 있다. 최종적으로, 에칭 대상 층(72)은, 에칭 대상 층(72)으로부터 피처들을 형성하기 위해 스페이서들(120')을 패턴으로서 이용하여 에칭된다. 스페이서들(120')에 적합한 선택성으로 층(72)을 제거하는 임의의 에칭제(etchant)가 사용될 수 있어서 도 15와 구조와 유사한 완성된 구조를 생성한다.
이 실시예의 경우에, 형성된 패턴에 관련된 여러 요소들의 크기들은 수학적 항들(terms)로 기술될 수 있다. 도 7을 참조하면, 각각의 희생 포토레지스트 피처(70)는 1의 임의의 폭으로 형성되고, 각각의 피처 사이의 간격(14)은 또한 1이어서, 피치는 2이다. 각각의 포토레지스트 피처(70)는 X 만큼 트림되어 도 8의 구조 를 생성한다. 따라서 각각의 피처(70)는 1-X의 폭을 가지며, 각각의 피처 사이의 간격(14)은 1+X이다. 이 실시예에서, 각각의 피처(70)가 1의 폭을 갖는 경우, X는 0.25이다(즉, 피처(70)의 폭의 25%임). 이어서, 제1 스페이서 층(90)이 "a"의 두께를 갖도록 형성되어 간격(92)은 1+X-2 am이고, 여기서 m은 이 프로세스에서 지금까지 형성된 스페이서 층들의 수이다(즉, 1). 이 실시예에서, 그리고 CD를 시작 CD의 1/2m로 감소시키는 다른 실시예들에서, "a"(제1 스페이서 층(90)의 두께)는 X(각각의 피처(70)로부터 트림된 폭)로 되는 것을 목표로 한다. 도 10의 구조를 생성하는 제1 스페이서 층(90)의 에칭은 요소들(70 또는 90) 사이의 관계를 변화시키지 않는다. 도 11을 생성하는 포토레지스트 피처들(70)의 제거는 포토레지스트 피처(70)의 트림 후의 폭(post-trimmed width)인 1-X(.75)의 폭과 1+X-2am의 간격(92)을 갖는 개구(110)를 형성한다(여기서 "a"X이고 m은 1이므로, 1-X = 1+X-2am이어서 두 개의 간격들(110 및 92)은 임의의 프로세스 야기형 변동들(process-induced variation)을 고려하지 않으면 동일하다). 도 12에서, 제2 스페이서 층(120)은 "a"의 두께를 갖도록 형성된다(또한 이 실시예에서 "a"X이다). 따라서 간격(122)은 1-X-2a(m-1)이고, 여기서 m은 지금까지 형성된 스페이서 층들의 수이다(즉, 2). 이어서 제2 스페이서 층(120)이 에칭되어 도 13 구조를 생성하고, 제1 스페이서 층(90)이 제거되어 도 14의 구조를 생성한다.
도 7에서 포토레지스트의 원래(트림되기 전) 폭이 1인 것을 이용하면, 도 14에서 각각의 피처 사이의 간격은 0.25이다. 위의 단락에서 기술한 바와 같이, 간격(122)은 1-X-2a(m-1)이고, 여기서, 이 실시예의 경우 X=a=0.25 및 m=2(스페이서 층들의 수)이다. 따라서 간격(122)은 1-0.25-2(2-1)0.25=0.25임이 결정될 수 있다. 또한, 간격(124)은 1+X-2am이므로, 간격(124)은 1+0.25-2(.25)(2)=0.25로 결정될 수 있다. 일반적 용어에서, 제1 및 제2 스페이서 층 두께인 "a"X(트림의 량)이고 또한 1/2m(최종 CD, 여기서 "m"은 스페이서 층들의 수임)이다.
전술한 프로세스는 식 1/2m에서 m의 높은 값들의 경우 변경될 수 있어서 2의 배수만큼 피처 밀도를 증가시킬 것으로 생각된다. 피처 피치를 1/6만큼 저감시키는(즉, 피처 밀도는 6배 만큼 증가되는) m=3인 프로세스가 도 7 및 16-22에서 설명된다. 또한, 설명의 간략화를 위해, 포토레지스트의 폭은 처음에 1의 포토레지스트 사이의 간격과 더불어 1의 임의의 두께를 목표로 한다. 따라서 포토레지스트 피처들은 도 7에 도시된 2의 피치를 갖는다. 도 7 구조를 형성한 후, 각각의 포토레지스트 피처(70)는 그 폭의 1/6만큼 트림된다(즉, X=1/6). 따라서 포토레지스트 피처들(70) 사이의 간격은 7/6로 증가한다.
다음에 블랭킷 제1 스페이서 층(160), 예를 들어, 실리콘 질화물이 도 16에 도시된 바와 같이 트림된 포토레지스트 위에 형성된다. 제1 스페이서 층(160)의 두께는 1/6의 두께를 목표로 한다. 도 16에서, 포토레지스트(70)는 5/6의 폭(162)을 가지며, 또한 간격(164)은 5/6이다. 제1 스페이서 층(160)은 스페이서 에칭되어 도 17에 도시된 바와 같이 제1 스페이서들(160')을 생성한다. 각각의 스페이서(160')의 기본 폭은 1/6로 유지되는 것을 목표로 한다. 스페이서 층(160)은 제1 스페이서 층으로서 m=1을 나타낸다.
도 17 구조의 형성 후, 포토레지스트(70)가 제거되고, 블랭킷 제2 스페이서 층(180)이 도 18에 도시한 바와 같이 제1 스페이서들(160') 위에 형성된다. 스페이서 층(180)은 제2 스페이서 층으로서 m=2를 나타낸다. 제2 스페이서 층(180)은 제1 스페이서들(160') 예를 들어, 실리콘 이산화물에 대해 선택적으로 에칭될 수 있는 물질로부터 형성된다. 제2 스페이서 층(180)은 1/6의 두께를 목표로 하므로, 간격(182)은 3/6(즉, X/2)가 된다. 도 18 구조는, 도 19에 도시한 바와 같이 제2 스페이서들(180')을 형성하도록 층(180)의 스페이서 에칭을 받은 다음, 도시한 바와 같이, 블랭킷 제3 스페이서 층(190)이 형성된다. 제3 스페이서 층(190)은 제1 스페이서 층 예를 들어, 실리콘 질화물과 동일한 물질로부터 형성될 수 있으며, 1/6의 두께를 목표로 한다. 따라서 간격(192)은 1/6이다. 스페이서 층(190)은 m=3을 나타내는데, 최종 스페이서 층은 1/2m에 있으며, 여기서 m=3이다.
층(190)의 스페이서 에칭이 수행되어 스페이서들(160', 180' 및 190')을 포함하는 도 20의 구조를 생성하며, 그리고 나서 제2 스페이서들(180')이 제1 스페이서들(160')에 대해 그리고 제3 스페이서들(190')에 대해 선택적으로 에칭되고 제거된다. 실리콘 질화물 스페이서들(160' 및 190')에 대해 선택적인 실리콘 이산화물 제2 스페이서들의 에칭 후, 도 21의 구조가 남게 된다. 스페이서들(160', 190')은 도 7의 포토레지스트 층(70)의 밀도의 6배인 밀도를 갖는 마스크를 제공한다. 최종적으로, 에칭 대상 층(72)이 마스크로서 스페이서들(160' 190')을 이용하여 에칭되어 도 22의 구조를 생성한다.
이 프로세스는 m의 임의의 값에 대해 본 명세서에서의 설명으로부터 변경될 수 있다. m의 최대 값의 실제적인 제한은 프로세싱 기술 및 도 7에서 X의 시작 치 수(포토레지스트의 폭과 포토레지스트 사이의 간격)에 따른다.
m회의 스페이서 성막의 경우에, (m-1)번째, (m-3)번째,(m-5)번째 등의 성막들로부터 얻어진 스페이서들은 희생적이며, 선택적으로 제거될 수 있다. m=3인 도 16-22의 실시예의 경우에, m-1 스페이서들, 즉, 제2 스페이서들(180')은 희생적이며, 제거된다. 이러한 개시에 있어서, "희생"이라는 용어는 에칭 대상 층을 패터닝하기 이전에 제거될 수 있는 패터닝에 사용된 스페이서들 또는 다른 층들(예컨대 층(70))을 말한다.
m이 형성된 스페이서 층들의 수인 1/2m의 CD 저감을 제공하는 위의 실시예와 관련하여 피치의 저감은 2의 배수(즉, 1/2, 1/4, 1/6 등)이다. 이하 기술한 실시예는 m≥2인 1/(2m-1)의 CD 저감을 제공하므로, 저감은 원래 패턴의 1/3, 1/5, 1/7 등일 수 있다.
이 실시예에서, 도 7의 구조는 본 기술분야에 공지된 기술에 따라 형성되고, 에칭 대상 층(72)과, 에칭 대상 층(72) 위에 놓이는 포토레지스트(70)를 포함하는 포토레리소그패피 패턴을 포함한다. 에칭 대상 층은 반도체 웨이퍼, 반도체 층 위에 놓이는 하나 이상의 층들, 또는 패터닝 에칭을 받는 하나 이상의 그외의 층들일 수 있다. 이 실시예에서, 포토리소그패피 피처들(70)은 리소그래피 제한에서 형성되고, 각각의 피처(70)의 폭과 피처들(70) 사이의 스페이싱(14)은 모두 동일하다. 층(70)은 포토레지스트가 이외에 패턴된 물질을 포함할 수 있다.
도 7 구조의 형성 후, 실리콘 이산화물 등의 제1 스페이서 층(230)이 도 7 구조의 표면 위에 성막되어 도 23의 구조를 생성한다. 제1 스페이서 층(230)의 두 께는 포토레지스트(70)의 폭의 1/3배인 것을 목표로 한다. 스페이서 에칭이 도 23의 제1 스페이서 층(230)에 대해 수행되고 나서, 포토레지스트 층(70)이 제거되어 스페이서들(230')을 갖는 도 24 구조를 생성한다. 스페이서 에칭 및 포토레지스트 에칭은 제1 스페이서 층(230)의 수직 부분들을 거의 또는 전혀 제거하지 않으므로, 232 및 240에서의 스페이싱이 크게 변하지 않는다. 스페이싱(240)은 도 23에 도시된 포토레지스트 층(70)의 폭과 같다.
다음으로, 제2 스페이서 층(250)이 도 24 구조 위에 형성되어 도 25 구조를 생성한다. 제2 스페이서 층(250)의 물질은 제1 스페이서들(230')이 층(250)에 대해 선택적으로 제거될 수 있도록 선택된다. 이 실시예에서, 제2 스페이서 층(250)은 실리콘 질화물을 포함한다. 이 층(250)은 또한 도 23에 도시된 포토레지스트 층(70)의 두께의 1/3배와 동일한 두께를 목표로 한다. 지금까지의 프로세스로 도 23에 도시된 포토레지스트 층(70)의 폭의 약 1/3인 스페이싱을 생성한다. 층(250)이 232의 간격(1/3임)의 1/2 보다 두꺼운 두께(1/3임)를 갖도록 형성되므로, 층(250)은 232에서 개구들에 걸쳐 연결되지만(bridge) 240에서 개구들에 걸쳐 연결되지 않는다.
도 25의 구조를 형성한 후, 스페이서 에칭 등의 에칭이 제2 스페이서 층(250)에 대해 수행되어, 스페이서들(230' 및 250')을 포함하는 도 26의 구조를 생성한다. 이 에칭에 의해 에칭 대상 층(72)이 노출되지만, 포토레지스트 층(70)이 원래 형성된 위치(260)에서만 노출된다. 또한, 위치(260)는 각각 도 23의 포토레지스트 층(70)의 폭의 1/3일 뿐이다.
도 26의 구조를 형성한 후, 제1 스페이서들(230')은 제2 스페이서들(250')에 대해 선택적으로 에칭되어 도 27의 구조를 생성한다. 이 실시예에서, 나머지 제2 스페이서 층(250)에 의해 형성된 패턴은 도 23에서 층(70)의 밀도의 3배인 밀도를 갖는다(즉, 피치는 도 23의 피처들(70)의 피치의 밀도의 1/3배이다). 제2 스페이서들(250')에 대해 선택적인, 제1 스페이서들(230')을 제거하는데 사용된 특정 에칭은 각각의 층에 사용된 물질에 따르며, 본 기술분야에 알려진 임의의 적합한 에칭일 수 있다. 최종적으로 에칭 대상 층(72)은 스페이서들(250')에 대해 적당한 선택성으로 층(72)을 제거(제거는 도시되지 않음)하는 임의의 에칭제를 이용하여 에칭된다.
도 25 구조에 대해 스페이서 에칭을 수행하여 도 26 구조를 생성하는 대신에 CMP 프로세스 등의 평탄화 프로세스가 도 25에 대해 수행되어 도 28의 구조를 생성할 수 있다. 이어서 스페이서(230')가 제거되어 도 29의 패턴을 남겨두고 나서, 스페이서 층(250)의 에칭 백(스페이서 에칭)이 수행되어 스페이서들(250')을 포함하는 도 30 구조를 생성한다. 최종적으로 층(72)이 에칭되어 도 31의 구조를 생성한다. 이 CMP 프로세스는 스페이서 에칭을 이용하는 것보다 더욱 균일한 높이를 포함하는 스페이서(250')를 생성할 수 있는데, 이는 후속 프로세싱에 바람직할 수 있다. 스페이서 에칭이 도 29 구조에 대해 수행되어 인접 스페이서들을 접속하는 층(250)의 수평 부분들을 세정하며, 도 30에 도시된 피처들(250') 모두는 스페이서들이고, 평탄화 동일평면의 상부를 포함한다.
이전 단락에 대한 대안의 실시예에서, 도 28의 층(250)의 에칭 백이 우선 수 행되고 이어서 스페이서들(230')이 제거될 수 있다.
도 23-27의 프로세스는 1/(2m-1)의 CD 저감을 제공하며, 여기서 m=2(스페이서 층(230 및 250)을 포함)이므로, 피치 저감은 1/3이다(피처 밀도의 3배). 이 프로세스는 m의 임의의 실제적인 값에 대해 변경될 수 있어서, 저감이 원래 패턴의 1/3, 1/5, 1/7 등으로 될 수 있다. 프로세스가 이하에 설명되며, 여기서 m=3이므로, 피치는 원래 마스크의 1/5일 것이다(즉, 피처 밀도의 5배). 또한, 설명의 간략화를 위해 포토레지스트의 폭은 초기에 1의 포토레지스트 사이의 간격과 더불어 1의 임의의 폭을 목표로 한다. 따라서 포토레지스트 피처들을 도 7에 도시된 2의 피치를 갖는다. 도 23-27의 실시예와 관련하여, 포토레지스트는 이 실시예에서는 트림되지 않는다.
이 실시예에 있어서, 블랭킷 스페이서 층 예를 들어 실리콘 질화물은 도 7 구조 위에 형성된다. 블랭킷 스페이서 층은 각각의 포토레지스트 피처(70)의 폭의 1/5의 두께를 갖는 것을 목표로 한다. 스페이서 에칭은 제1 스페이서 층에 대해 수행되어 제1 스페이서들(320), 포토레지스트(70),및 에칭 대상 층(72)을 갖는 도 32의 구조를 생성한다. 여기서, m=1이고, 스페이서들(320)은 제1 스페이서 층으로부터 형성된다.
도 33에 도시한 바와 같이, 포토레지스트 층(70)이 제거되고, 제2 스페이서 층(330)이 제1 스페이서들(320) 위에 형성된다. 층(330)은 예를 들어 실리콘 이산화물과 같은 스페이서들(320)의 물질에 대해 선택적으로 에칭될 수 있는 물질을 포함한다. 층(330)은 1/5의 두께를 목표로 하므로, 332에서 스페이싱은 3/5이고, 334에서 스페이싱은 1/5이다. 스페이서 에칭이 수행되어 제1 스페이서들(320) 및 제2 스페이서들(330')을 갖는 도 34의 구조를 생성하며, 따라서 이 프로세스의 이 시점에서 m=2이고, 스페이서들(330')은 제2 스페이서 층(320)으로부터 형성된다.
다음으로, 제3 스페이서 층(350)이 형성된다. 제3 스페이서 층(350)은 제1 스페이서 층과 같은 물질, 이 실시예의 경우에 실리콘 질화물 또는 제2 스페이서 층의 에칭을 견디는 상이한 물질을 포함할 수 있다. 제3 스페이서 층은 1/5의 두께를 목표로 한다. 제3 스페이서 층(350)의 목표 두께는 334에서 스페이싱의 절반 보다 크므로, 층(350)은 개구(334)에 걸쳐 연결되지만, 3/5의 간격을 갖는 스페이싱(332)에서 등각으로 형성된다. 이 프로세스에서 이 시점에 3개의 스페이서 층이 사용되고 있으므로, m=3이다.
도 35 구조의 완성 후, 제3 스페이서 층(350)에 대해 스페이서 에칭이 수행되어 제3 스페이서들(350')을 갖는 도 36의 구조를 생성한다.
이어서 제2 스페이서들(330')이 제1 스페이서들(320) 및 제3 스페이서들(350')에 대해 선택적으로 에칭되어 도 37 구조를 생성한다. 이어서 나머지 스페이서들(320, 350)은 에칭 대상 층(72)을 에칭하는 마스크로서 사용되어 도 38의 구조를 생성한다. 최종적으로 스페이서들(320, 350')이 제거될 수 있다.
스페이서 에칭을 사용하는 대신에, 평탄화 예를 들어, CMP가 여러 실시예들의 구조들에 대해 수행될 수 있다. 이 CMP 프로세스는 후속 프로세스에 대해 장점이 될 수 있는 균일한 높이를 갖는 스페이서들 각각을 생성할 수 있다. 큰 값의 m을 이용할 경우, 스페이서 층의 일 부분을 제거하는데 스페이서 에칭보다 평탄화 프로세스를 이용하는 것이 장점이 될 수 있다. 스페이서 에칭을 이용하여 형성된 도 38의 프로파일을 갖기보다는 평탄화 프로세스를 이용하여 형성된 구조는 도 31과 유사한 프로파일을 가질 것이다. 또한 하나 이상의 스페이서 에칭이 하나 이상의 평탄화 프로세스들과 결합될 수 있음을 생각할 수 있다.
도 7-22에 도시된 실시예들과 관련하여, 도 23-38의 실시예에 의해 형성된 패턴과 관련된 여러 요소들의 크기는 수학적 항들로 기술될 수 있다. CD는 그 원래 값의 1/(2m-1)로 저감되고 여기서, CD의 원래 값은 도 23 및 도 32에서 포토레지스트 피처(70)의 폭이고, m은 형성된 스페이서 층들의 수이고, 여기서 m≥2이다. 식 1+X+2 ma =-a은 CD에서의 소정의 저감에 필요한 스페이서 층들의 수를 결정하는데 사용될 수 있고, 여기서 m≥2이고, "a"는 원래 포토레지스트 층의 폭으로 나누어진 스페이서 층들의 두께이다. 이 실시예에서, 트림이 없으므로 X=0이다.
원래 마스크 층(70)이 도 7-22의 실시예들에서 트림되고, 도 23-38의 실시예들에서는 트림되지 않지만, 두 개의 프로세스는 유사성을 갖는다. 예를 들어, 두 가지 상이한 유형의 물질들로부터만 모든 스페이서들을 형성하는 것이 (요구되는 것은 아니지만) 가능하다. m, m-2, m-4 등의 스페이서 층들은 모두 동일한 물질로부터 형성되는 한편, m-1, m-3, m-5 등의 층들 또한 동일한 물질(그러나 m, m-2, m-4 등의 층들과 상이하며, m, m-2, m-4 층들에 대해 선택적으로 에칭가능)로 형성될 수 있다. 각각의 스페이서 층은 이전(preceding) 스페이서와는 상이한 물질로부터 형성될 수 있다. 또한, 두 실시예들에서 원래 마스킹 층, 층(70)은 제2 스페이서 층을 형성하기 전에 제거된다. 또한, 어느 하나의 실시예에서 m-1, m-3, m-5 등의 스페이서 층들이 제거될 수 있는 한편, m, m-2, m-4 등의 스페이서 층들은 패턴으로서 사용될 수 있다.
도 7-22의 실시예들은 짝수인 피처 밀도 승수(multiplier)를 제공하는 한편, 도 23-28의 실시예들은 홀수인 피처 밀도 승수를 제공한다. 도 7-22의 실시예들은 스페이서 층들의 연결을 갖지 않는 한편, 도 23-38의 실시예들은 모두 스페이서 층의 연결의 예(도 25의 232 및 도 35의 334에서)를 갖는다.
또 다른 실시예에서, 도 14의 구조가 형성되고, 층(120)은 도 7의 포토레지스트 층(70) 대신에 사용된다. 따라서, 도 8-11에서 수행되는 바와 같이, 층(120)은 트림되고, 스페이서 층이 형성되고, 스페이서 에칭된 다음 층(120)이 제거된다. 도 12 및 13의 제2 스페이서 층과 관련하여 프로세스가 계속된다.
다른 실시예에서, 도 27의 구조가 형성되고, 층(250)이 도 7의 포토레지스트 층(70) 대신 사용된다. 따라서 스페이서 층이 층(250) 위에 형성되고, 이어서 층(250)이 도 24에서 층(70)에 대해 행해진 바와 같이 제거되고, 이 최종 스페이서 층은 층(10)을 에칭하기 위한 마스크로서 사용된다. 유사한 프로세스가 본 명세서에 개시된 다른 실시예들로 수행될 수 있다.
도 39에 도시한 바와 같이, 본 발명에 따른 반도체 디바이스(390)는 마이크로프로세서(392) 등의 다른 디바이스와 함께 인쇄 회로 기판(394) 예를 들어, 컴퓨터 마더보드에 부착될 수 있거나 또는 개인용 컴퓨터, 미니컴퓨터 또는 메인프레임(396)에 사용된 메모리 모듈의 일부로서 부착될 수 있다. 마이크로프로세서 및/또는 메모리 디바이스들은 본 발명의 실시예로 형성될 수 있다(또는 그렇지 않은 경우 포함할 수 있다). 도 39는 또한 하우징(396)을 포함하는 다른 전자 디바이스에서, 예를 들어, 전화통신, 자동차 산업, 반도체 테스트 및 제조 장비, 소비자 전자제품들, 또는 사실상 소비자 또는 산업 전자 장비의 임의 부분과 관련된 마이크로프로세서(392)를 포함하는 디바이스에서 디바이스(390)의 사용을 나타낼 수 있다.
본 명세서에서 기술된 프로세스 및 구조들은 본 발명의 프로세스에 따라 형성된 패턴된 층을 포함하는 다수의 상이한 구조들을 제조하는데 사용될 수 있다. 도 40은 예를 들어, 본 발명의 실시예를 이용하여 형성될 수 있는 콘테이너 커패시터, 트랜지스터 게이트들 및 그외의 피처들을 갖는 DRAM(dynamic random access memory) 등의 메모리 디바이스의 간략화된 블록도이다. 그러한 디바이스의 일반적인 동작은 본 기술분야의 당업자에게 공지되어 있다. 도 40은 메모리 디바이스(390)에 접속된 프로세서(392)를 도시하며, 또한 메모리 집적 회로의 후속하는 기본 부분들, 즉, 제어 회로(400), 행(row) 어드레스 버퍼(402), 열(column) 어드레스 버퍼(404), 행 디코더(406), 열 디코더(408), 감지 증폭기(410), 메모리 어레이(412) 및 데이터 입력/출력(414)을 기술한다.
본 발명은 예시적인 실시예들을 참조로 하여 설명되었지만, 이러한 설명은 한정적 의미로 해석되지 않아야 한다. 예시적인 실시예들의 여러 변경 및 본 발명의 추가적인 실시예들은 이러한 설명을 참조함에 따라 본 기술분야의 당업자에게는 명백할 것이다. 예를 들어, 포토레지스트로 형성되는 것으로 기술된 구조들은 비결정질 탄소(AC), 투명 탄소(TC), 다중층 레지스트(MLR), 이중층 레지스트(bilayer resist;BLR) 등의 그외의 물질로 형성될 수 있다. 건식 현상 에칭(dry development etch)이 수행되어 포토레지스트 층으로부터 패턴을 유전체 반사방지(anti-reflective) 코팅(DARC) 또는 유기 난반사 방지 코팅(bottom anti-reflective coating;BARC)으로 전사하고, 이어서 비결정질 탄소, 투명 탄소, 하부 다중층 레지스트 또는, 다중층 레지스트 또는 이중층 레지스트의 하부층에 전사한다. 또한 트림은, 그것이 이용된다면, 건식 현상 에칭 이전에 포토레지스트에 대해 또는 건식 현상 에칭 이후에 하부 층에 대해 수행될 수 있다.
여러 실시예들에서, 스페이서 두께는 목표 CD와 같은 것으로 가정한다. 결과적으로, 라인들 및 스페이드들은 동일 폭을 갖게 된다. 그러나 두 가지 유형의 스페이서 물질들의 스페이서 두께는 상이할 수 있어서, 두 개의 스페이서 두께의 합이 최종 피치와 같은 한 여러 듀티 사이클을 갖는 최종 패턴이 형성될 수 있다. 예를 들어, 피치 3중 저감 프로세스 동안, 두꺼운 제1 스페이서가 얇은 제2 스페이서와 함께 사용될 수 있다. 제1 스페이서가 선택적으로 제거된 후, 완화된 피치의 최종 패턴(즉, 스페이서 보다 작은 라인)이 원래 밀도의 3배의 밀도로 형성된다. 이는 예를 들어, 얕은 트렌치 절연 프로세스와 함께 사용되는 경우 일부 실시예들에서 바람직할 수 있다. 따라서 첨부된 청구범위들은 본 발명의 진정한 범주 내에 있는 그러한 임의의 변경 또는 실시예들을 포함하는 것으로 해석된다.

Claims (29)

  1. 반도체 디바이스의 제조 중에 사용되는 방법으로서,
    에칭 대상 층(layer to be etched)을 제공하는 단계;
    상기 에칭 대상 층 위에 희생 패터닝 층을 형성하는 단계 - 상기 희생 패터닝 층은 적어도 제1 및 제2 단면 측벽들을 갖는 복수의 단편(segmented) 부분들을 포함함-;
    복수의 제1 희생 스페이서들을 형성하는 단계- 하나의 스페이서는 상기 희생 패터닝 층의 각각의 단편 부분의 각각의 측벽 상에 형성됨-;
    상기 희생 패터닝 층을 제거하는 단계;
    상기 복수의 제1 희생 스페이서들 위에 등각 제2 스페이서 층을 형성하는 단계;
    상기 제1 희생 스페이서들 상에 복수의 제2 스페이서들을 형성하도록 상기 등각 제2 스페이서 층의 일부분을 제거하는 단계;
    제2 스페이서들의 형성에 후속하여 상기 제1 희생 스페이서들을 제거하는 단계; 및
    상기 제2 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 희생 패터닝 층의 각각의 부분은 제1 폭을 포함하고,
    상기 방법은,
    상기 제1 폭 보다 약 25% 협소한 제2 폭을 갖도록 각각의 희생 패터닝 층의 제1 폭을 트리밍(trimming)하는 단계;
    상기 제1 폭의 약 25%인 폭을 갖도록 상기 복수의 제1 스페이서들 각각을 형성하는 단계; 및
    상기 제2 스페이서들 각각이 상기 제1 폭의 약 25%인 폭을 갖도록 등각 제2 스페이서 층을 에칭하는 단계
    를 더 포함하는 방법.
  3. 제2항에 있어서,
    상기 에칭 대상 층으로부터 피처들(features)을 형성하도록 상기 에칭 대상 층을 에칭하는 단계를 더 포함하고, 상기 에칭 대상 층으로부터 형성된 각각의 피처는 상기 제1 폭의 약 25%인 폭을 포함하는 방법.
  4. 제3항에 있어서,
    상기 제1 폭의 약 두 배의 트리밍되기 전의(pretrimmed) 피치를 갖도록 상기 희생 층의 복수의 단편 부분을 형성하는 단계; 및
    상기 피처들이, 상기 희생 층의 단편 부분들의 트리밍되기 전의 피치의 약 25%인 피치를 갖도록 형성하기 위해 상기 에칭 대상 층을 에칭하는 단계를 더 포함하는 방법.
  5. 제1항에 있어서,
    상기 복수의 단편 부분들이 제1 밀도를 갖도록 상기 희생 패터닝 층을 형성하는 단계; 및
    복수의 피처들을 형성하기 위해 상기 제2 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계 - 상기 복수의 피처들은 상기 제1 밀도의 약 4배인 제2 밀도를 가짐 -
    를 포함하는 방법.
  6. 제1항에 있어서,
    상기 희생 패터닝 층의 각각의 부분은 제1 폭을 포함하며,
    상기 방법은,
    상기 제1 폭의 약 33%인 폭을 갖도록 복수의 제1 스페이서들 각각을 형성하는 단계;
    상기 제2 스페이서들 각각은 상기 제1 폭의 약 33%인 폭을 갖도록 상기 등각 제2 스페이서 층을 에칭시키는 단계
    를 더 포함하는 방법.
  7. 제6항에 있어서,
    상기 에칭 대상 층으로부터 피처들을 형성하도록 상기 에칭 대상 층을 에칭하는 단계를 더 포함하고, 상기 에칭 대상 층으로부터 형성된 각각의 피처는 상기 제1 폭의 약 33%인 폭을 포함하는 방법.
  8. 제7항에 있어서,
    상기 제1 폭의 약 두 배의 미리 결정된 피치를 갖도록 상기 희생 층의 복수의 단편 부분을 형성하는 단계; 및
    상기 피처들이, 상기 희생 층의 단편 부분들의 미리 결정된 피치의 약 33%인 피치를 갖도록 형성하기 위해 상기 에칭 대상 층을 에칭하는 단계
    를 더 포함하는 방법.
  9. 제1항에 있어서,
    상기 복수의 단편 부분들이 제1 밀도를 갖도록 희생 패터닝 층을 형성하는 단계; 및
    복수의 피처들을 형성하기 위해 상기 제2 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계 - 상기 복수의 피처들은 상기 제1 밀도의 약 3배인 제2 밀도를 가짐 -
    를 더 포함하는 방법.
  10. 제1항에 있어서,
    포토레지스트로부터 상기 희생 패터닝 층을 형성하는 단계를 더 포함하는 방법.
  11. 제1항에 있어서,
    투명 탄소(transparent carbon), 다중 층 레지스트 및 이중 층 레지스트로 이루어진 그룹에서 선택된 물질로부터 상기 희생 패터닝 층을 형성하는 단계를 더 포함하는 방법.
  12. 반도체 디바이스의 제조 중에 사용되는 방법으로서,
    에칭 대상 층을 제공하는 단계;
    상기 에칭 대상 층 위에 희생 패터닝 층을 형성하는 단계 - 상기 희생 패터닝 층은 거의 동일한 시작 폭, 상기 시작 폭의 약 두 배인 원래 피치, 제1 및 제2 단면 측벽 및 시작 피처 밀도를 각각 갖는 복수의 단편 부분들을 포함함 - ;
    1/2m 관계를 이용하여 원하는 피처 저감을 선택하는 단계 - "m"은 2 이상인 정수이고, 완성된 피처 밀도는 상기 시작 피처 밀도의 약 2m 배이고, 완성된 피처 피치는 상기 원래 피치의 약 1/2m 배일 것임 - ;
    상기 시작 폭의 약 1/2m배인 양 만큼 제1 희생 패터닝 층의 각각의 단편 부분의 폭을 트리밍하는 단계;
    복수의 제1 스페이서들을 형성하는 단계 - 제1 및 제2 측벽들 각각 위에 하 나의 스페이서가 형성되고, 각각의 스페이서는 상기 시작 폭의 1/2m과 거의 동일한 목표 폭을 가짐 - ;
    상기 희생 패터닝 층을 제거하는 단계;
    복수의 제1 스페이서들 상에 등각 제2 스페이서 층을 형성하는 단계;
    상기 제1 스페이서들 상에 복수의 제2 스페이서들을 형성하도록 상기 등각 제2 스페이서 층의 일부분을 제거하는 단계;
    상기 등각 제2 스페이서 층의 상기 일부분의 제거에 후속하여,
    "m"이 짝수인 경우, 상기 제2 스페이서들이 남아있도록 제1 스페이서들을 제거하는 단계, 또는
    "m"이 홀수인 경우, 상기 제1 스페이서들이 남아있도록 제2 스페이서들을 제거하는 단계; 및
    나머지 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 포함하는 방법.
  13. 제12항에 있어서,
    "m"을 짝수로 선택하는 단계;
    상기 제2 스페이서들이 남아있도록 상기 제1 스페이서들을 제거하는 단계; 및
    적어도 상기 제2 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에 칭하는 단계
    를 더 포함하는 방법.
  14. 제13항에 있어서,
    상기 원하는 피처 저감이 1/2m이 되도록 선택하는 단계 - 상기 완성된 피처 밀도가 상기 시작 피처 밀도의 약 4배이고, 상기 완성된 피처 피치가 상기 원래 피치의 약 1/4배가 되도록 "m"은 2임-;
    상기 제2 스페이서들이 남아있도록 상기 제1 스페이서들을 제거하는 단계; 및
    상기 제2 스페이서들만을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 더 포함하는 방법.
  15. 제13항에 있어서,
    상기 원하는 피처 저감이 1/2m이 되도록 선택하는 단계 - "m"은 4 이상인 짝수임 - ;
    상기 제1 스페이서들 위에 그리고 상기 제2 스페이서들 상에 등각 제3 스페이서 층을 형성하는 단계;
    제3 스페이서들을 형성하도록 상기 등각 제3 스페이서 층의 일부분을 제거하는 단계;
    상기 제1 및 제2 스페이서들 위에 그리고 상기 제3 스페이서들 상에 등각 제4 스페이서 층을 형성하는 단계;
    제4 스페이서들을 형성하도록 상기 등각 제4 스페이서 층의 일부분을 제거하는 단계;
    상기 제3 및 제1 스페이서들을 제거하고, 상기 제2 및 제4 스페이서들을 남겨두는 단계; 및
    상기 제2 및 제4 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 더 포함하는 방법.
  16. 제12항에 있어서,
    상기 원하는 피처 저감이 홀수가 되도록 선택하는 단계;
    상기 제1 스페이서들 위에 그리고 상기 제2 스페이서들 상에 제3 스페이서 층을 형성하는 단계;
    제3 스페이서들을 형성하도록 상기 제3 스페이서 층의 일부분을 제거하는 단계;
    상기 제2 스페이서들을 제거하고, 상기 제1 및 제3 스페이서들을 남겨두는 단계; 및
    적어도 상기 제1 및 제3 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 더 포함하는 방법.
  17. 제15항에 있어서,
    상기 원하는 피처 저감이 1/2m이 되도록 선택하는 단계 - 상기 완성된 피처 밀도가 상기 시작 피처 밀도의 약 6배이고, 상기 완성된 피처 피치가 상기 원래 피치의 약 1/6배가 되도록 "m"은 3임 - ; 및
    제1 및 제3 스페이서들만을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계를 더 포함하는 방법.
  18. 반도체 디바이스의 제조 중에 사용되는 방법으로서,
    에칭 대상 층을 제공하는 단계;
    상기 에칭 대상 층 위에 희생 패터닝 층을 형성하는 단계 - 상기 희생 패터닝 층은 대략 같은 시작 폭, 상기 시작 폭의 약 두 배의 원래 피치, 제1 및 제2 단면 측벽들 및 시작 피처 밀도를 각각 갖는 복수의 단편 부분들을 포함함 - ;
    1/(2m-1)을 이용하여 원하는 피처 저감을 선택하는 단계 - "m"은 2 이상의 정수이고, 완성된 피처 밀도는 상기 시작 피처 밀도의 약 (2m-1)배이고, 완성된 피처 피치는 상기 원래 피치의 약 1/(2m-1)배임 - ;
    복수의 제1 스페이서들을 형성하는 단계 - 제1 및 제2 측벽들 각각에 하나의 제1 스페이서가 형성되고, 각각의 제1 스페이서는 상기 시작 폭의 1/(2m-1)배와 거의 동일한 목표 폭을 가짐 - ;
    상기 희생 패터닝 층을 제거하는 단계;
    상기 복수의 제1 스페이서들 상에 등각 제2 스페이서 층을 형성하는 단계;
    상기 제1 스페이서들 상에 복수의 제2 스페이서들을 형성하기 위해 상기 등각 제2 스페이서 층의 일부분을 제거하는 단계;
    상기 제1 스페이서들 상에 복수의 제2 스페이서들을 형성하는 것에 후속하여,
    "m"이 짝수인 경우, 상기 제2 스페이서들이 남아있도록 상기 제1 스페이서들을 제거하는 단계, 또는
    "m"이 홀수인 경우, 상기 제1 스페이서들이 남아있도록 상기 제2 스페이서들을 제거하는 단계; 및
    나머지 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 포함하는 방법.
  19. 제18항에 있어서,
    "m"을 짝수로 선택하는 단계;
    상기 제2 스페이서들이 남아 있도록 상기 제1 스페이서들을 제거하는 단계; 및
    적어도 상기 제2 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 더 포함하는 방법.
  20. 제19항에 있어서,
    상기 원하는 피처 저감이 1/(2m-1)이 되도록 선택하는 단계 - 상기 완성된 피처 밀도가 상기 시작 피처 밀도의 약 3배이고, 상기 완성된 피처 피치가 상기 원래 피치의 약 1/3배가 되도록 "m"은 2임-;
    상기 제2 스페이서들이 남아있도록 상기 제1 스페이서들을 제거하는 단계; 및
    상기 제2 스페이서들만을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 더 포함하는 방법.
  21. 제19항에 있어서,
    상기 원하는 피처 저감이 1/(2m-1)가 되도록 선택하는 단계 - "m"은 4이상의 짝수임 - ;
    상기 제1 스페이서들 위에 그리고 상기 제2 스페이서들 상에 등각 제3 스페이서 층을 형성하는 단계;
    제3 스페이서들을 형성하기 위해 상기 등각 제3 스페이서 층의 일부분을 제거하는 단계;
    상기 제1 및 제2 스페이서들 위에 그리고 상기 제3 스페이서들 상에 등각 제 4 스페이서 층을 형성하는 단계;
    제4 스페이서들을 형성하기 위해 상기 등각 제4 스페이서 층의 일부분을 제거하는 단계;
    상기 제3 및 제1 스페이서들을 제거하고, 상기 제2 및 제4 스페이서들을 남겨두는 단계; 및
    상기 제2 및 제4 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 더 포함하는 방법.
  22. 제18항에 있어서,
    상기 원하는 피처 저감을 홀수로 선택하는 단계;
    상기 제1 스페이서들 위에 그리고 상기 제2 스페이서들 상에 제3 스페이서 층을 형성하는 단계;
    제3 스페이서들을 형성하도록 상기 제3 스페이서 층의 일부분을 제거하는 단계; 및
    상기 제2 스페이서들을 제거하고 상기 제1 및 제3 스페이서들을 남겨두는 단계; 및
    적어도 상기 제1 및 제3 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 더 포함하는 방법.
  23. 제21항에 있어서,
    상기 원하는 피처 저감이 1/(2m-1)이 되도록 선택하는 단계 - 상기 완성된 피처 밀도가 상기 시작 피처 밀도의 약 5배이고, 상기 완성된 피처 피치가 상기 원래 피치의 약 1/5배가 되도록 "m"은 3임 - ; 및
    상기 제1 및 제3 스페이서들만을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 더 포함하는 방법.
  24. 전자 시스템의 제조 중에 사용되는 방법으로서,
    에칭 대상 층을 제공하는 단계,
    상기 에칭 대상 층 위에 희생 패터닝 층을 형성하는 단계 - 상기 희생 패터닝 층은 적어도 제1 및 제2 단면 측벽들을 갖는 복수의 단편 부분들을 포함함 - ,
    복수의 제1 희생 스페이서들을 형성하는 단계 - 상기 희생 패터닝 층의 각각의 단편 부분의 각각의 측벽 상에 하나의 스페이서가 형성됨 - ,
    상기 희생 패터닝 층을 제거하는 단계,
    상기 복수의 제1 희생 스페이서들 위에 등각 제2 스페이서 층을 형성하는 단계,
    상기 제1 희생 스페이서들 상에 복수의 제2 스페이서들을 형성하기 위해 상기 등각 제2 스페이서 층의 일부분을 제거하는 단계,
    상기 제2 스페이서들 형성에 후속하여, 상기 제1 희생 스페이서들을 제거하는 단계, 및
    상기 제2 스페이서들을 패턴으로서 이용하여 상기 에칭 대상 층을 에칭하는 단계
    를 포함하는 방법을 이용하여 반도체 디바이스를 제조하는 단계;
    마이크로프로세서를 제공하는 단계: 및
    상기 반도체 디바이스와 상기 마이크로프로세서 사이의 전기적 통신을 용이하게 하도록 이들 사이에 전기적 경로를 제공하는 단계
    를 포함하는 방법.
  25. 제조 과정 중(in-process)의 반도체 디바이스로서,
    에칭 대상 층; 및
    복수의 평탄화 스페이서들을 포함하는 에칭 마스크 - 복수의 스페이서들 중 적어도 두 개는 상이한 물질을 포함하고, 상기 복수의 스페이서들은 상기 에칭 대상 층 위에 놓이는 동일평면(coplanar) 상부면들을 가짐 -
    를 포함하는 제조 과정 중의 반도체 디바이스.
  26. 반도체 디바이스로서,
    포토리소그래피의 임계 치수(critical dimension)의 1/n배인 치수를 포함하는 에칭된 피처 - "n"은 2 보다 큰 정수임 -
    를 포함하는 반도체 디바이스.
  27. 제26항에 있어서,
    상기 에칭된 피처는 포토리소그래피의 임계 치수의 1/n배인 치수를 포함 - "n"은 3 이상의 홀수 정수- 하는 반도체 디바이스.
  28. 제조 과정 중의 반도체 디바이스로서,
    에칭 대상 층; 및
    복수의 분리된, 교호하는 제1 및 제2 마스크 층 부분들을 갖는 단면부를 포함하는 상기 에칭 대상 층 위에 놓이는 마스크 층
    을 포함하고,
    상기 제1 마스크 층 부분들 각각은 단일의 수직 배향 필라(pillar)를 포함하고,
    상기 제2 마스크 층 부분들 각각은 수평 배향 단편부분에 의해 접속된 수직 배향 필라들의 쌍을 포함하는 제조 과정 중의 반도체 디바이스.
  29. 제28항에 있어서,
    복수의 희생 스페이서들을 더 포함하고, 상기 복수의 희생 스페이서들 중 하나는 각각의 제1 및 제2 마스크 층 부분 사이에 개재되는 제조 과정 중의 반도체 디바이스.
KR1020097000536A 2006-07-10 2007-07-09 반도체 디바이스의 형성에서 교호 스페이서 성막을 이용한 피치 저감 기술 및 이를 포함하는 시스템 KR20090018725A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/484,271 US8852851B2 (en) 2006-07-10 2006-07-10 Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US11/484,271 2006-07-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020127020962A Division KR101573286B1 (ko) 2006-07-10 2007-07-09 반도체 디바이스의 형성에서 교호 스페이서 성막을 이용한 피치 저감 기술 및 이를 포함하는 시스템

Publications (1)

Publication Number Publication Date
KR20090018725A true KR20090018725A (ko) 2009-02-20

Family

ID=38919499

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127020962A KR101573286B1 (ko) 2006-07-10 2007-07-09 반도체 디바이스의 형성에서 교호 스페이서 성막을 이용한 피치 저감 기술 및 이를 포함하는 시스템
KR1020097000536A KR20090018725A (ko) 2006-07-10 2007-07-09 반도체 디바이스의 형성에서 교호 스페이서 성막을 이용한 피치 저감 기술 및 이를 포함하는 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020127020962A KR101573286B1 (ko) 2006-07-10 2007-07-09 반도체 디바이스의 형성에서 교호 스페이서 성막을 이용한 피치 저감 기술 및 이를 포함하는 시스템

Country Status (7)

Country Link
US (8) US8852851B2 (ko)
JP (1) JP5453650B2 (ko)
KR (2) KR101573286B1 (ko)
CN (2) CN101490807B (ko)
SG (1) SG173362A1 (ko)
TW (1) TWI351738B (ko)
WO (1) WO2008008338A2 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013109645A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
KR101403832B1 (ko) * 2010-05-07 2014-06-03 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9685332B2 (en) 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
KR20190078291A (ko) * 2017-12-26 2019-07-04 삼성전자주식회사 집적회로 소자의 제조 방법

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
TWI374478B (en) * 2007-02-13 2012-10-11 Rohm & Haas Elect Mat Electronic device manufacture
US7790360B2 (en) * 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US7504287B2 (en) * 2007-03-22 2009-03-17 Advanced Micro Devices, Inc. Methods for fabricating an integrated circuit
US8143156B2 (en) * 2007-06-20 2012-03-27 Sandisk Technologies Inc. Methods of forming high density semiconductor devices using recursive spacer technique
KR100876892B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US7981749B2 (en) * 2007-08-20 2011-07-19 GlobalFoundries, Inc. MOS structures that exhibit lower contact resistance and methods for fabricating the same
JP5086283B2 (ja) * 2008-02-15 2012-11-28 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
JP4550126B2 (ja) * 2008-04-25 2010-09-22 東京エレクトロン株式会社 エッチングマスク形成方法、エッチング方法、および半導体デバイスの製造方法
US7989307B2 (en) * 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009289974A (ja) * 2008-05-29 2009-12-10 Toshiba Corp 半導体装置の製造方法
US7811924B2 (en) * 2008-06-16 2010-10-12 Applied Materials, Inc. Air gap formation and integration using a patterning cap
US7883829B2 (en) * 2008-08-01 2011-02-08 International Business Machines Corporation Lithography for pitch reduction
US8039399B2 (en) * 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
KR20100052598A (ko) * 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8105901B2 (en) * 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
JP5574679B2 (ja) * 2009-11-17 2014-08-20 株式会社東芝 半導体装置の製造方法
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
NL2006655A (en) * 2010-06-28 2011-12-29 Asml Netherlands Bv Multiple patterning lithography using spacer and self-aligned assist patterns.
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8730473B2 (en) * 2010-09-28 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple edge enabled patterning
US20120085733A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Self aligned triple patterning
CN102693898B (zh) * 2011-03-21 2016-02-24 华邦电子股份有限公司 缩小间距的方法
US9054160B2 (en) 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8822137B2 (en) * 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
CN102446748A (zh) * 2011-08-04 2012-05-09 上海华力微电子有限公司 一种缩小侧墙定义的两次图形曝光工艺中最小线宽的方法
KR101807665B1 (ko) 2011-08-23 2017-12-12 삼성전자 주식회사 미세 패턴의 형성 방법
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
JP2013143398A (ja) 2012-01-06 2013-07-22 Toshiba Corp 半導体装置の製造方法
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
JP6089451B2 (ja) * 2012-05-30 2017-03-08 大日本印刷株式会社 ナノインプリントモールドおよびその製造方法
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
KR20140020150A (ko) * 2012-08-08 2014-02-18 에스케이하이닉스 주식회사 반도체 소자의 제조 방법
TWI581055B (zh) * 2012-10-02 2017-05-01 聯華電子股份有限公司 形成光罩的方法
WO2014088918A1 (en) * 2012-12-03 2014-06-12 Applied Materials, Inc Semiconductor device processing tools and methods for patterning substrates
US8835328B2 (en) * 2013-02-08 2014-09-16 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved semiconductor fin structures
CN104347421A (zh) * 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
US8987008B2 (en) * 2013-08-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout and method with double patterning
US9613806B2 (en) * 2013-09-04 2017-04-04 Sandisk Technologies Llc Triple patterning NAND flash memory
US9916988B2 (en) * 2013-09-25 2018-03-13 Intel Corporation Sacrificial material for stripping masking layers
US9165770B2 (en) * 2013-09-26 2015-10-20 GlobalFoundries, Inc. Methods for fabricating integrated circuits using improved masks
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
JP5926752B2 (ja) * 2014-02-20 2016-05-25 東京エレクトロン株式会社 半導体装置の製造方法及び半導体製造装置
US9362169B2 (en) 2014-05-01 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned semiconductor fabrication with fosse features
TW201543564A (zh) * 2014-05-09 2015-11-16 Powerchip Technology Corp 半導體製程
TWI621210B (zh) * 2014-08-27 2018-04-11 聯華電子股份有限公司 一種製作半導體元件的方法
US9754785B2 (en) 2015-01-14 2017-09-05 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
KR102284888B1 (ko) * 2015-01-15 2021-08-02 삼성전자주식회사 반도체 장치
US9449880B1 (en) * 2015-02-26 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin patterning methods for increased process margin
KR102341458B1 (ko) 2015-04-15 2021-12-20 삼성전자주식회사 반도체 장치 제조 방법
TWI555082B (zh) * 2015-05-15 2016-10-21 力晶科技股份有限公司 圖案化方法
US9812325B2 (en) * 2015-09-03 2017-11-07 Tokyo Electron Limited Method for modifying spacer profile
JP6473060B2 (ja) * 2015-09-11 2019-02-20 東芝メモリ株式会社 半導体装置の製造方法
KR102436100B1 (ko) * 2015-09-24 2022-08-24 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
KR102311186B1 (ko) 2015-11-19 2021-10-08 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
WO2017111924A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Grating based plugs and cuts for feature end formation for back end of line (beol) interconnects and structures resulting therefrom
KR102398664B1 (ko) * 2016-01-26 2022-05-16 삼성전자주식회사 반도체 소자의 제조 방법
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
WO2018031007A1 (en) 2016-08-10 2018-02-15 Intel Corporation Quantum dot array devices
US10002762B2 (en) * 2016-09-09 2018-06-19 International Business Machines Corporation Multi-angled deposition and masking for custom spacer trim and selected spacer removal
KR102239765B1 (ko) * 2016-09-20 2021-04-12 도쿄엘렉트론가부시키가이샤 셀프얼라인 멀티패터닝 기술을 위한 스페이서 형성
US9818613B1 (en) 2016-10-18 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
KR102230086B1 (ko) * 2016-11-16 2021-03-18 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝 방법
US10312103B2 (en) 2017-02-28 2019-06-04 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10103022B2 (en) * 2017-03-20 2018-10-16 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
CN108735585B (zh) * 2017-04-17 2019-06-28 联华电子股份有限公司 掩模图案的制作方法
KR102221220B1 (ko) 2017-05-24 2021-03-03 삼성전자주식회사 반도체 장치
CN109119330B (zh) * 2017-06-23 2021-02-12 中芯国际集成电路制造(天津)有限公司 一种半导体器件的形成方法
CN109216163A (zh) * 2017-06-29 2019-01-15 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US10283362B2 (en) * 2017-08-17 2019-05-07 Nanya Technology Corporation Method of forming fine line patterns of semiconductor devices
US10475736B2 (en) 2017-09-28 2019-11-12 Intel Corporation Via architecture for increased density interface
US10991584B2 (en) * 2017-12-19 2021-04-27 International Business Machines Corporation Methods and structures for cutting lines or spaces in a tight pitch structure
CN110233107A (zh) * 2018-03-05 2019-09-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP7395094B2 (ja) * 2018-03-20 2023-12-11 東京エレクトロン株式会社 プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
CN108511330A (zh) * 2018-03-29 2018-09-07 上海华力集成电路制造有限公司 掩模图案的形成方法、半导体器件和集成电路
CN116837349A (zh) * 2018-07-26 2023-10-03 东京毅力科创株式会社 等离子体处理装置
US10636658B1 (en) 2019-01-23 2020-04-28 Micron Technology, Inc. Methods of forming patterns, and methods of patterning conductive structures of integrated assemblies
US10651129B1 (en) 2019-02-12 2020-05-12 Micron Technology, Inc. Methods of forming alignment marks during patterning of semiconductor material
US11189561B2 (en) * 2019-09-18 2021-11-30 International Business Machines Corporation Placing top vias at line ends by selective growth of via mask from line cut dielectric
FR3104809B1 (fr) * 2019-12-11 2021-12-17 Commissariat Energie Atomique Procede de realisation d’une couche de materiau structuree
US11177160B2 (en) * 2020-03-24 2021-11-16 International Business Machines Corporation Double patterned lithography using spacer assisted cuts for patterning steps
CN112038231B (zh) * 2020-09-09 2024-08-27 长江存储科技有限责任公司 一种半导体器件的制造方法
KR20220120014A (ko) 2021-02-22 2022-08-30 에스케이하이닉스 주식회사 반도체 장치 제조 방법
US11908732B2 (en) 2021-09-15 2024-02-20 International Business Machines Corporation Alternating spacers for pitch structure

Family Cites Families (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5646531Y2 (ko) 1976-11-30 1981-10-30
JPS5646531U (ko) 1979-09-19 1981-04-25
JPS5646531A (en) 1979-09-25 1981-04-27 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JPS58157135A (ja) 1982-03-15 1983-09-19 Matsushita Electric Ind Co Ltd パタ−ン形成方法
JPS58157135U (ja) 1982-04-17 1983-10-20 柳田 信義 指圧板
JPS59211231A (ja) 1983-05-16 1984-11-30 Matsushita Electric Ind Co Ltd パタ−ン形成方法
BE900156A (fr) 1984-07-13 1985-01-14 Itt Ind Belgium Procede pour superposer deux couches de vernis photosensibles positifs.
JPH0677180B2 (ja) 1985-07-02 1994-09-28 スタンレー電気株式会社 立体画像の表示装置
JPS64994A (en) 1987-06-24 1989-01-05 Yaskawa Electric Mfg Co Ltd Display system for fa controller
JPS6435916A (en) 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
JPS6435916U (ko) 1987-08-28 1989-03-03
US4910168A (en) 1988-05-06 1990-03-20 Mos Electronics Corporation Method to reduce silicon area for via formation
JPH01292829A (ja) 1988-05-19 1989-11-27 Mitsubishi Electric Corp 半導体装置の製造方法
US5008207A (en) 1989-09-11 1991-04-16 International Business Machines Corporation Method of fabricating a narrow base transistor
JPH03270227A (ja) 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5382315A (en) 1991-02-11 1995-01-17 Microelectronics And Computer Technology Corporation Method of forming etch mask using particle beam deposition
GB9103080D0 (en) 1991-02-14 1991-04-03 British And Foreign Bible The Analysing textual documents
US5372916A (en) 1991-09-12 1994-12-13 Hitachi, Ltd. X-ray exposure method with an X-ray mask comprising phase shifter sidewalls
US5703675A (en) 1992-01-17 1997-12-30 Nikon Corporation Projection-exposing apparatus with deflecting grating member
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JPH0677180A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
JPH06275577A (ja) 1993-03-23 1994-09-30 Sumitomo Metal Ind Ltd 半導体装置のコンタクトホール形成方法
JP3270227B2 (ja) 1993-05-26 2002-04-02 富士写真フイルム株式会社 電動巻き上げ装置
US5429988A (en) 1994-06-13 1995-07-04 United Microelectronics Corporation Process for producing high density conductive lines
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
US5610486A (en) 1995-02-28 1997-03-11 Sgs-Thomson Microelectronics, Inc. Current mirror circuit used in a coil driver circuit of a brushless DC motor
DE19526011C1 (de) 1995-07-17 1996-11-28 Siemens Ag Verfahren zur Herstellung von sublithographischen Ätzmasken
US5905279A (en) 1996-04-09 1999-05-18 Kabushiki Kaisha Toshiba Low resistant trench fill for a semiconductor device
US7064376B2 (en) 1996-05-24 2006-06-20 Jeng-Jye Shau High performance embedded semiconductor memory devices with multiple dimension first-level bit-lines
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
TW454339B (en) 1997-06-20 2001-09-11 Hitachi Ltd Semiconductor integrated circuit apparatus and its fabricating method
JP2006245625A (ja) 1997-06-20 2006-09-14 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6207523B1 (en) 1997-07-03 2001-03-27 Micron Technology, Inc. Methods of forming capacitors DRAM arrays, and monolithic integrated circuits
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (ko) 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6087263A (en) 1998-01-29 2000-07-11 Micron Technology, Inc. Methods of forming integrated circuitry and integrated circuitry structures
US6605541B1 (en) 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6140217A (en) * 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6303272B1 (en) 1998-11-13 2001-10-16 International Business Machines Corporation Process for self-alignment of sub-critical contacts to wiring
EP1039533A3 (en) 1999-03-22 2001-04-04 Infineon Technologies North America Corp. High performance dram and method of manufacture
US6667502B1 (en) 1999-08-31 2003-12-23 Micron Technology, Inc. Structurally-stabilized capacitors and method of making of same
US6174818B1 (en) 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
US6339241B1 (en) 2000-06-23 2002-01-15 International Business Machines Corporation Structure and process for 6F2 trench capacitor DRAM cell with vertical MOSFET and 3F bitline pitch
KR100340879B1 (ko) 2000-06-29 2002-06-20 박종섭 반도체 소자의 미세 패턴 형성방법 및 이를 이용한 게이트 전극 형성방법
US6429123B1 (en) 2000-10-04 2002-08-06 Vanguard International Semiconductor Corporation Method of manufacturing buried metal lines having ultra fine features
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6580136B2 (en) 2001-01-30 2003-06-17 International Business Machines Corporation Method for delineation of eDRAM support device notched gate
US6756277B1 (en) * 2001-02-09 2004-06-29 Advanced Micro Devices, Inc. Replacement gate process for transistors having elevated source and drain regions
US6383952B1 (en) 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6545904B2 (en) 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
TW558471B (en) 2001-03-28 2003-10-21 Phild Co Ltd Method and device for manufacturing metallic particulates and manufactured metallic particulates
US6455433B1 (en) * 2001-03-30 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming square-shouldered sidewall spacers and devices fabricated
US6627524B2 (en) 2001-06-06 2003-09-30 Micron Technology, Inc. Methods of forming transistor gates; and methods of forming programmable read-only memory constructions
US20030008968A1 (en) 2001-07-05 2003-01-09 Yoshiki Sugeta Method for reducing pattern dimension in photoresist layer
US6590817B2 (en) 2001-07-23 2003-07-08 Micron Technology, Inc. 6F2 DRAM array with apparatus for stress testing an isolation gate and method
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US6951822B2 (en) 2001-09-28 2005-10-04 Infineon Technologies North America Corp. Method for forming inside nitride spacer for deep trench device DRAM cell
KR100569536B1 (ko) 2001-12-14 2006-04-10 주식회사 하이닉스반도체 Relacs 물질을 이용하여 패턴 붕괴를 방지하는 방법
KR100843888B1 (ko) 2001-12-14 2008-07-03 주식회사 하이닉스반도체 Relacs 물질을 이용하여 식각 내성이 향상된포토레지스트 패턴을 형성하는 방법
KR20030056601A (ko) 2001-12-28 2003-07-04 주식회사 하이닉스반도체 플래시 메모리 소자의 소스 라인 형성 방법
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6548401B1 (en) 2002-01-23 2003-04-15 Micron Technology, Inc. Semiconductor processing methods, and semiconductor constructions
JP2003234279A (ja) 2002-02-08 2003-08-22 Sony Corp レジストパターンの形成方法、半導体装置の製造方法およびレジストパターンの形成装置
JP3976598B2 (ja) 2002-03-27 2007-09-19 Nec液晶テクノロジー株式会社 レジスト・パターン形成方法
KR20030089063A (ko) 2002-05-16 2003-11-21 주식회사 하이닉스반도체 포토레지스트 패턴 형성방법
US6774051B2 (en) 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
JP3707780B2 (ja) 2002-06-24 2005-10-19 東京応化工業株式会社 パターン微細化用被覆形成剤およびそれを用いた微細パターンの形成方法
KR20040016678A (ko) 2002-08-19 2004-02-25 삼성전자주식회사 반도체 장치 및 그의 제조방법
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6756619B2 (en) 2002-08-26 2004-06-29 Micron Technology, Inc. Semiconductor constructions
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
KR20040025289A (ko) 2002-09-19 2004-03-24 삼성전자주식회사 고밀도 스토리지 패턴 형성방법
JP2004134574A (ja) 2002-10-10 2004-04-30 Renesas Technology Corp 半導体装置の製造方法
EP1422566A1 (en) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Multilayer photoresist systems
KR20040057582A (ko) 2002-12-26 2004-07-02 주식회사 하이닉스반도체 듀얼 다마신 구조를 갖는 미세 패턴 형성 방법
JP2004214379A (ja) 2002-12-27 2004-07-29 Toshiba Corp 半導体装置、ダイナミック型半導体記憶装置及び半導体装置の製造方法
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
JP2004247399A (ja) 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
KR100540475B1 (ko) 2003-04-04 2006-01-10 주식회사 하이닉스반도체 미세 패턴 형성이 가능한 반도체 장치 제조 방법
US6919154B2 (en) 2003-05-05 2005-07-19 Xerox Corporation Photoconductive members
WO2004100235A1 (ja) 2003-05-09 2004-11-18 Fujitsu Limited レジストの加工方法、半導体装置及びその製造方法
US6905975B2 (en) 2003-07-03 2005-06-14 Micron Technology, Inc. Methods of forming patterned compositions
US7230292B2 (en) 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7030008B2 (en) 2003-09-12 2006-04-18 International Business Machines Corporation Techniques for patterning features in semiconductor devices
JP3908213B2 (ja) 2003-09-30 2007-04-25 富士通株式会社 レジストパターンの形成方法及び半導体装置の製造方法
US7033735B2 (en) 2003-11-17 2006-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
JP4143023B2 (ja) 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
US7049652B2 (en) 2003-12-10 2006-05-23 Sandisk Corporation Pillar cell flash memory technology
US7023069B2 (en) 2003-12-19 2006-04-04 Third Dimension (3D) Semiconductor, Inc. Method for forming thick dielectric regions using etched trenches
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US7037840B2 (en) 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
US6864184B1 (en) 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
KR100781538B1 (ko) 2004-02-07 2007-12-03 삼성전자주식회사 성능이 향상된 멀티 게이트 트랜지스터용 액티브 구조의제조 방법, 이에 의해 제조된 액티브 구조 및 멀티 게이트트랜지스터
JP2005243681A (ja) 2004-02-24 2005-09-08 Tokyo Electron Ltd 膜改質方法、膜改質装置及びスリミング量の制御方法
US7390750B1 (en) 2004-03-23 2008-06-24 Cypress Semiconductor Corp. Method of patterning elements within a semiconductor topography
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7132333B2 (en) 2004-09-10 2006-11-07 Infineon Technologies Ag Transistor, memory cell array and method of manufacturing a transistor
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
DE102004034572B4 (de) 2004-07-17 2008-02-28 Infineon Technologies Ag Verfahren zum Herstellen einer Struktur auf der Oberfläche eines Substrats
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7439152B2 (en) 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
KR100640587B1 (ko) 2004-09-23 2006-11-01 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
CN100438040C (zh) 2004-10-14 2008-11-26 茂德科技股份有限公司 动态随机存取存储器的结构
US7595141B2 (en) 2004-10-26 2009-09-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
US7320911B2 (en) 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
CN1632921A (zh) * 2004-12-23 2005-06-29 上海华虹(集团)有限公司 一种可以减小栅特征尺寸的两步削减刻蚀工艺
US7390616B2 (en) 2005-01-12 2008-06-24 International Business Machines Corporation Method for post lithographic critical dimension shrinking using post overcoat planarization
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7557015B2 (en) 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7981595B2 (en) 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7166533B2 (en) 2005-04-08 2007-01-23 Infineon Technologies, Ag Phase change memory cell defined by a pattern shrink material process
KR100674970B1 (ko) 2005-04-21 2007-01-26 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
CN101223632A (zh) 2005-05-13 2008-07-16 塞克姆公司 氧化物的选择性湿蚀刻
US7517753B2 (en) 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7544563B2 (en) 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100732289B1 (ko) 2005-05-30 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 미세 콘택 형성방법
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
JP4197691B2 (ja) 2005-06-21 2008-12-17 株式会社東芝 半導体装置の製造方法
US20060288795A1 (en) 2005-06-27 2006-12-28 Vishay Measurements Group, Inc. Strain gage with off axis creep compensation feature
US7459362B2 (en) 2005-06-27 2008-12-02 Micron Technology, Inc. Methods of forming DRAM arrays
US7271108B2 (en) 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
KR100640657B1 (ko) 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
TWI264058B (en) 2005-08-09 2006-10-11 Powerchip Semiconductor Corp Method of correcting mask pattern and method of forming the same
US8153350B2 (en) 2005-08-24 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and material for forming high etch resistant double exposure patterns
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7262135B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Methods of forming layers
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7265059B2 (en) 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US20070085152A1 (en) 2005-10-14 2007-04-19 Promos Technologies Pte.Ltd. Singapore Reduced area dynamic random access memory (DRAM) cell and method for fabricating the same
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7768055B2 (en) * 2005-11-30 2010-08-03 International Business Machines Corporation Passive components in the back end of integrated circuits
US7390749B2 (en) 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
KR100784062B1 (ko) 2006-01-20 2007-12-10 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100703985B1 (ko) 2006-02-17 2007-04-09 삼성전자주식회사 반도체 소자의 제조 방법
US7745339B2 (en) 2006-02-24 2010-06-29 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
KR100694412B1 (ko) 2006-02-24 2007-03-12 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7759253B2 (en) 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
JP4801477B2 (ja) 2006-03-24 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7557013B2 (en) 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8158333B2 (en) 2006-04-11 2012-04-17 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
JP2007294511A (ja) 2006-04-21 2007-11-08 Tdk Corp レジストパターンの形成方法、薄膜パターンの形成方法及びマイクロデバイスの製造方法
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7314810B2 (en) 2006-05-09 2008-01-01 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7709341B2 (en) 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
US7628932B2 (en) 2006-06-02 2009-12-08 Micron Technology, Inc. Wet etch suitable for creating square cuts in si
US7625776B2 (en) 2006-06-02 2009-12-01 Micron Technology, Inc. Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
KR20070122049A (ko) 2006-06-23 2007-12-28 주식회사 하이닉스반도체 이중 노광 공정을 이용한 미세 패턴 형성방법
KR20070122048A (ko) 2006-06-23 2007-12-28 (주) 유식스 광학측정장치
KR100801078B1 (ko) 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR100843870B1 (ko) 2006-07-14 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4724072B2 (ja) 2006-08-17 2011-07-13 富士通株式会社 レジストパターンの形成方法、半導体装置及びその製造方法
US7521371B2 (en) * 2006-08-21 2009-04-21 Micron Technology, Inc. Methods of forming semiconductor constructions having lines
JP4319671B2 (ja) 2006-08-22 2009-08-26 富士通株式会社 レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100761857B1 (ko) 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
KR100855845B1 (ko) 2006-09-12 2008-09-01 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US7959818B2 (en) 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
US7790357B2 (en) 2006-09-12 2010-09-07 Hynix Semiconductor Inc. Method of forming fine pattern of semiconductor device
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7902081B2 (en) 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
KR20080039008A (ko) 2006-10-31 2008-05-07 한일지 돼지갈비 및 그 제조방법
KR20080038963A (ko) 2006-10-31 2008-05-07 주식회사 하이닉스반도체 콘택을 갖는 반도체소자의 제조방법
KR100913005B1 (ko) 2006-10-31 2009-08-20 주식회사 하이닉스반도체 마스크 패턴 형성 방법
KR100771891B1 (ko) 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
EP2092393A2 (en) 2006-11-14 2009-08-26 Nxp B.V. Double patterning for lithography to increase feature spatial density
US20080113483A1 (en) 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US20080120900A1 (en) * 2006-11-29 2008-05-29 Femo Operations, Lp Systems and Methods for Repelling and/or Killing Pests Using Mulch
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR20090095604A (ko) 2006-12-06 2009-09-09 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 이중 패터닝 공정을 이용한 장치 제조 방법
US7786016B2 (en) 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8236592B2 (en) 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
US7842616B2 (en) 2007-01-22 2010-11-30 Advanced Technology Development Facility, Inc. Methods for fabricating semiconductor structures
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7741015B2 (en) 2007-02-16 2010-06-22 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US7785962B2 (en) 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7790360B2 (en) 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR100880323B1 (ko) 2007-05-11 2009-01-28 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7709390B2 (en) 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
KR100886219B1 (ko) 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
KR101101785B1 (ko) 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP2009049338A (ja) 2007-08-23 2009-03-05 Toshiba Corp 半導体装置及びその製造方法
US20090074956A1 (en) 2007-09-13 2009-03-19 The Regents Of University Of Michigan Inkjet printing of materials for use in fuel cells
US20090074958A1 (en) 2007-09-13 2009-03-19 Dequan Xiao Polymeric nanocompositions comprising self-assembled organic quantum dots
DE102007052050B4 (de) 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
KR100874433B1 (ko) 2007-11-02 2008-12-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR20090050699A (ko) 2007-11-16 2009-05-20 주식회사 동부하이텍 미세 패턴 제조 방법 및 반도체 소자의 제조 방법
US8530147B2 (en) 2007-11-21 2013-09-10 Macronix International Co., Ltd. Patterning process
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
JP2009194196A (ja) 2008-02-15 2009-08-27 Nec Electronics Corp 半導体装置の製造方法および半導体装置
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
JP2009252830A (ja) 2008-04-02 2009-10-29 Toshiba Corp 半導体装置の製造方法
US7713818B2 (en) 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US8440576B2 (en) 2008-04-25 2013-05-14 Macronix International Co., Ltd. Method for pitch reduction in integrated circuit fabrication
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009289974A (ja) 2008-05-29 2009-12-10 Toshiba Corp 半導体装置の製造方法
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20100021573A1 (en) 2008-07-22 2010-01-28 Michael J Gonzalez Compositions and methods for the prevention of cardiovascular disease
US8158335B2 (en) 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
US8012675B2 (en) 2008-09-18 2011-09-06 Macronix International Co., Ltd. Method of patterning target layer on substrate
JP2010087301A (ja) 2008-09-30 2010-04-15 Toshiba Corp 半導体装置の製造方法
US8039399B2 (en) 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8080460B2 (en) 2008-11-26 2011-12-20 Micron Technology, Inc. Methods of forming diodes
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
JP5606019B2 (ja) 2009-07-21 2014-10-15 株式会社東芝 電力用半導体素子およびその製造方法
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
NL2006639A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Self-assemblable polymer and method for use in lithography.
US9305747B2 (en) 2010-11-13 2016-04-05 Mapper Lithography Ip B.V. Data path for lithography apparatus
TW201239943A (en) 2011-03-25 2012-10-01 Canon Kk Drawing apparatus and method of manufacturing article
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8664125B2 (en) * 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
JP5952007B2 (ja) 2012-01-27 2016-07-13 株式会社Screenホールディングス 基板処理装置および基板処理方法
TWI475464B (zh) 2012-03-20 2015-03-01 Acer Inc 結合磁感應天線的觸控裝置
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101403832B1 (ko) * 2010-05-07 2014-06-03 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
WO2013109645A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US9570303B2 (en) 2012-01-19 2017-02-14 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US10236182B2 (en) 2012-01-19 2019-03-19 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US10074534B2 (en) 2013-03-15 2018-09-11 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9685332B2 (en) 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
KR20180089339A (ko) * 2014-10-17 2018-08-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반복적인 셀프얼라인 패터닝
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US10014174B2 (en) 2015-06-11 2018-07-03 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
KR20190078291A (ko) * 2017-12-26 2019-07-04 삼성전자주식회사 집적회로 소자의 제조 방법

Also Published As

Publication number Publication date
CN101490807A (zh) 2009-07-22
CN101490807B (zh) 2014-07-16
CN104064457A (zh) 2014-09-24
US20240222131A1 (en) 2024-07-04
CN104064457B (zh) 2017-05-31
US20080008969A1 (en) 2008-01-10
US9761457B2 (en) 2017-09-12
US20160203993A1 (en) 2016-07-14
JP2009543378A (ja) 2009-12-03
WO2008008338A3 (en) 2008-03-20
KR101573286B1 (ko) 2015-12-02
US20170372913A1 (en) 2017-12-28
US10096483B2 (en) 2018-10-09
JP5453650B2 (ja) 2014-03-26
US8852851B2 (en) 2014-10-07
US20150021744A1 (en) 2015-01-22
US20220254644A1 (en) 2022-08-11
TWI351738B (en) 2011-11-01
KR20120092728A (ko) 2012-08-21
US10607844B2 (en) 2020-03-31
US20200203171A1 (en) 2020-06-25
US9305782B2 (en) 2016-04-05
US11935756B2 (en) 2024-03-19
WO2008008338A2 (en) 2008-01-17
US20180286693A1 (en) 2018-10-04
TW200818405A (en) 2008-04-16
SG173362A1 (en) 2011-08-29
US11335563B2 (en) 2022-05-17

Similar Documents

Publication Publication Date Title
US11935756B2 (en) Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7696101B2 (en) Process for increasing feature density during the manufacture of a semiconductor device
TWI651757B (zh) 形成圖案的方法
US7659208B2 (en) Method for forming high density patterns
US7807575B2 (en) Methods to reduce the critical dimension of semiconductor devices
US8314034B2 (en) Feature size reduction
JP2002280388A (ja) 半導体装置の製造方法
JP4095588B2 (ja) 集積回路にフォトリソグラフィ解像力を超える最小ピッチを画定する方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20120710

Effective date: 20131218