KR20090008426A - 열처리 방법 및 열처리 장치와 기판 처리 장치 - Google Patents

열처리 방법 및 열처리 장치와 기판 처리 장치 Download PDF

Info

Publication number
KR20090008426A
KR20090008426A KR1020087029103A KR20087029103A KR20090008426A KR 20090008426 A KR20090008426 A KR 20090008426A KR 1020087029103 A KR1020087029103 A KR 1020087029103A KR 20087029103 A KR20087029103 A KR 20087029103A KR 20090008426 A KR20090008426 A KR 20090008426A
Authority
KR
South Korea
Prior art keywords
organic compound
substrate
heat treatment
heating
processing
Prior art date
Application number
KR1020087029103A
Other languages
English (en)
Inventor
히데노리 미요시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090008426A publication Critical patent/KR20090008426A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Coating Apparatus (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

열처리 장치로서의 열처리 유닛(4)은 저유전율 층간 절연막이 성막된 웨이퍼 W를 수용하는 챔버(42)와, 이 챔버(42)내에 기상의 포름산을 공급하는 포름산 공급 기구(44)와, 포름산 공급 기구(44)에 의해서 포름산이 공급된 챔버(42)내에서 웨이퍼 W를 가열하는 히터(43)를 구비한다.

Description

열처리 방법 및 열처리 장치와 기판 처리 장치{HEAT TREATMENT METHOD, HEAT TREATMENT APPARATUS AND SUBSTRATE PROCESSING APPARATUS}
본 발명은 소정의 막이 도포에 의해서 성막된 기판, 혹은 저유전율 층간 절연막(low-k막)이 성막된 기판에 열처리를 실시하는 열처리 방법 및 열처리 장치와 이러한 열처리 장치를 구비한 기판 처리 장치에 관한 것이다.
반도체 디바이스는 근래, 동작 속도의 향상 및 소형화를 목적으로, 배선이 다층으로 마련되어 있다. 또, 동작 속도를 높이기 위해서는 배선의 저항 및 배선간의 전기 용량을 저감시킬 필요가 있기 때문에, 배선에는 저항이 낮은 Cu(동)가 많이 이용되고 있으며, Cu 배선간에 마련되는 층간 절연막에는 Cu 배선간의 용량이 저감되도록 저유전율 재료가 많이 이용되고 있다.
저유전율 재료로 이루어지는 저유전율 층간 절연막(low-k막)은 반도체 웨이퍼의 표면에 도포액을 공급해서 반도체 웨이퍼를 회전시키는 것에 의해 도포액을 확산하는 도포법(SOD: Spin on Dielectric), 혹은 반도체 웨이퍼의 표면에 원료 가스를 공급하여 화학반응에 의해서 분해 또는 합성하는 것에 의해 생성물을 퇴적시 키는 화학적 기상 성장법(CVD: Chemical Vapor Deposition)에 의해서 반도체 웨이퍼의 표면에 성막된다.
SOD에 의해서 low-k막을 성막한 경우에는 통상, low-k막의 내부응력을 완화하는 동시에 기계적 강도를 확보하기 위해, 성막후의 반도체 웨이퍼에 열처리가 실시된다. 또한, CVD에 의한 low-k막의 성막에서도, 선택되는 저유전율 재료에 따라서는 성막후에 열처리가 필요하게 되는 경우가 있다. 열처리는 일반적으로, 진공 또는 질소 가스 분위기하에서 실행되고 있지만, 완전한 진공 또는 질소 가스 분위기를 만들어내는 것은 극히 곤란하며, 분위기 중에는 산소 등의 불순물이 함유되기 쉽기 때문에, 이러한 방법으로는 분위기중 포함되는 산소에 의해서 1ow-k막이 열화(산화)되어 버릴 우려가 있다.
이 때문에, 반응성(환원성) 가스로서 널리 이용되고 있는 수소 가스 또는 암모니아 가스의 분위기하에서 열처리를 실행하는 시도도 이루어지고 있지만(예를 들면 특허문헌 1 참조), 수소 가스 또는 암모니아 가스의 반응성에서는 1ow-k막의 열화를 억제할 수 없는 경우도 있다.
특허문헌 1 : 일본국 특허공개공보 제2003-158l26호
본 발명의 목적은 도포에 의해서 성막된 막 또는 low-k막의 열화를 확실하게 억제하는 것이 가능한 열처리 방법 및 열처리 장치를 제공하는 것에 있다.
본 발명의 다른 목적은 이러한 열처리 장치를 구비한 기판 처리 장치를 제공하는 것에 있다.
본 발명의 제 1 관점에 의하면, 도포에 의해서 성막된 막을 갖는 기판을 준비하는 것과, 환원성을 갖는 유기 화합물의 분위기하에서 상기 기판을 가열하는 것을 포함하는 열처리 방법이 제공된다.
본 발명의 제 2 관점에 의하면, 저유전율 층간 절연(low-k)막이 성막된 기판을 준비하는 것과, 환원성을 갖는 유기 화합물의 분위기하에서 상기 기판을 가열하는 것을 포함하는 열처리 방법이 제공된다.
본 발명의 제 3 관점에 의하면, 도포에 의해서 성막된 막을 갖는 기판을 처리용기내에 수용시키는 것과, 상기 처리용기내에 환원성을 갖는 기상(氣相)의 유기 화합물을 공급하는 것과, 상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 상기 기판을 가열하는 것을 포함하는 열처리 방법이 제공된다.
본 발명의 제 4 관점에 의하면, 저유전율 층간 절연(1ow-k)막이 성막된 기판을 처리용기내에 수용시키는 것과, 상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 것과, 상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 상기 기판을 가열하는 것을 포함하는 열처리 방법이 제공된다.
상기 제 3, 4 관점에 있어서, 상기 기상의 유기 화합물은 액상 또는 고상의 유기 화합물을 불활성 가스에 의해서 버블링시키는 것에 의해 생성할 수 있다. 또한, 상기 유기 화합물을 공급할 때에, 상기 유기 화합물을 희석하기 위한 희석 가스를 상기 처리용기내에 공급할 수 있다. 또한, 상기 처리용기내에서의 기판의 가열은 상기 처리용기내를 소정의 압력으로 감암하면서 실행할 수 있다.
상기 제 1∼4 관점에 있어서, 상기 유기 화합물로서, 알코올, 알데히드 및 카르본산중의 적어도 1종을 포함하는 것을 이용할 수 있다.
본 발명의 제 5 관점에 의하면, 도포에 의해서 성막된 막을 갖는 기판을 수용하는 처리용기와, 상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 유기 화합물 공급 기구와, 상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 기판을 가열하는 가열 기구를 구비하는 열처리 장치가 제공된다.
본 발명의 제 6 관점에 의하면, 저유전율 층간 절연(1ow-k)막이 성막된 기판을 수용하는 처리용기와, 상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 유기 화합물 공급 기구와, 상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 기판을 가열하는 가열 기구를 구비하는 열처리 장치가 제공된다.
상기 제 5, 6 관점에 있어서, 상기 유기 화합물 공급 기구는 액상 또는 고상의 유기 화합물을 불활성 가스에 의해서 버블링시키는 것에 의해 기상으로 해서 상기 처리용기내에 공급할 수 있다. 또한, 상기 처리용기내에 상기 유기 화합물을 희석하기 위한 희석 가스를 공급하는 희석 가스 공급 기구를 더 구비할 수 있다.
또한, 상기 제 5, 6 관점에 있어서, 적어도 상기 가열기구에 의한 기판의 가열시에, 상기 처리용기내를 소정의 압력으로 감압하는 감압 기구를 더 구비할 수 있다.
또한, 이상의 본 발명의 제 5, 6 관점에 있어서, 상기 유기 화합물 공급 기구는 알코올, 알데히드 및 카르본산 중의 적어도 1종류를 포함하는 유기 화합물을 공급할 수 있다.
본 발명의 제 7 관점에서는 기판에 도포에 의해서 막을 성막하는 도포 처리 장치와, 기판에 열처리를 실시하는 열처리 장치를 구비하고, 상기 열처리 장치는, 기판을 수용하는 처리용기와, 상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 유기 화합물 공급 기구와, 상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 기판을 가열하는 가열 기구를 갖는 기판 처리 장치가 제공된다.
본 발명의 제 8 관점에서는 컴퓨터상에서 동작하고, 열처리 장치를 제어하는 프로그램이 기억된 기억 매체로서, 상기 프로그램은 실행시에, 도포에 의해서 성막된 막을 갖는 기판을 처리용기내에 수용시키는 것과, 상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 것과, 상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 상기 기판을 가열하는 것을 포함하는 열처리 방법이 실행되도록 컴퓨터에 열처리 장치를 제어시키는 컴퓨터 판독 가능한 기억 매체가 제공된다.
본 발명의 제 9 관점에서는 컴퓨터상에서 동작하고, 열처리 장치를 제어하는 프로그램이 기억된 기억 매체로서, 상기 프로그램은 실행시에, 저유전율 층간 절연(1ow-k)막이 성막된 막을 갖는 기판을 처리용기내에 수용시키는 것과, 상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 것과, 상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 상기 기판을 가열하는 것을 포함하는 열처리 방법이 실행되도록 컴퓨터에 열처리 장치를 제어시키는 컴퓨터 판독 가능한 기억 매체가 제공된다.
본 발명의 제 1, 2 관점에 의하면, 기판에 도포막 또는 저유전율 층간 절연막을 성막한 후에, 수소 및 암모니아에 비해 높은 환원성을 갖는 유기 화합물의 분위기하에서 기판을 가열하기 때문에, 유기 화합물의 환원 반응에 의해서 가열 분위기중의 산소를 효과적으로 제거하면서 기판에 열처리를 실시할 수 있다. 따라서, 도포에 의해서 성막된 소정의 막 또는 저유전율 층간 절연막의 열화를 억제하는 것이 가능하게 된다.
또한, 본 발명의 제 3, 4, 5, 6, 7 관점에 의하면, 기판에 도포막 또는 저유전율 층간 절연막을 성막한 후에, 기판을 처리용기내에 수용하고, 수소 및 암모니아에 비해 높은 환원성을 갖는 기상의 유기 화합물을 처리용기내에 공급하고, 유기 화합물이 공급된 처리용기내에서 기판을 가열하기 때문에, 처리용기내를 유기 화합물로 효율적으로 채우고, 유기 화합물의 환원 반응에 의해서 가열 분위기중의 산소를 효과적으로 제거하면서 기판에 열처리를 실시할 수 있다. 따라서, 도포에 의해서 성막된 소정의 막 또는 저유전율 층간 절연막의 열화를 억제하는 것이 가능하게 된다.
도 1은 본 발명에 관한 열처리 방법을 실시할 수 있는 열처리 유닛을 구비한 SOD 시스템의 개략 평면도.
도 2는 열처리 유닛의 개략 단면도.
도 3은 다마신(damascene) 프로세스의 과정에 있어서의 웨이퍼(W)의 단면도.
도 4는 본 발명에 관한 열처리 방법을 실시할 수 있는 다른 실시형태로서의 열처리 장치의 개략 단면도.
도 5는 본 발명에 관한 열처리 방법을 실시할 수 있는 또 다른 실시형태로서의 열처리 장치의 개략 단면도.
이하, 첨부 도면을 참조해서 본 발명의 실시형태에 대해 구체적으로 설명한다.
도 1은 본 발명에 관한 열처리 방법을 실시할 수 있는 열처리 유닛을 구비한 SOD 시스템의 개략 평면도이다.
SOD 시스템(기판 처리 장치)(100)은 처리부(1)와 사이드 캐비넷(2)과 캐리어 스테이션(3)을 구비하고 있다. 사이드 캐비넷(2) 및 캐리어 스테이션(CSB)(3)은 각각, 처리부(1)의 양측에 마련되어 있다.
처리부(1)는 도포 처리 유닛(SCT)(11, 12)과, 복수의 처리 유닛을 다단으로 적층된 처리 유닛군(13, 14)과, 이들 사이에서 반도체 웨이퍼(기판)(W)를 반송하는 반송 아암(15)을 갖고 있다. 반송 아암(15)은 처리부(1)의 중앙부에 마련되고, 처리 유닛군(13, 14)은 각각 반송 아암(15)의 사이드 캐비넷(2)측 및 캐리어 스테이션(CSB)(3)측에 마련되어 있다. 도포 처리 유닛(SCT)(11, 12)은 각각 처리 유닛군(13, 14)의 바로앞측에 마련되어 있고, 도포 처리 유닛(SCT)(11, 12)의 예를 들 면 아래쪽에는 도포 처리 유닛(SCT)(11, 12)에서 사용되는 도포액 등을 저장하는 도시하지 않은 도포액 저장부가 마련되어 있다.
도포 처리 유닛(SCT)(11, 12)은 각각, 예를 들면 스핀 척에 의해서 유지한 웨이퍼(W)의 표면에 1ow-k막용이나 하드 마스크층용 등의 소정의 도포액을 공급하고, 스핀 척을 회전시키는 것에 의해서 웨이퍼(W)의 표면에 도포액을 확산하여 low-k막이나 하드 마스크층 등의 도포막을 성막하도록 구성되어 있다.
처리 유닛군(13)은 웨이퍼(W)를 저온에서 베이킹하는 저온용 핫플레이트 유닛과, 웨이퍼(W)에 성막된 low-k막 등의 도포막을 겔화하는 에이징 유닛과, 웨이퍼(W)에 성막된 도포막에 대해 본 발명의 열처리, 예를 들면 경화 처리를 실행하는 열처리 유닛이 상하로 적층되어 구성되어 있고, 열처리 유닛은 환원성을 갖는 유기 화합물의 분위기하에서, 도포막이 성막된 웨이퍼(W)를 가열하도록 구성되어 있다. 처리 유닛군(14)은 웨이퍼(W)를 고온에서 베이킹하는 고온용 핫 플레이트 유닛과, 캐리어 스테이션(CSB)(3)과의 사이에서 웨이퍼(W)의 수수를 실행하기 위한 수수 유닛과, 웨이퍼(W)를 냉각하는 쿨링 플레이트 유닛이 상하로 적층되어 구성되어 있다.
반송 아암(15)은 도포 처리 유닛(SCT)(11, 12) 및 처리 유닛군(13, 14)의 각 처리 유닛으로 액세스할 수 있도록, 승강, 수평회전 및 전후로의 진퇴가 가능하게 구성되어 있다.
사이드 캐비넷(2)에는 처리 유닛군(13, 14) 등에서 이용되는 버블러(Bub)(27)와, 각 유닛으로부터 배출되는 배기 가스를 세정하기 위한 트 랩(TRAP)(28)이 마련되어 있다. 또, 버블러(Bub)(27)의 예를 들면 아래쪽에는 전력 공급원과, 순수한 물이나 유기 화합물, 예를 들면 포름산(HCOOH) 등을 저장하기 위한 약액 저장부와, SOD 시스템(100)에 있어서 사용된 처리액의 폐액을 배출하기 위한 드레인이 마련되어 있다(모두 도시하지 않음).
캐리어 스테이션(CSB)(3)은 웨이퍼(W)가 수용된 카세트를 탑재하는 탑재대와, 이 탑재대에 탑재된 카세트와 처리부(1)에 마련된 수수 유닛의 사이에서 웨이퍼(W)의 반송을 실행하는 반송 기구를 갖고 있다(모두 도시하지 않음).
SOD 시스템(100)의 각 구성부, 예를 들면 각 처리 유닛은 마이크로 프로세서(컴퓨터)를 구비한 시스템 컨트롤러(90)에 접속되어 제어되는 구성으로 되어 있다. 시스템 컨트롤러(90)에는 오퍼레이터가 SOD 시스템(100)을 관리하기 위해 커맨드의 입력 조작 등을 실행하는 키보드나, SOD 시스템(100)의 가동 상황을 가시화해서 표시하는 디스플레이 등으로 이루어지는 사용자 인터페이스(91)와, SOD 시스템(100)에서 실행되는 각종 처리를 시스템 컨트롤러(90)의 제어로 실현하기 위한 제어 프로그램이나, 처리 조건에 따라 SOD 시스템(100)의 각 구성부에 처리를 실행시키기 위한 프로그램 즉 레시피가 저장된 기억부(92)가 접속되어 있다. 레시피는 기억부(92) 중의 기억 매체에 기억되어 있다. 기억 매체는 하드 디스크나 반도체 메모리이어도 좋고, CDROM, 플래시 메모리 등의 가반성의 것이어도 좋다. 또한, 다른 장치로부터, 예를 들면 전용 회선을 거쳐서 레시피를 적절히 전송시키도록 해도 좋다.
그리고, 필요에 따라, 사용자 인터페이스(91)로부터의 지시 등으로 임의의 레시피를 기억부(92)로부터 호출해서 시스템 컨트롤러(90)에 실행시킴으로써, 시스템 컨트롤러(90)의 제어 하에, SOD 시스템(100)에서의 원하는 처리가 실행된다.
이러한 구성의 SOD 시스템(100)에 있어서, 실크법 및 스피드 필름법에 의해서 웨이퍼(W)에 1ow-k막 등의 도포막을 형성하는 경우에는 웨이퍼(W)를, 캐리어 스테이션(CSB)(3)으로부터 수수 유닛→쿨링 플레이트 유닛→도포처리 유닛(SCT)(12)→저온용 핫 플레이트 유닛→쿨링 플레이트 유닛→도포처리 유닛(SCT)(11)→저온용 핫플레이트 유닛→고온용 핫플레이트 유닛→열처리 유닛의 순으로 반송하고, 각 유닛에서 웨이퍼(W)에 소정의 처리를 실시한다. 이 경우에, 도포 처리 유닛(SCT)(12)에서는 애드비젼 프로모터(adhesion promoter)를 도포하고, 도포 처리 유닛(SCT)(11)에서는 1ow-k막용의 도포액을 도포한다. 폭스법에 의해서 1ow-k막 등의 도포막을 형성하는 경우에는 웨이퍼(W)를, 수수유닛→쿨링 플레이트 유닛→도포 처리 유닛(SCT)(11)→저온용 핫 플레이트 유닛→고온용 핫 플레이트 유닛→열처리 유닛의 순으로 반송하고, 각 유닛에서 웨이퍼(W)에 소정의 처리를 실시한다. 졸겔법에 의해서 low-k막 등의 도포막을 형성하는 경우에는 웨이퍼(W)를, 수수 유닛→쿨링 플레이트 유닛→도포 처리 유닛(SCT)(11)→에이징 유닛→저온용 핫 플레이트 유닛→고온용의 핫 플레이트 유닛의 순으로 반송하고, 각 유닛에서 웨이퍼(W)에 소정의 처리를 실시한다.
실크법, 스피드 필름법 또는 폭스법을 이용한 경우에는 최종공정에 있어서, 열처리 유닛에서 1ow-k막 등의 도포막에 대해 열처리, 예를 들면 경화 처리가 실시된다.
도포막의 경화 처리 등의 열처리는 종래, 전술한 바와 같이, 웨이퍼를 질소 가스 혹은 수소 가스 또는 암모니아 가스의 분위기하에서 가열하는 것에 의해 실행되고 있었지만, 이러한 방법에서는 불순물로서 분위기중에 함유되는 산소에 의한 도포막의 열화(산화)를 충분히 억제하는 것이 곤란하였다. 그래서, 본 실시형태에서는 수소나 암모니아 등에 비해 유기 화합물이 해리되기 쉬운 점에 주목하고, 환원성을 갖는 유기 화합물의 분위기하에서 웨이퍼(W)를 가열하는 것에 의해 low-k막과 같은 도포막에 대해 열처리를 실시하도록 구성하였다. 그 때문에, 유기 화합물의 환원 반응에 의해서 그 분위기중의 산소를 효과적으로 제거할 수 있고, 이것에 의해, low-k막 등의 도포막의 열화를 확실하게 억제하는 것이 가능해진다.
이러한 환원성을 갖는 유기 화합물로서는 히드록실기(-OH)를 갖는 알코올, 알데히드기(-CHO)를 갖는 알데히드 또는 카르복실기(-COOH)를 갖는 카르본산을 들 수 있다. 또, 알코올, 알데히드 및 카르본산 중의 2종류 이상을 이용해도 좋다.
알코올로서는 제 1 급 알코올, 특히 이하의 일반식 (1)
R1-OH …(1)
(R1은 직쇄 또는 분기쇄형상의 C1∼C20의 알킬 또는 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실)을 갖는 제 1 급 알코올, 예를 들면 메탄올(CH3OH), 에탄올(CH3CH2OH), 프로판올(CH3CH2CH2OH), 부탄올(CH3CH2CH2CH2OH), 2-메틸 프로판올((CH3)2CHCH2OH), 2-메틸 부탄올(CH3CH2CH(CH3)CH2OH);
제 2 급 알코올, 특히 이하의 일반식 (2)
Figure 112008081979488-PCT00001
(R1은 직쇄 또는 분기쇄형상의 C1∼C20의 알킬 또는 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실)을 갖는 제 2 급 알코올, 예를 들면 2-프로판올((CH3)2CHOH), 2―부탄올(CH3CH(OH)CH2CH3);
디올 및 트리올과 같은 폴리히드록시 알코올, 예를 들면 에틸렌글리콜(HOC2CH2OH), 글리세롤(HOCH2CH(OH)CH2OH);
1∼10개, 전형적으로 5∼6개의 탄소원자를 고리의 일부에 갖는 환상 알코올;
벤질 알코올(C6H5CH2OH), o-, p- 또는 m-크레졸, 레졸시놀 등의 방향족 알코올;
할로겐화 알코올, 특히 이하의 일반식 (3)
CHnX3 -n-R2-OH …(3)
(X는 F, C1, Br 또는 I, 바람직하게는 F 또는 C1, n은 0∼2의 정수, R2는 직쇄 또는 분기쇄형상의 C1∼C20의 알킬 또는 알케닐기, 바람직하게는 메틸렌, 에틸렌, 트리메틸렌, 테트라메틸렌, 펜타메틸렌 또는 헥사메틸렌)을 갖는 할로겐화 알 코올, 예를 들면, 2,2,2-트리플루오로에탄올(CF3CH2OH);
다른 알코올 유도체, 예를 들면 메틸에탄올아민(CH3NHCH2CH2OH) 등을 들 수 있다.
알데히드로서는 이하의 일반식 (4)
R3-CHO …(4)
(R3은 수소, 또는 직쇄 혹은 분기쇄형상의 C1∼C20의 알킬 혹은 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실)을 갖는 알데히드, 예를 들면, 포름알데히드(HCHO), 아세트알데히드(CH3CHO) 및 부틸 알데히드(CH3CH2CH2CHO);
이하의 일반식 (5)
OHC-R4-CHO…(5)
(R4는 직쇄 또는 분기쇄형상의 C1∼C20의 포화 또는 불포화 탄화수소이지만, R4가 존재하지 않는 것, 즉 양 알데히드기가 서로 결합하고 있는 것도 가능)을 갖는 알칸디올 화합물;
할로겐화 알데히드;
다른 알데히드 유도체 등을 들 수 있다.
카르본산으로서는 이하의 일반식 (6)
R5-COOH …(6)
(R5는 수소, 또는 직쇄 혹은 분기쇄형상의 C1∼C20의 알킬 혹은 알케닐기, 바람직하게는 메틸, 에틸, 프로필, 부틸, 펜틸 또는 헥실)을 갖는 카르본산, 예를 들면, 상기의 포름산, 초산(CH3COOH);
폴리카르본산;
카르본산 할로겐화물;
다른 카르본산 유도체 등을 들 수 있다.
본 실시형태의 열처리 방법이 특히 유효한 1ow-k막의 재료로서는 예를 들면, 실록산계인 Si, O, H를 포함하는 HSQ(Hydrogen-silsesquioxane)이나 Si, C, O, H를 포함하는 MSQ(Methyl-Silsesquioxane) 등, 유기계인 폴리아릴렌 에테르로 이루어지는 FLARE(honeywell사제)이나 폴리아릴렌 하이드로 카본으로 이루어지는 SILK(다우 케미칼사제), Parylene, BCB, PTFE, 불화 폴리이미드 등, 다공질막인 포러스(porous) MSQ나 포러스 SILK, 포러스 실리카 등을 들 수 있다.
또한, 본 실시형태의 열처리 방법이 특히 유효한 하드 마스크막 또는 에칭스토퍼막의 재료로서는 폴리벤조옥사졸(Polybenzoxazole)을 들 수 있다.
다음에, SOD 시스템(100)에 탑재되는 열처리 유닛에 대해 상세하게 설명한다.
도 2는 열처리 유닛의 개략 단면도이다.
열처리 유닛(열처리 장치)(4)은 웨이퍼(W)를 수용 가능한 처리용기로서의 챔버(42)와, 챔버(42)내에서 웨이퍼(W)를 가열하는 가열 기구로서의 히터(43)와, 전술한 환원성을 갖는 유기 화합물, 예를 들면 포름산(HCOOH)을 챔버(42)내에 공급하는 유기 화합물 공급 기구로서의 포름산 공급 기구(44)를 구비하고 있다.
챔버(42)는 상부가 개구된 대략 통형상 또는 상자형상의 챔버 본체(42a)와, 챔버 본체(42a)의 상부 개구를 폐색하는 덮개(42b)를 갖고 있다. 챔버 본체(42a)의 측벽부에는 반송 아암(15)(도 1 참조)에 의해서 웨이퍼(W)를 챔버(42) 내외와의 사이에서 반입 반출하기 위한 반입출구(42c)가 형성되어 있는 동시에, 이 반입출구(42c)를 개폐하는 셔터(42d)가 마련되어 있다.
챔버 본체(42a)내의 예를 들면 바닥부에는 포름산 공급 기구(44)에 의해서 공급된 포름산 등을 챔버(42) 외로 배출하기 위한 배출구(42l)가 마련되어 있다. 또한, 챔버 본체(42a)내의 예를 들면 바닥부에는 웨이퍼(W)를 탑재하기 위한 탑재대(42h)가 마련되어 있다. 히터(43)는 탑재대(42h)에 내장되어 있고, 탑재대(42h)를 거쳐서 웨이퍼(W)를 소정의 온도, 예를 들면 200∼400℃로 가열하도록 구성되어 있다. 탑재대(42h)에는 그 상면으로부터 돌출 함몰하도록 승강하는 지지 핀(42i)이 마련되어 있고, 지지 핀(42i)은 돌출시에 반송 아암(15)과의 사이에서 웨이퍼(W)의 수수를 실행하고, 몰입시에 웨이퍼(W)를 탑재대(42h)에 탑재시키도록 구성되어 있다.
덮개(42b)는 그 내부에 편평한 확산 공간(42j)을 갖는 대략 통형상 또는 상자형상으로 형성되어 있다. 또한, 덮개(42b)는 그 하면에, 포름산 공급 기구(44)에 의한 포름산을 토출하기 위한 토출 구멍(42k)을 다수 갖고 있으며, 그 상면으로부터 포름산 공급 기구(44)에 의해서 포름산이 확산 공간(42j)내에 도입되고, 확산 공간(42j)내에서 확산된 포름산이 토출 구멍(42k)으로부터 챔버(42)내 또는 챔버 본체(42a)내에 공급되도록 구성되어 있다.
포름산 공급 기구(44)는 예를 들면 액체의 포름산이 저장된 포름산 저장부(44a)와, 포름산 저장부(44a)내에 불활성 가스 예를 들면 질소(N2) 가스를 공급해서 포름산 저장부(44a)의 포름산을 버블링시키는 버블링 기구(44b)와, 버블링 기구(44b)에 의해서 버블링시킨 포름산 및 질소 가스를 덮개(42b)의 확산 공간(42j)내로 보내는 공급 라인(44c)과, 공급 라인(44c)을 개폐하는 밸브(44d)를 갖고 있고, 버블링 기구(44b)는 질소 가스가 저장된 불활성 가스 저장부(44e)와, 불활성 가스 저장부(44e)의 질소 가스를 포름산 저장부(44a)로 보내는 공급 라인(44f)과, 공급 라인(44f)을 유통하는 질소 가스의 유량을 조정하기 위한 매스플로 컨트롤러(44g) 및 밸브(44h)를 갖고 있다.
열처리 유닛(4)은 시스템 컨트롤러(90)에 접속된 유닛 컨트롤러(80)에 의해서 제어되는 구성으로 되어 있다. 그리고, 필요에 따라, 사용자 인터페이스(91)로부터의 지시 등으로 시스템 컨트롤러(90)가 임의의 레시피를 기억부(92)로부터 호출해서 유닛 컨트롤러(80)에 제어시킨다.
다음에, 열처리 유닛(4)에서의 처리에 대해 상세하게 설명한다.
열처리 유닛(4)에 있어서는 우선, 웨이퍼(W)가 반송 아암(15)(도 1 참조)에 의해서 반입출구(42c)를 거쳐서 챔버(42)내에 반입되면, 지지 핀(42i)이 상승하여 탑재대(42h)의 상면으로부터 돌출하고, 웨이퍼(W)를 반송 아암(15)으로부터 수취한다. 다음에, 지지 핀(42i)이 하강해서 탑재대(42h)에 몰입하고, 웨이퍼(W)를 탑재대(42h)에 탑재시킨다. 또한, 이 때, 반송 아암(15)이 챔버(42) 외에 반입출구(42c)로부터 퇴피하고, 셔터(42d)에 의해서 반입출구(42c)가 폐색된다.
웨이퍼(W)가 탑재대(42h)에 탑재되고, 반입출구(42c)가 폐색되면, 포름산 공급 기구(44)에 의해, 버블링에 의해서 기상으로 된 포름산 및 질소 가스가 챔버(42)에 공급되고, 챔버(42)내가 저산소 농도(예를 들면 50ppm 이하)의 포름산 및 질소 가스 분위기로 유지된다. 상온 상압에서 액체인 포름산을 버블링시켜 챔버(42)내에 공급하는 것에 의해, 챔버(42)내를 소정의 압력으로 감압하는 일 없이, 챔버(42)내에 포름산을 확산시킬 수 있고, 포름산의 환원 반응에 의해서 챔버(42)내의 산소를 효과적으로 제거할 수 있다. 또한, 이 때에, 포름산은 질소 가스에 의해서 희석된 상태로 공급 라인(44c)을 유통하여 챔버(42)내에 공급되기 때문에, 포름산에 의한 공급 라인(44c) 및 챔버(42)의 부식을 억제할 수 있다. 또, 챔버(42)내에 충만된 포름산 및 질소 가스는 배출구(42l)로부터 배출된다.
챔버(42)내가 저산소 농도의 포름산 및 질소 가스 분위기로 유지되면, 히터(43)에 의해서 웨이퍼(W)가 소정의 온도, 예를 들면 200∼400℃로 가열된다. 이것에 의해, 웨이퍼(W)에 마련된 low-k막 등의 도포막은 산소에 거의 접촉하지 않은 상태에서 경화가 진행되기 때문에, 열화가 억제되게 된다. 또, 유기 화합물인 포름산의 환원 반응에 의해서 생성된 생성물, 예를 들면 수분 및 이산화탄소는 배출 구(42l)로부터 배출된다.
히터(43)에 의한 웨이퍼(W)의 가열이 종료되면, 포름산 공급 기구(44)에 의한 포름산 및 질소 가스의 공급이 정지된다. 그리고, 지지 핀(42i)이 상승하여 탑재대(42h)로부터 웨이퍼(W)를 수취하는 동시에, 셔터(42d)에 의해서 반입출구(42c)가 개방되고, 반송 아암(15)이, 웨이퍼(W)를 지지 핀(42i)으로부터 수취하여 반입출구(42c)를 거쳐서 챔버(42) 외로 반출된다.
또, 열처리 유닛(4)에 의한 열처리 후에는 1ow-k막 등의 도포막 및 웨이퍼(W)를 신속하게 냉각하는 것이 바람직하기 때문에, 웨이퍼(W)를 냉각하는 냉각 유닛을 열처리 유닛(4)에 인접시켜 마련해 두고, 열처리 유닛(4)에 의한 열처리 후에, 이 냉각 유닛에 웨이퍼(W)를 반송하고, 여기서 웨이퍼(W)의 냉각을 실행하도록 구성해도 좋다.
다음에, 열처리 유닛(4)에 의한 열처리의 다마신 프로세스에의 적용예에 대해 설명한다.
도 3은 다마신 프로세스의 과정에 있어서의 웨이퍼(W)의 단면도이다.
다마신 프로세스에 있어서는 예를 들면, 우선, Si 기판(Sub)(200)상에 제 1 1ow-k막(101)을 형성한다. 제 1 low-k막(101)은 전술한 SOD 시스템(100)의 처리공정에 의해서 형성되고, 실크법, 스피드 필름법 또는 폭스법을 이용했을 때의 최종공정에 있어서 열처리 유닛(4)에서의 열처리, 예를 들면 경화 처리가 실시된다. 다음에, 제 1 1ow-k막(101)상에 하드 마스크막(102)을 형성한다. 하드 마스크막(102)도 제 1 low-k막(101)의 형성 공정과 마찬가지의 공정에 의해서 형성된다.
제 1 low-k막(101) 및 하드 마스크막(102)을 형성한 후, 포토리소그래피에 의해 패턴화한 도시하지 않은 레지스트막을 마스크로 해서 하드 마스크막(102)을 에칭하고, 또한, 레지스트막 및 에칭한 하드 마스크막(102)을 마스크로 해서 제 1 low-k막(101)에 에칭에 의한 홈을 형성한다. 그리고, 제 1 low-k막(101)에 형성된 홈내에 배리어 메탈막(103) 및 동(Cu)으로 이루어지는 배선층(104)을 형성하고, 배리어 메탈막(103), 배선층(104) 및 하드 마스크막(102)상에 에칭 스토퍼막(105), 제 2 low-k막(106) 및 하드 마스크막(107)을 순차 형성한다. 에칭 스토퍼막(105), 제 2 low-k막(106) 및 하드 마스크막(107)도 각각, 제 1 1ow-k막(101)의 형성 공정과 마찬가지의 공정에 의해서 형성된다.
하드 마스크막(107)을 형성한 후, 포토리소그래피에 의해 패턴화한 도시하지 않은 레지스트막을 마스크로 해서 하드 마스크막(107)을 에칭하고, 또한 레지스트막 및 에칭한 하드 마스크막(107)을 마스크로 해서 제 2 low-k막(106)에 에칭에 의한 구멍(108)을 형성한다(도 3에 나타내는 상태).
그 후, 하드 마스크막(107)을 마스크로 해서, 배선층(104)이 노출되도록 에칭 스토퍼막(105)을 에칭해서 비어 홀(via hole)을 형성하고, 이 비어 홀내에 배리어 메탈 및 Cu로 이루어지는 비어 콘택트를 매립하며, 또한 CMP법에 의해 Cu의 표면을 연마하는 것(폴리싱)에 의해, 다마신 구조의 배선부가 마련되게 된다.
여기서는 열처리 유닛(4)에 의한 제 1 low-k막(101), 제 2 1ow-k막(106), 하드 마스크막(102, 107) 및 에칭 스토퍼막(105)의 열처리를 에칭 전에 웨이퍼(W)에 마련한 순으로 개별적으로 실행하는 예에 대해 설명했지만, 이것에 한정되지 않고, 제 1 low-k막(101), 제 2 low-k막(106), 하드 마스크막(102, 107) 및 에칭 스토퍼막(105) 중의 복수의 열처리를 동시에 실행해도 좋고, 에칭 후나 폴리싱 후에 실행해도 좋다.
다음에, 본 발명에 관한 열처리 방법을 실시할 수 있는 다른 실시 형태의 열처리 장치에 대해 설명한다.
도 4는 본 발명에 관한 열처리 방법을 실시할 수 있는 다른 실시 형태의 열처리 장치의 개략 단면도이다.
본 실시형태에서는 웨이퍼(W)에 소정의 감압 분위기, 예를 들면 진공 분위기에서 열처리를 실시하는 열처리 장치(5)에 대해 설명한다. 열처리 장치(5)는 예를 들면, 1ow-k막, 하드 마스크막 등을 CVD법 등에 의해서 감압 또는 진공 프로세스에서 성막하는 경우에 이용되는 것이며, 웨이퍼(W)를 수용 가능한 챔버(51)와, 챔버(51)내에 포름산을 공급하는 포름산 공급 기구(52)와, 포름산을 희석하는 희석 가스 또는 불활성 가스로서의 질소 가스를 챔버(51)내에 공급하는 질소 가스 공급 기구(53)와, 챔버(51)내를 소정의 압력, 예를 들면 진공압으로 감압 가능한 감압 기구(54)를 구비하고 있다. 또, 열처리 장치(5)도 열처리 유닛(4)과 마찬가지로 제어된다.
챔버(51)는 상부가 개구된 대략 통형상 또는 상자형상으로 형성되어 있다. 챔버(151)의 바닥부에는 수용한 웨이퍼(W)를 탑재하기 위한 서셉터(51a)가 마련되고, 이 서셉터(51a)에는 웨이퍼(W)를 가열하는 가열 기구로서의 히터(51b)가 매설되어 있다. 챔버(51)의 측벽에는 웨이퍼(W)를 반입 반출하기 위한 반입출구(51c)가 형성되어 있는 동시에, 이 반입출구(51c)를 개폐하는 게이트밸브(51d)가 마련되어 있다.
챔버(51)의 상부에는 개구를 폐색하고, 또한 서셉터(51a)에 대향하도록 샤워헤드(51e)가 마련되어 있다. 샤워헤드(51e)는 포름산 공급 기구(52)에 의한 포름산 및 질소 가스 공급 기구(53)에 의한 질소 가스를 확산시키는 확산 공간(51f)을 내부에 갖는 동시에, 서셉터(51a)와의 대향면에, 포름산 공급 기구(52)에 의한 포름산 및 질소 가스 공급 기구(53)에 의한 질소 가스를 챔버(51)내에 토출하는 복수 또는 다수의 토출 구멍(51g)이 형성되어 있다.
챔버(51)의 저벽에는 배기구(51h)가 형성되어 있고, 감압 기구(54)는 배기구(51h)에 접속된 배기관(54a)과, 이 배기관(54a)을 거쳐서 챔버(51)내를 강제 배기하는 배기 장치(54b)를 갖고 있다.
포름산 공급 기구(52)는 포름산이 저장된 포름산 저장부(52a)와, 포름산 저장부(52a)의 포름산을 샤워헤드(51e)의 확산 공간(51f)내로 보내는 공급 라인(52b)과, 공급 라인(52b)을 유통하는 포름산의 유량을 조정하는 유량 조정 기구로서의 매스플로 컨트롤러(52c) 및 밸브(52d)를 갖고 있다. 포름산 저장부(52a)에는 포름산을 소정의 온도로 가열하는 히터(52e)가 마련되어 있다.
질소 가스 공급 기구(53)는 질소 가스 공급원(53a)과, 질소 가스 공급원(53a)의 질소 가스를 샤워헤드(51e)의 확산 공간(51f)내로 보내는 공급 라인(53b)과, 공급 라인(53b)을 유통하는 질소 가스의 유량을 조정하는 유량 조정 기구로서의 매스플로 컨트롤러(53c) 및 밸브(53d)를 갖고 있다.
이와 같이 구성된 열처리 장치(5)에 있어서는 우선, 웨이퍼(W)를 반입출구(51c)로부터 챔버(51)내에 반입해서 서셉터(51a)에 탑재하고, 게이트밸브(51d)에 의해서 반입출구(51c)를 폐색하여 챔버(51)내를 밀폐한다. 다음에, 감압 기구(54)에 의해 챔버(51)내를 소정의 압력, 예를 들면 진공압으로 감압하는 동시에, 질소 가스 공급 기구(53)에 의해서 챔버(51)내에 질소 가스를 공급하고, 또한, 포름산 공급 기구(52)에 의해서 챔버(51)내에 포름산을 공급하여, 챔버(51)내를 저산소 농도(예를 들면 50ppm 이하)의 포름산 및 질소 가스 분위기로 유지한다. 여기서, 챔버(51)내는 감압 기구(54)에 의해서 소정의 압력, 예를 들면 진공압으로 유지되기 때문에, 포름산을 챔버(51)내에 확산시킬 수 있는 동시에, 챔버(51)내의 포름산은 질소 가스에 의해서 희석되기 때문에, 챔버(51)내의 부식을 억제할 수 있다. 또, 감압 기구(54)에 의한 감압, 질소 가스 공급 기구(53)에 의한 질소 가스의 공급 및 포름산 공급 기구(52)에 의한 포름산의 공급은 동시에 실행해도 좋고, 소정의 시간씩 교대로 실행해도 좋다. 챔버(51)내를 저산소 농도의 포름산 및 질소 가스 분위기로 유지한 후, 히터(51b)에 의해서 웨이퍼(W)를 소정의 온도, 예를 들면 200∼400℃로 가열한다. 이것에 의해, 웨이퍼(W)에 마련된 1ow-k막이나 하드 마스크막 등의 경화가 진행한다. 히터(51b)에 의한 웨이퍼(W)의 가열을 종료하면, 감압 기구(54)에 의한 감압, 질소 가스 공급 기구(53)에 의한 질소 가스의 공급 및 포름산 공급 기구(52)에 의한 포름산의 공급을 정지하고, 게이트밸브(51d)에 의해서 반입출구(51c)를 개방하며, 웨이퍼(W)를 반입출구(51c)로부터 챔버(51) 외로 반출한다.
본 실시형태에서는 웨이퍼(W)를 대기에 노출시키는 일 없이 포름산의 분위기 하에서 가열하기 때문에, 웨이퍼(W)에 마련된 1ow-k막이나 하드 마스크막 등의 막의 열화를 더욱 확실하게 억제하는 것이 가능해진다.
CVD에 의해서 성막되는 본 실시형태의 열처리 방법이 특히 유효한 low-k막의 재료로서는 Black Diamond(Applied Materials사제), Coral(Novellus사제), Aurora(ASM사제) 등의 SiOC계 재료(SiO2의 Si-O 결합에 메틸기(-CH3)를 도입해서 Si-CH3을 혼입한 것)이나 SiOF계 재료(SiO2에 불소(F)를 도입한 것), 플루오로 카본 가스를 이용한 CF계 재료 등을 들 수 있다.
또, CVD에 의해서 성막되는 low-k막 이외의 본 발명의 방법이 적용 가능한 막으로서는 예를 들면 하드 마스크막 또는 에칭 스토퍼막을 들 수 있고, 그 재료로서는 상기 low-k막의 재료와 동일계의 재료(단, low-k막보다 유전율이 높은 것), 탄화 규소(SiC)이나 질화 탄소 규소(SiCN) 등을 들 수 있다.
다음에, 본 발명에 관한 열처리 방법을 실시할 수 있는 다른 실시형태로서의 열처리 장치에 대해 설명한다.
도 5는 본 발명에 관한 열처리 방법을 실시할 수 있는 또 다른 실시형태로서의 열처리 장치의 개략 단면도이다.
이전 실시형태에서는 웨이퍼(W)를 진공 분위기에서 1개씩 가열하는 소위 낱장식의 열처리 장치에 대해 설명했지만, 본 실시형태에서는 웨이퍼(W)를 진공분위기에서 복수개 동시에 가열하는 소위 배치(batch)식의 열처리 장치(6)에 대해 설명한다. 열처리 장치(6)에 있어서, 도 4에 나타낸 열처리 장치(5)와 동일 부위에 대 해서는 동일 부호를 붙이고 설명을 생략한다.
열처리 장치(6)는 열처리 장치(5)와 마찬가지로, 예를 들면, 1ow-k막이나 하드 마스크막 등을 CVD법 등에 의해서 감압 또는 진공 프로세스에서 성막하는 경우에 이용되는 것이며, 하부가 개구되고 웨이퍼(W)를 수용해서 가열하는 대략 통형상의 열처리로(처리용기)(60)와, 복수개의 웨이퍼(W)를 유지해서 열처리로(60)내에 수용시키기 위한 웨이퍼 보트(62)와, 이 웨이퍼 보트(62)를 승강시켜 열처리로(60) 내외의 사이에서 진퇴시키는 보트 엘리베이터(63)와, 열처리로(60)내에 포름산을 공급하는 포름산 공급 기구(52)와, 포름산을 희석하는 희석 가스 또는 불활성 가스로서의 질소 가스를 열처리로(60)내에 공급하는 질소 가스 공급 기구(53)와, 열처리로(60)내를 소정의 압력, 예를 들면 진공압으로 감압 가능한 감압 기구(54)를 구비하고 있다. 또, 열처리 장치(6)도 열처리 유닛(4) 및 열처리 장치(5)와 마찬가지로 제어된다.
열처리로(60)내에는 열처리로(60)와 대응하는 형상을 갖는 석영제의 프로세스 튜브(61)가 마련되고, 이 프로세스 튜브(61)의 외주에 프로세스 튜브를 포위하도록, 웨이퍼(W)를 가열하는 가열 기구로서의 히터(64)가 마련되어 있다. 프로세스 튜브(61)의 하단부에는 환상 또는 통형상의 매니폴드(manifold)(65)가 마련되어 있고, 이 매니폴드(65)에는 포름산 공급 기구(52)의 공급 라인(52b), 질소 가스 공급 기구(53)의 공급 라인(53b) 및 감압 기구(54)의 배기관(54a)이 접속되어 있다(포름산 공급 기구(52), 질소 가스 공급 기구(53) 및 감압 기구(54)의 다른 구성요소에 대해서는 도시하지 않음).
보트 엘리베이터(63)에는 매니폴드(65)와 맞닿아 프로세스 튜브(61)내를 밀폐 상태로 유지하는 덮개부(66)가 마련되어 있고, 이 덮개부(66)의 상부에 보온통(67)이 탑재되어 있다.
이와 같이 구성된 열처리 장치(6)에 있어서는 우선, 보트 엘리베이터(63)에 의해서 웨이퍼 보트(62)를 하강시킨 상태에서, 웨이퍼 보트(62)에 복수개의 웨이퍼(W)를 유지시킨다. 다음에, 보트 엘리베이터(63)에 의해서 웨이퍼 보트(62)를 상승시켜 열처리로(60)내에 수용시킨다. 그리고, 감압 기구(54)에 의해서 열처리로(60)내를 소정의 압력, 예를 들면 진공압으로 감압하는 동시에, 질소 가스 공급 기구(53)에 의해서 열처리로(60)내에 질소 가스를 공급하고, 또한 포름산 공급 기구(52)에 의해서 열처리로(60)내에 포름산을 공급하여, 열처리로(60)내를 저산소 농도(예를 들면 50ppm 이하)의 포름산 및 질소 가스 분위기로 유지한다. 열처리로(60)내를 저산소 농도의 포름산 및 질소 가스 분위기로 유지한 후, 히터(64)에 의해서 각 웨이퍼(W)를 소정의 온도, 예를 들면 200∼400℃로 가열한다. 이것에 의해, 각 웨이퍼(W)에 마련된 low-k막이나 하드 마스크막 등의 경화가 진행한다. 히터(64)에 의한 웨이퍼(W)의 가열을 종료하면, 감압 기구(54)에 의한 감압, 포름산 공급 기구(52)에 의한 포름산의 공급 및 질소 가스 공급 기구(53)에 의한 질소 가스의 공급을 정지하고, 보트 엘리베이터(63)에 의해서 웨이퍼 보트(62)를 하강시키고, 복수개의 웨이퍼(W)를 열처리로(60) 외로 반출한다.
본 실시형태에서는 웨이퍼(W)를 대기에 노출시키는 일 없이 포름산의 분위기하에서 가열하기 때문에, 웨이퍼(W)에 마련된 막의 산화를 더욱 확실하게 억제하는 것이 가능하게 되는 동시에, 복수개의 웨이퍼(W)에 동시에 가열처리를 실시할 수 있기 때문에, 양품률의 향상을 도모하는 것이 가능해진다.
또, 본 실시형태의 열처리 방법이 특히 유효한 1ow-k막, 및 1ow-k막 이외의 막, 예를 들면 하드 마스크막 또는 에칭 스토퍼막의 재료로서는 이전 실시형태(열처리 장치(5))에서 예를 든 것과 마찬가지의 것을 들 수 있다.
또, 본 발명은 상기 실시형태에 한정되는 것은 아니며, 본 발명의 사상의 범위내에서 각종 변형이 가능하다. 예를 들면, 상기 실시 형태에서는 상압에서 가열하는 낱장식의 가열 장치, 감압에서 가열하는 낱장식의 가열 장치, 감압에서 가열하는 소위 배치식의 가열 장치에 대해서 나타냈지만, 상압에서 가열하는 배치식의 가열 장치에 적용 가능한 것은 물론이다. 또한, 환원성을 갖는 유기 화합물로서는 상기 이외의 각종의 것을 적용할 수 있고, 또한 이들 공급 방법에 관해서도, 상기 포름산의 경우와 마찬가지로, 상온 상압에서 고체 또는 액체인 경우에 버블링이나 가열에 의해 기화하는 방법을 이용해서 처리용기내에 기상으로 공급할 수 있다.
본 발명은 기판에 마련된 1ow-k막, 하드 마스크막 또는 에칭 스토퍼막의 경화 처리에 한정되지 않고, 가열 온도를 적절히 설정하는 것에 의해, 경화 처리전의 고온 또는 저온에서의 베이킹 처리나 졸겔법을 이용했을 때의 에이징 등에도 적용할 수 있다.

Claims (27)

  1. 도포에 의해서 성막된 막을 갖는 기판을 준비하는 것과,
    환원성을 갖는 유기 화합물의 분위기하에서 상기 기판을 가열하는 것을 포함하는 열처리 방법.
  2. 제 1 항에 있어서,
    상기 유기 화합물은 알코올, 알데히드 및 카르본산 중의 적어도 1종류 이상을 포함하는 열처리 방법.
  3. 저유전율 층간 절연(1ow-k)막이 성막된 기판을 준비하는 것과,
    환원성을 갖는 유기 화합물의 분위기하에서 상기 기판을 가열하는 것을 포함하는 열처리 방법.
  4. 제 3 항에 있어서,
    상기 유기 화합물은 알코올, 알데히드 및 카르본산 중의 적어도 1종류를 포함하는 열처리 방법.
  5. 도포에 의해서 성막된 막을 갖는 기판을 처리용기내에 수용시키는 것과,
    상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 것과,
    상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 상기 기판을 가열하는 것을 포함하는 열처리 방법.
  6. 제 5 항에 있어서,
    상기 기상의 유기 화합물은 액상 또는 고상의 유기 화합물을 불활성 가스에 의해서 버블링시키는 것에 의해 생성되는 열처리 방법.
  7. 제 6 항에 있어서,
    상기 유기 화합물을 공급할 때에, 상기 유기 화합물을 희석하기 위한 희석 가스를 상기 처리용기내에 공급하는 열처리 방법.
  8. 제 5 항에 있어서,
    상기 처리용기내에서의 기판의 가열은 상기 처리용기내를 소정의 압력으로 감압하면서 실행하는 열처리 방법.
  9. 제 5 항에 있어서,
    상기 유기 화합물은 알코올, 알데히드 및 카르본산 중의 적어도 1종류를 포함하는 열처리 방법.
  10. 저유전율 층간 절연(1ow-k)막이 성막된 기판을 처리용기내에 수용시키는 것과,
    상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 것과,
    상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 상기 기판을 가열하는 것을 포함하는 열처리 방법.
  11. 제 10 항에 있어서,
    상기 기상의 유기 화합물은 액상 또는 고상의 유기 화합물을 불활성 가스에 의해 버블링시키는 것에 의해 생성되는 열처리 방법.
  12. 제 11 항에 있어서,
    상기 유기 화합물을 공급할 때에, 상기 유기 화합물을 희석하기 위한 희석 가스를 상기 처리용기내에 공급하는 열처리 방법.
  13. 제 10 항에 있어서,
    상기 처리용기내에서의 기판의 가열은 상기 처리용기내를 소정의 압력으로 감압하면서 실행하는 열처리 방법.
  14. 제 10 항에 있어서,
    상기 유기 화합물은 알코올, 알데히드 및 카르본산 중의 적어도 1종류를 포함하는 열처리 방법.
  15. 도포에 의해서 성막된 막을 갖는 기판을 수용하는 처리용기와,
    상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 유기 화합물 공급 기구와,
    상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 기판을 가열하는 가 열 기구를 구비하는 열처리 장치.
  16. 제 15 항에 있어서,
    상기 유기 화합물 공급 기구는 액상 또는 고상의 유기 화합물을 불활성 가스에 의해 버블링시키는 것에 의해 기상으로 해서 상기 처리용기내에 공급하는 열처리 장치.
  17. 제 15 항에 있어서,
    상기 처리용기내에 상기 유기 화합물을 희석하기 위한 희석 가스를 공급하는 희석 가스 공급 기구를 더 구비하는 열처리 장치.
  18. 제 15 항에 있어서,
    적어도 상기 가열기구에 의한 기판의 가열시에, 상기 처리용기내를 소정의 압력으로 감압하는 감압 기구를 더 구비하는 열처리 장치.
  19. 제 15 항에 있어서,
    상기 유기 화합물 공급 기구는 알코올, 알데히드 및 카르본산 중의 적어도 1종류를 포함하는 유기 화합물을 공급하는 열처리 장치.
  20. 저유전율 층간 절연(1ow-k)막이 성막된 기판을 수용하는 처리용기와,
    상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 유기 화합물 공급 기구와,
    상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 기판을 가열하는 가열 기구를 구비하는 열처리 장치.
  21. 제 20 항에 있어서,
    상기 유기 화합물 공급 기구는 액상 또는 고상의 유기 화합물을 불활성 가스에 의해서 버블링시키는 것에 의해 기상으로 해서 상기 처리용기내에 공급하는 열처리 장치.
  22. 제 20 항에 있어서,
    상기 처리용기내에 상기 유기 화합물을 희석하기 위한 희석 가스를 공급하는 희석 가스 공급 기구를 더 구비하는 열처리 장치.
  23. 제 20 항에 있어서,
    적어도 상기 가열 기구에 의한 기판의 가열시에, 상기 처리용기내를 소정의 압력으로 감압하는 감압 기구를 더 구비하는 열처리 장치.
  24. 제 20 항에 있어서,
    상기 유기 화합물 공급 기구는 알코올, 알데히드 및 카르본산 중의 적어도 1종류를 포함하는 유기 화합물을 공급하는 열처리 장치.
  25. 기판에 도포에 의해서 막을 성막하는 도포 처리 장치와,
    기판에 열처리를 실시하는 열처리 장치를 구비하고,
    상기 열처리 장치는
    기판을 수용하는 처리용기와,
    상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 유기 화합물 공급 기구와,
    상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 기판을 가열하는 가열 기구를 갖는 기판 처리 장치.
  26. 컴퓨터상에서 동작하고, 열처리 장치를 제어하는 프로그램이 기억된 기억 매체로서, 상기 프로그램은 실행시에, 도포에 의해서 성막된 막을 갖는 기판을 처리용기내에 수용시키는 것과,
    상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 것과,
    상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 상기 기판을 가열하는 것을 포함하는 열처리 방법이 실행되도록 컴퓨터에 열처리 장치를 제어시키는 컴퓨터 판독 가능한 기억 매체.
  27. 컴퓨터상에서 동작하고, 열처리 장치를 제어하는 프로그램이 기억된 기억 매체로서, 상기 프로그램은 실행시에, 저유전율 층간 절연(1ow-k)막이 성막된 막을 갖는 기판을 처리용기내에 수용시키는 것과,
    상기 처리용기내에 환원성을 갖는 기상의 유기 화합물을 공급하는 것과,
    상기 기상의 유기 화합물이 공급된 상기 처리용기내에서 상기 기판을 가열하는 것을 포함하는 열처리 방법이 실행되도록 컴퓨터에 열처리 장치를 제어시키는 컴퓨터 판독 가능한 기억 매체.
KR1020087029103A 2006-05-31 2007-05-28 열처리 방법 및 열처리 장치와 기판 처리 장치 KR20090008426A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006152369A JP2007324350A (ja) 2006-05-31 2006-05-31 熱処理方法および熱処理装置、ならびに基板処理装置
JPJP-P-2006-152369 2006-05-31

Publications (1)

Publication Number Publication Date
KR20090008426A true KR20090008426A (ko) 2009-01-21

Family

ID=38778570

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087029103A KR20090008426A (ko) 2006-05-31 2007-05-28 열처리 방법 및 열처리 장치와 기판 처리 장치

Country Status (6)

Country Link
US (1) US8114786B2 (ko)
JP (1) JP2007324350A (ko)
KR (1) KR20090008426A (ko)
CN (1) CN101461042A (ko)
TW (1) TW200814193A (ko)
WO (1) WO2007139049A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101491992B1 (ko) * 2013-01-08 2015-02-10 (주)에스티아이 반도체 웨이퍼의 연속 처리방법

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100089978A1 (en) * 2008-06-11 2010-04-15 Suss Microtec Inc Method and apparatus for wafer bonding
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102446813B (zh) * 2010-10-13 2013-09-11 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2015024571A (ja) * 2013-07-26 2015-02-05 日東電工株式会社 シートの製造方法およびシート製造装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR20160106583A (ko) * 2014-01-15 2016-09-12 도쿄엘렉트론가부시키가이샤 성막 방법 및 열처리 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9735024B2 (en) * 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7236953B2 (ja) * 2019-08-05 2023-03-10 東京エレクトロン株式会社 成膜装置および成膜方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1995024639A1 (en) * 1994-03-11 1995-09-14 Kawasaki Steel Corporation Method of evaluating siloxane used for forming insulation coating, coating fluid used for forming insulation coating, process for producing the fluid, process for forming insulation coating for semiconductor device, and process for producing semiconductor device by applying the above process
AU2001260374A1 (en) * 2000-05-15 2001-11-26 Asm Microchemistry Oy Process for producing integrated circuits
JP4493192B2 (ja) * 2000-09-13 2010-06-30 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
JP2003006081A (ja) 2001-06-18 2003-01-10 Docomo Systems Inc 地域情報配信システム
JP4065670B2 (ja) * 2001-08-09 2008-03-26 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
JP2004207751A (ja) * 2001-08-28 2004-07-22 Nec Kagoshima Ltd 基板処理装置及び方法
JP2004002752A (ja) * 2002-03-28 2004-01-08 Toray Ind Inc ポリ(脂環式オレフィン)組成物の処理方法並びに半導体装置、光学部品および有機電界発光装置
JP4737361B2 (ja) * 2003-12-19 2011-07-27 Jsr株式会社 絶縁膜およびその形成方法
WO2006073140A1 (en) * 2005-01-06 2006-07-13 Ebara Corporation Substrate processing method and apparatus
JP4828451B2 (ja) * 2006-03-27 2011-11-30 東京エレクトロン株式会社 基板処理方法、半導体装置の製造方法および基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101491992B1 (ko) * 2013-01-08 2015-02-10 (주)에스티아이 반도체 웨이퍼의 연속 처리방법

Also Published As

Publication number Publication date
US8114786B2 (en) 2012-02-14
US20090163038A1 (en) 2009-06-25
JP2007324350A (ja) 2007-12-13
TW200814193A (en) 2008-03-16
WO2007139049A1 (ja) 2007-12-06
CN101461042A (zh) 2009-06-17

Similar Documents

Publication Publication Date Title
KR20090008426A (ko) 열처리 방법 및 열처리 장치와 기판 처리 장치
US11881379B2 (en) Film deposition apparatus for fine pattern forming
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
KR102570744B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
CN105917440B (zh) 用于在双镶嵌结构中蚀刻电介质阻挡层的方法
US9385318B1 (en) Method to integrate a halide-containing ALD film on sensitive materials
TWI442471B (zh) 形成至少一介電層之方法與系統
US6635575B1 (en) Methods and apparatus to enhance properties of Si-O-C low K films
US7524735B1 (en) Flowable film dielectric gap fill process
US6858923B2 (en) Post-deposition treatment to enhance properties of Si-O-C low films
TWI640040B (zh) 用於穩定蝕刻後界面以減少下一處理步驟前佇列時間問題的方法
KR101318506B1 (ko) 성막 방법
KR20160000863A (ko) 상호접속 캡핑 애플리케이션들을 위한 금속 상호접속부들 내의 탄소계 오염물질의 세정
US8461047B2 (en) Method for processing amorphous carbon film, and semiconductor device manufacturing method using the method
JP2011082560A (ja) 微細パターンの形成方法
JP6117588B2 (ja) Cu配線の形成方法
TW201726963A (zh) 低介電常數含鋁蝕刻終止膜的形成方法
TW201535513A (zh) 介電常數減少且機械性質強化的低k介電層
TWI445089B (zh) Heat treatment method and heat treatment device
US9887099B2 (en) Pattern forming method capable of minimizing deviation of an inversion pattern
WO2024044462A1 (en) Systems and methods for depositing low-κ dielectric films
JP2011040563A (ja) 基板の処理方法及び基板の処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application