KR20080100808A - Master electrode and method of forming it - Google Patents

Master electrode and method of forming it Download PDF

Info

Publication number
KR20080100808A
KR20080100808A KR1020087014735A KR20087014735A KR20080100808A KR 20080100808 A KR20080100808 A KR 20080100808A KR 1020087014735 A KR1020087014735 A KR 1020087014735A KR 20087014735 A KR20087014735 A KR 20087014735A KR 20080100808 A KR20080100808 A KR 20080100808A
Authority
KR
South Korea
Prior art keywords
electrode
substrate
layer
conductive
cavity
Prior art date
Application number
KR1020087014735A
Other languages
Korean (ko)
Other versions
KR101334506B1 (en
Inventor
미카엘 프레덴베르그
패트릭 뮐러
피터 위웬-닐손
세실리아 아론손
마테오 다이네세
Original Assignee
레플리서러스 테크놀로지스 에이비
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레플리서러스 테크놀로지스 에이비 filed Critical 레플리서러스 테크놀로지스 에이비
Publication of KR20080100808A publication Critical patent/KR20080100808A/en
Application granted granted Critical
Publication of KR101334506B1 publication Critical patent/KR101334506B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0075Manufacture of substrate-free structures
    • B81C99/0085Manufacture of substrate-free structures using moulds and master templates, e.g. for hot-embossing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • C23C14/3414Metallurgical or chemical aspects of target preparation, e.g. casting, powder metallurgy
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/0033D structures, e.g. superposed patterned layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/10Moulds; Masks; Masterforms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/50After-treatment of electroplated surfaces by heat-treatment
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • C25D7/126Semiconductors first coated with a seed layer or a conductive layer for solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • C25F3/14Etching locally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/06Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process
    • H05K3/07Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process being removed electrolytically
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/24Reinforcing the conductive pattern
    • H05K3/241Reinforcing the conductive pattern characterised by the electroplating method; means therefor, e.g. baths or apparatus
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/4647Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits by applying an insulating layer around previously made via studs
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/01Tools for processing; Objects used during processing
    • H05K2203/0104Tools for processing; Objects used during processing for patterning or coating
    • H05K2203/0117Pattern shaped electrode used for patterning, e.g. plating or etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0703Plating
    • H05K2203/0733Method for plating stud vias, i.e. massive vias formed by plating the bottom of a hole without plating on the walls
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/108Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by semi-additive methods; masks therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Abstract

A system and method comprising a master electrode arranged on substrate, said master electrode comprising a pattern layer, least partly of an insulating material and having a first surface provided with a plurality of cavities in which a conducting material is arranged, said electrode conducting material being electrically connected to at least one electrode current supply contact; said substrate comprising a top surface in contact with or arranged adjacent said first surface and having conducting material and/or structures of a conducting material arranged thereon, said substrate conducting material being electrically connected to at least one current supply contact; whereby a plurality of electrochemical cells are formed delimited by said cavities, said substrate conducting material and said electrode conducting material, said cells comprising an electrolyte; herein an electrode resistance between said electrode conducting material and said electrode current supply contact and a substrate resistance between said substrate conducting material and said substrate current supply contact are adapted for providing a predetermined current density in each electrochemical cell.

Description

마스터 전극 및 이의 형성 방법{MASTER ELECTRODE AND METHOD OF FORMING IT}Master electrode and its formation method {MASTER ELECTRODE AND METHOD OF FORMING IT}

본 발명은 마스터 전극 및 상기 마스터 전극의 형성 방법에 관한 것이다. 마스터 전극은 2005년 11월 28일자로 출원되고 명칭이 "METHOD OF FORMING A MULTILAYER STRUCTURE"인 공동계류중인 스웨덴 특허 출원 번호 0502538-2에 설명된 바와 같은 에칭 및 도금 방법에서 사용 가능하다. 이 명세서의 내용은 본원에 참조되어 있다. 마스터 전극은 명칭이 "ELECTRODE AND METHOD OF FORMING THE ELECTRODE"인 공동계류중인 스웨덴 특허 출원 번호 0502539-2에 설명된 마스터 전극과 유사하다. 이 명세서의 내용은 본원에 참조되어 있다. 마스터 전극은 단일 또는 다중 층에서 마이크로 또는 나노 구조를 포함하는 애플리케이션의 제조를 가능하게 하는데 적합하다. 마스터 전극은 PWB(인쇄 배선 기판), PCB(인쇄 회로 기판), MEMS(마이크로 전자 기계적 시스템), IC(집적 회로) 상호접속부, 상위 IC 상호접속부, 센서, 평판 디스플레이, 자기 및 광 저장 장치, 태양 전지 및 다른 전자 장치에 유용하다. 도전성 폴리머 내의 상이한 유형의 구조, 반도체 내의 구조, 금속 내의 구조, 및 다른 것이 이 마스터 전극을 사용하여 제조하는 것이 가능하다. The present invention relates to a master electrode and a method of forming the master electrode. The master electrode is usable in an etching and plating method as described in co-pending Swedish patent application No. 0502538-2, filed November 28, 2005 and entitled "METHOD OF FORMING A MULTILAYER STRUCTURE". The contents of this specification are incorporated herein by reference. The master electrode is similar to the master electrode described in co-pending Swedish patent application No. 0502539-2, entitled “ELECTRODE AND METHOD OF FORMING THE ELECTRODE”. The contents of this specification are incorporated herein by reference. Master electrodes are suitable for enabling the manufacture of applications comprising micro or nano structures in single or multiple layers. Master electrodes include printed wiring boards (PWBs), printed circuit boards (PCBs), microelectromechanical systems (MEMS), integrated circuits (ICs) interconnects, upper IC interconnects, sensors, flat panel displays, magnetic and optical storage devices, solar It is useful for batteries and other electronic devices. Different types of structures in conductive polymers, structures in semiconductors, structures in metals, and others are possible using this master electrode.

WO 02/103085는 전기화학적 패턴 복제 방법(ECPR) 및 마이크로 및 나노 구조 를 포함하는 어플라이언스(applicace)의 제조를 위한 도전성 마스터 전극의 구성과 관련된다. 마스터 전극에 의해 규정되는 에칭 및 도금 패턴은 전기적 도전성 재료, 기판 상에 복제된다. 마스터 전극은 상기 기판과 밀접하게 되고, 에칭/도금 패턴은 콘택 에칭/도금 프로세스(contact etching/plating process)를 사용함으로써 기판 상에 직접 전달된다. 콘택 에칭/도금 프로세스는 마스터 전극 및 기판 사이의 폐쇄되거나 개방된 캐비티 내에 형성되는 로컬 전기화학적 셀(local electrochemical cell)에서 수행된다. WO 02/103085 relates to the construction of a conductive master electrode for the production of an appliance comprising an electrochemical pattern replication method (ECPR) and micro and nano structures. The etching and plating pattern defined by the master electrode is replicated on the electrically conductive material, the substrate. The master electrode is in close contact with the substrate and the etching / plating pattern is transferred directly onto the substrate by using a contact etching / plating process. The contact etch / plating process is performed in a local electrochemical cell formed in a closed or open cavity between the master electrode and the substrate.

마스터 전극은 구조가 구성될 기판과의 협동에 사용된다. 마스터 전극은 에칭 또는 도금이 행해지는 적어도 하나, 통상적으로는 다수의 전기화학적 셀을 형성한다. The master electrode is used in cooperation with the substrate on which the structure is to be constructed. The master electrode forms at least one, typically a plurality of electrochemical cells, to which etching or plating is performed.

마스터 전극은 마스터 전극이 다수의 에칭 또는 도금 프로세스에 사용되어야 하기 때문에 내구성이 있는 재료로 구성될 수 있다. The master electrode may be constructed of a durable material because the master electrode must be used in multiple etching or plating processes.

문제점은 다른 에어리어보다 페리미터(perimeter)에서와 같은 시드 층(seed layer)의 콘택 에어리어에 더 가깝게 위치된 전기화학적 셀에서 에칭 레이트 또는 도금 레이트가 더 높을 수 있다는 것이다. The problem is that the etch rate or plating rate may be higher in electrochemical cells located closer to the contact area of the seed layer, such as in a perimeter than in other areas.

이 문제점은 기판에서 전류를 도통시키는 시드 층이 제공되는 기판 상에서 에칭 및/또는 도금이 수행될 때 일어나게 된다. 시드 층이 얇아서 시드 층에 걸쳐 실질적인 전위차가 발생하는 경우에, 전기화학적 셀 내의 전류 밀도는 기판의 표면에 걸쳐 가변되어, 에칭 깊이 또는 도금 높이가 가변된다. 이 문제점은 전류 밀도가 셀 전압을 지수적으로 따른다는 사실에 의해 악화된다. This problem occurs when etching and / or plating is performed on a substrate provided with a seed layer that conducts current in the substrate. In the case where the seed layer is thin such that a substantial potential difference occurs across the seed layer, the current density in the electrochemical cell is varied over the surface of the substrate, such that the etching depth or plating height is varied. This problem is exacerbated by the fact that the current density exponentially follows the cell voltage.

본 발명의 목적은 전술한 문제점이 적어도 부분적으로 제거되거나 경감되는 마스터 전극을 제공하는 것이다. It is an object of the present invention to provide a master electrode in which the aforementioned problems are at least partially eliminated or alleviated.

본 발명의 양상에 따르면, 기판 상에 배열된 마스터 전극을 포함하는 시스템이 제공되는데, 상기 마스터 전극은 도전성 재료가 배열되는 다수의 캐비티가 제공되는 제1 표면을 가지는 적어도 부분적으로 절연 재료의 패턴 층을 포함하며, 상기 전극 도전성 재료는 적어도 하나의 전극 전류 공급 콘택에 전기적으로 접속되고; 상기 기판은 상기 제1 표면과 콘택하거나 인접하게 배열되는 상부면을 포함하고 상부에 배열된 도전성 재료의 구조 및/또는 도전성 재료를 가지며, 상기 기판 도전성 재료는 적어도 하나의 전류 공급 콘택에 전기적으로 접속되며; 다수의 전기화학적 셀은 상기 캐비티, 상기 기판 도전성 재료 및 상기 전극 도전성 재료에 의해 범위가 정해지도록 형성되고, 상기 셀은 전해질을 포함하며, 상기 전극 도전성 재료와 상기 전극 전류 공급 콘택 사이의 전극 저항 및 상기 기판 도전성 재료와 상기 기판 전류 공급 콘택 사이의 기판 저항은 각각의 전기화학적 셀에서 소정의 전류 밀도를 제공하도록 적응된다. According to an aspect of the invention, a system is provided comprising a master electrode arranged on a substrate, said master electrode having a first surface provided with a plurality of cavities in which a conductive material is arranged, a pattern layer of at least partially insulating material. Wherein the electrode conductive material is electrically connected to at least one electrode current supply contact; The substrate comprises a top surface arranged in contact with or adjacent to the first surface and having a structure and / or conductive material of conductive material arranged thereon, the substrate conductive material electrically connected to at least one current supply contact. Become; A plurality of electrochemical cells are formed to be delimited by the cavity, the substrate conductive material and the electrode conductive material, the cell comprising an electrolyte, the electrode resistance between the electrode conductive material and the electrode current supply contact and The substrate resistance between the substrate conductive material and the substrate current supply contact is adapted to provide a predetermined current density in each electrochemical cell.

실시예에서, 전극 저항 및 상기 기판 저항 각각은 재료의 저항률에 의해 분할된 재료의 두께로서 규정된 소정의 특정 전도도를 갖는 적어도 하나의 전기적 도전성 재료에 의해 형성될 수 있다. 특정 전도도는 마스터 전극의 표면에 걸쳐 가변하도록 배열될 수 있다. 특정 전도도는 재료의 두께를 변화시킴으로써 가변되도록 배열될 수 있다. 특정 전도도는 또한 재료의 저항률을 변화시킴으로써 가변되도록 배열될 수 있다. 상기 재료는 상기 저항률을 제공하기 위하여 가변되도록 배열되는 도핑(doping)을 갖는 도핑된 반도체 재료일 수 있다. In an embodiment, each of the electrode resistance and the substrate resistance may be formed by at least one electrically conductive material having a certain specific conductivity defined as the thickness of the material divided by the resistivity of the material. The specific conductivity can be arranged to vary over the surface of the master electrode. The specific conductivity can be arranged to vary by varying the thickness of the material. The specific conductivity can also be arranged to vary by changing the resistivity of the material. The material may be a doped semiconductor material with doping arranged to vary to provide the resistivity.

실시예에서, 전극 도전성 재료는 상기 제1 표면과 실질적으로 동일한 범위를 갖는 디스크를 포함할 수 있다. 디스크는 도전성 및/또는 반도전성 재료로 이루어질 수 있다. 전극 도전성 재료는 각각의 캐비티의 하부 내에 배열된 캐비티 도전성 재료를 포함할 수 있다. 캐비티 도전성 재료는 상기 캐비티의 하부에 배열되고 불활성 재료로 이루어진 재료일 수 있다. 캐비티 도전성 재료는 상기 캐비티 내에 사전증착되고 적어도 부분적으로 도금 프로세스 동안 소모되는 부가적인 재료일 수 있다. 상기 캐비티 도전성 재료는 상기 디스크와 전기적으로 콘택될 수 있다. In an embodiment, the electrode conductive material can include a disk having a range substantially the same as the first surface. The disk may be made of a conductive and / or semiconductive material. The electrode conductive material may comprise a cavity conductive material arranged within the bottom of each cavity. The cavity conductive material may be a material arranged under the cavity and made of an inert material. The cavity conductive material may be an additional material that is pre-deposited in the cavity and at least partially consumed during the plating process. The cavity conductive material may be in electrical contact with the disk.

실시예에서, 디스크는 실질적으로 일정한 두께를 가질 수 있다. 상기 디스크는 상이한 특정 전도도를 갖는 다수의 디스크 부재를 포함할 수 있고, 상기 디스크 부재는 서로의 상부에 배열된다. In an embodiment, the disk can have a substantially constant thickness. The disk may comprise a plurality of disk members having different specific conductivity, which disk members are arranged on top of each other.

실시예에서, 전극 공급 콘택은 상기 디스크의 중앙에 배열될 수 있다. 전극 공급 콘택은 여러 이산 콘택을 포함할 수 있다. 이산 콘택은 디스크의 중심으로부터 반경방향으로 배열된 적어도 하나의 링 콘택 또는 링 세그먼트 콘택(ring segment contact)을 포함할 수 있다. 각각의 이산 콘택에는 도금 또는 에칭 프로세스 동안 특정 전위가 제공될 수 있다. In an embodiment, an electrode supply contact can be arranged in the center of the disk. The electrode supply contact may comprise several discrete contacts. Discrete contacts may include at least one ring contact or ring segment contact arranged radially from the center of the disk. Each discrete contact may be provided with a specific potential during the plating or etching process.

실시예에서, 상기 디스크는 실질적으로 원형일 수 있다. 상기 디스크 부재 중 적어도 하나의 두께는 디스크의 중앙까지의 거리에 따라 변화될 수 있다. In an embodiment, the disc may be substantially circular. The thickness of at least one of the disk members may vary depending on the distance to the center of the disk.

실시예에서, 기판 저항은 적어도 부분적으로 기판 상부면의 적어도 일부 상에 배열된 시드 층에 의해 제공될 수 있다. 기판 전극 콘택은 상기 기판 시드 층의 페리미터(perimeter)의 적어도 일부에 배열될 수 있다. 기판 전극 콘택은 상기 기판 시드 층의 페리미터를 따라 배열될 수 있다. 기판 전극 콘택은 여러 이산 콘택을 포함할 수 있다. 각각의 이산 콘택에는 도금 및 에칭 프로세스 동안 특정 전위가 공급될 수 있다. 마스터 전극은 상기 시드 층에 전류를 제공하기 위해 상기 시드 층과 콘택하는 적어도 하나의 콘택 에어리어를 포함할 수 있다. In an embodiment, the substrate resistance can be provided at least in part by a seed layer arranged on at least a portion of the substrate top surface. Substrate electrode contacts may be arranged in at least a portion of the perimeter of the substrate seed layer. Substrate electrode contacts may be arranged along the perimeter of the substrate seed layer. The substrate electrode contact may comprise several discrete contacts. Each discrete contact may be supplied with a specific potential during the plating and etching process. The master electrode may include at least one contact area in contact with the seed layer to provide current to the seed layer.

실시예에서, 패턴 층은 상기 에어리어어에 걸쳐 상기 기판 도전성 재료의 특정 전도도를 증가시키기 위하여 도금 또는 에칭 프로세스 동안 상기 기판 도전성 재료와 콘택하는 상기 캐비티들 사이의 부분에서 상기 제1 표면 내에 배열된 도전성 재료의 적어도 하나의 에어리어를 포함할 수 있다. In an embodiment, a patterned layer is arranged in the first surface at the portion between the cavities that contacts the substrate conductive material during a plating or etching process to increase the specific conductivity of the substrate conductive material over the area. It may include at least one area of material.

실시예에서, 상기 전극 도전성 재료의 표면 및/또는 상기 기판 도전성 재료의 표면에 걸친 전위차가 상당하여, 상기 표면들 사이에서 상기 전기화학적 셀의 전류 밀도 차가 2% 이상과 같이 1% 이상인 경우에, 적응이 수행될 수 있다. 상기 적응은 전극 도전성 재료의 특정 전도도가 평균적으로 상기 기판 도전성 재료의 특정 전도도의 0.5 내지 20 배 사이와 같이 0.1 내지 100 배 사이, 예를 들어, 1 내지 7 배와 같이 1 내지 10 사이가 되도록 할 수 있다. 각각의 캐비티에는 각각의 캐비티에 늑정된 두께를 갖는 재료가 제공될 수 있다. In an embodiment, the potential difference across the surface of the electrode conductive material and / or the surface of the substrate conductive material is significant such that the current density difference of the electrochemical cell between the surfaces is at least 1%, such as at least 2%, Adaptation can be performed. The adaptation may be such that the specific conductivity of the electrode conductive material is on average between 0.1 and 100 times, such as between 0.5 and 20 times the specific conductivity of the substrate conductive material, for example between 1 and 10, such as 1 to 7 times. Can be. Each cavity may be provided with a material having a thickness thicknessed in each cavity.

또 다른 양상에서, 기판 상에 배열되도록 의도된 마스터 전극이 제공되는데, 상기 마스터 전극은 도전성 재료가 배열되는 다수의 캐비티가 제공되는 제1 표면을 가지는 적어도 부분적으로 절연 재료의 패턴 층을 포함하며, 상기 전극 도전성 재료는 적어도 하나의 전극 전류 공급 콘택에 전기적으로 접속되고; 다수의 전기화학적 셀은 상기 캐비티, 상기 전극 도전성 재료 및 기판에 의해 범위가 정해지도록 형성되게 되며; 상기 전극 도전성 재료와 상기 전극 전류 공급 콘택 사이의 전극 저항은 형성될 각각의 전기화학적 셀에서 소정의 전류를 제공하기 위하여 의도된 기판 도전성 재료와 관련되도록 적응된다. In another aspect, there is provided a master electrode intended to be arranged on a substrate, the master electrode comprising a pattern layer of at least partially insulating material having a first surface provided with a plurality of cavities in which the conductive material is arranged, The electrode conductive material is electrically connected to at least one electrode current supply contact; A plurality of electrochemical cells are formed to be delimited by the cavity, the electrode conductive material and the substrate; The electrode resistance between the electrode conductive material and the electrode current supply contact is adapted to relate to the substrate conductive material intended to provide a predetermined current in each electrochemical cell to be formed.

실시예에서, 상기 전극 저항 및 상기 기판 저항 각각은 재료의 저항률에 의해 분할되는 재료의 두께로서 규정되는 소정의 특정 전도도를 갖는 적어도 하나의 전기적 도전성 재료에 의해 형성될 수 있다. 상기 특정 전도도는 마스터 전극의 표면에 걸쳐 가변하도록 배열될 수 있다. 상기 특정 전도도는 재료의 두께를 변화시킴으로써 가변되도록 배열될 수 있다. 상기 특정 전도도는 재료의 저항률을 변화시킴으로써 가변되도록 배열될 수 있다. 상기 재료는 상기 가변 저항률을 제공하기 위하여 가변되도록 배열되는 도핑을 갖는 도핑된 반도체 재료일 수 있다. In an embodiment, each of the electrode resistance and the substrate resistance may be formed by at least one electrically conductive material having a certain specific conductivity defined as the thickness of the material divided by the resistivity of the material. The specific conductivity can be arranged to vary over the surface of the master electrode. The specific conductivity can be arranged to vary by varying the thickness of the material. The specific conductivity can be arranged to vary by varying the resistivity of the material. The material may be a doped semiconductor material with doping arranged to vary to provide the variable resistivity.

실시예에서, 상기 전극 도전성 재료는 상기 제1 표면과 실질적으로 동일한 범위를 갖는 디스크를 포함할 수 있다. 상기 디스크는 도전성 및/또는 반도전성 재료로 이루어질 수 있다. 상기 전극 도전성 재료는 각각의 캐비티의 하부에 배열된 캐비티 도전성 재료를 포함할 수 있다. 상기 캐비티 도전성 재료는 상기 캐비티의 하부에 배열되고 불활성 재료로 이루어지는 재료일 수 있다. 상기 캐비티 도전성 재료는 상기 캐비티 내에 사전증착되고 도금 프로세스 동안 적어도 부분적으로 소모되는 부가적인 재료일 수 있다. 상기 캐비티 도전성 재료는 상기 디스크와 전기적으로 콘택할 수 있다. In an embodiment, the electrode conductive material can include a disk having a range substantially the same as the first surface. The disk may be made of a conductive and / or semiconductive material. The electrode conductive material may comprise a cavity conductive material arranged under each cavity. The cavity conductive material may be a material arranged under the cavity and made of an inert material. The cavity conductive material may be an additional material that is pre-deposited in the cavity and at least partially consumed during the plating process. The cavity conductive material may be in electrical contact with the disk.

실시예에서, 상기 디스크는 실질적으로 일정한 두께를 갖는다. 상기 디스크는 상이한 특정 전도도를 갖는 다수의 디스크 부재를 포함할 수 있고, 상기 디스크 부재는 서로의 상부에 배열된다. 전극 공급 콘택은 상기 디스크의 중앙에 배열될 수 있다. 상기 전극 공급 콘택은 여러 이산 콘택을 포함할 수 있다. 상기 이산 콘택은 디스크의 중앙으로부터 반경방향으로 배열된 적어도 하나의 링 콘택 또는 링 세그먼트 콘택을 포함할 수 있다. 상기 이산 콘택에는 도금 또는 에칭 프로세스 동안 특정 전위가 제공될 수 있다. In an embodiment, the disk has a substantially constant thickness. The disk may comprise a plurality of disk members having different specific conductivity, which disk members are arranged on top of each other. An electrode supply contact can be arranged in the center of the disk. The electrode supply contact may comprise several discrete contacts. The discrete contacts may include at least one ring contact or ring segment contact arranged radially from the center of the disk. The discrete contacts may be provided with a specific potential during the plating or etching process.

실시예에서, 상기 디스크는 실질적으로 원형일 수 있다. 상기 디스크 부재 중 적어도 하나의 두께는 디스크의 중심까지의 거리에 따라 변화될 수 있다. 각각의 캐비티에는 각각의 캐비티에 특정되는 두께를 갖는 재료가 제공될 수 있다. In an embodiment, the disc may be substantially circular. The thickness of at least one of the disk members may vary depending on the distance to the center of the disk. Each cavity may be provided with a material having a thickness specific to each cavity.

부가적인 양상에서, 캐비티가 형성되는 절연 재료를 포함하는 패턴 층, 및 상기 캐비티의 하부를 형성하고 전원으로의 외부 접속을 위한 콘택 부를 가지는 도전성 전극 층을 갖는 마스터 전극의 캐비티 내에서의 재료의 사전증착 방법이 제공되는데, 상기 방법은: 서포트(support)에 도전성 부재를 배열하는 단계; 적어도 2개의 콘택 부에서 상기 도전성 전극 층 및 상기 콘택 부재 사이의 전기적 콘택을 달성하기 위하여 상기 콘택 부재 상에 상기 마스터 전극을 배열하는 단계; 상기 마스터 전극 상에 상기 캐비티 내에 증착될 재료의 전기도금 애노드를 배열하는 단계로서, 상기 전기화학절 셀이 상기 캐비티, 상기 기판 도전성 전극 층 및 상기 전기도금 애노드에 의해 범위가 정해지도록 형성되고, 상기 셀이 전해질을 포함하는, 상기 전기도금 애노드 배열 단계; 상기 도전성 전극 층의 상부에서 캐비티 내에 상기 재료를 증착시키기 위하여, 상기 전기도금 애노드 및 상기 콘택 부재에 전원을 접속시켜서, 상기 전기화학절 셀을 통해 전류를 통과시켜 상기 애노드로부터 캐소드인 상기 도전성 전극 층으로 재료를 전달하도록 하는 단계를 포함한다. In an additional aspect, a material layer in the cavity of the master electrode has a patterned layer comprising an insulating material from which the cavity is formed, and a conductive electrode layer having a bottom portion of the cavity and contact portions for external connection to a power source. A deposition method is provided, the method comprising: arranging a conductive member on a support; Arranging the master electrode on the contact member to achieve electrical contact between the conductive electrode layer and the contact member in at least two contact portions; Arranging an electroplating anode of material to be deposited in the cavity on the master electrode, wherein the electrochemical cell is formed to be delimited by the cavity, the substrate conductive electrode layer and the electroplating anode, An electroplating anode arrangement, wherein the cell comprises an electrolyte; The conductive electrode layer being a cathode from the anode by connecting a power source to the electroplating anode and the contact member to deposit the material in the cavity on top of the conductive electrode layer and passing current through the electrochemical cell. To deliver the material to the device.

부가적인 양상에서, 마스터 전극에 의해 기판의 에칭 또는 도금을 수행하는 방법이 제공되는데, 상기 마스터 전극은 도전성 재료가 배열되는 다수의 캐비티가 제공되는 제1 표면을 가지는 적어도 부분적으로 절연 재료의 패턴 층을 포함하며, 상기 전극 도전성 재료는 적어도 하나의 전극 전류 공급 콘택에 전기적으로 접속되며, 상기 방법은: 서포트 상에 상기 마스터 전극을 배열하는 단계; 상기 캐비티에 전해질을 공급하는 단계; 상기 마스터 전극 상에 기판을 배열하는 단계로서, 상기 기판은 상부에 배열된 도전성 재료 및/또는 도전성 재료의 구조를 갖는 상부면을 포함하고, 상기 기판 도전성 재료는 적어도 하나의 전류 공급 콘택에 전기적으로 접속되며, 전기화학적 셀은 상기 캐비티, 상기 기판 도전성 전극 층 및 상기 전극 도전성 재료에 의해 범위가 정해지도록 형성되며, 상기 셀은 전해질을 포함하는, 기판 배열 단계; 전원을 상기 전극 전류 공급 콘택 및 상기 기판 전류 공급 콘택에 접속시켜서, 상기 전기화학적 셀을 통해 전류를 통과시켜, 상기 마스터 전극 및 상기 기판 사이에 전류를 통과시키도록 하는 단계를 포함하며, 상기 방법은 마스터 전극을 선택하는 단계를 더 포함하며, 마스터 전극의 특정 저항률은 기판 도전성 재료에 적응된다. In an additional aspect, a method of performing etching or plating of a substrate by a master electrode is provided, wherein the master electrode has a first surface provided with a plurality of cavities in which a conductive material is arranged, the pattern layer of at least partially insulating material Wherein the electrode conductive material is electrically connected to at least one electrode current supply contact, the method comprising: arranging the master electrode on a support; Supplying an electrolyte to the cavity; Arranging a substrate on said master electrode, said substrate comprising a top surface having a structure of conductive material and / or conductive material arranged thereon, said substrate conductive material electrically contacting at least one current supply contact; Connected, wherein an electrochemical cell is formed to be delimited by the cavity, the substrate conductive electrode layer and the electrode conductive material, the cell comprising an electrolyte; Connecting a power source to the electrode current supply contact and the substrate current supply contact to pass a current through the electrochemical cell to allow a current to pass between the master electrode and the substrate, the method comprising: Selecting a master electrode, wherein the specific resistivity of the master electrode is adapted to the substrate conductive material.

본 발명의 부가적인 목적, 특성 및 장점은 도면을 참조하여 여러 실시예의 다음의 상세한 설명으로부터 나타날 것이다. Additional objects, features and advantages of the invention will appear from the following detailed description of several embodiments with reference to the drawings.

도1(a) 내지 1(d)는 도전성 또는 반도전성 캐리어로부터 마스터 전극을 형성할 시의 여러 방법 단계의 개략적인 단면도. 1 (a) to 1 (d) are schematic cross-sectional views of various method steps when forming a master electrode from a conductive or semiconductive carrier.

도2(a) 내지 2(d)는 비-도전성 캐리어로부터 마스터 전극을 형성할 시에 여러 방법 단계의 개략적인 단면도. 2 (a) to 2 (d) are schematic cross-sectional views of various method steps in forming a master electrode from a non-conductive carrier.

도3(a) 내지 3(e)는 패턴 내에 추가된 도전성 층을 갖는 도전성 캐리어로부터 마스터 전극을 형성할 시에 여러 방법 단계의 개략적인 단면도. 3 (a) to 3 (e) are schematic cross-sectional views of various method steps in forming a master electrode from a conductive carrier having a conductive layer added in a pattern.

4(a) 내지 4(e)는 캐리어 내에 배열된 패턴을 갖는 마스터 전극을 형성할 시에 여러 방법 단계의 개략적인 단면도. 4 (a) to 4 (e) are schematic cross-sectional views of various method steps in forming a master electrode having a pattern arranged in a carrier.

도5는 패턴의 셀이 깊은 마스터 전극의 개략적인 단면도. 5 is a schematic cross-sectional view of a master electrode with a deep patterned cell;

도6(a) 내지 6(c)는 접착 층 본딩된 절연 패턴 층을 갖는 마스터 전극을 형성할 시에 여러 방법 단계의 개략적인 단면도. 6 (a) to 6 (c) are schematic cross-sectional views of various method steps in forming a master electrode having an insulating pattern layer bonded to an adhesive layer.

도7(a) 및 큰 기판 상에 도포된 마스터 전극의 개략적인 단면도이며, 도7(b)는 이의 상면도. Fig. 7 (a) and a schematic cross sectional view of a master electrode applied on a large substrate, and Fig. 7 (b) is a top view thereof.

도7(c)는 하나 또는 여러 리세스가 제공되는 마스터 전극의 개략적인 단면도이며, 도7(d) 및 7(e)는 이의 상면도. Fig. 7 (c) is a schematic cross sectional view of a master electrode provided with one or several recesses, and Figs. 7 (d) and 7 (e) are top views thereof.

도7(f) 내지 7(i)는 기판으로의 콘택 에어리어가 제공되는 마스터 전극의 개략적인 단면도. 7 (f) to 7 (i) are schematic cross sectional views of a master electrode provided with a contact area to a substrate;

도8(a) 내지 8(b)는 일 실시예에서, 마스터 전극 및 시드 층에서의 전위 분포를 도시한 도면이며, 도8(c)는 전류 분포를 도시한 도면. 8 (a) to 8 (b) show the potential distribution in the master electrode and seed layer in one embodiment, and FIG. 8 (c) shows the current distribution.

도9(a) 및 9(b)는 또 다른 실시예에서, 마스터 전극 및 시드 층에서의 전위 분포를 도시한 도면이며, 도9(c)는 전류 분포를 도시한 도면. 9 (a) and 9 (b) show potential distributions in the master electrode and seed layer in another embodiment, and Fig. 9 (c) shows the current distribution.

도10(a) 및 10(b)는 또 다른 실시예에서 시드 층에서의 전위 분포 및 전류 분포를 도시한 도면. 10 (a) and 10 (b) show the potential and current distributions in the seed layer in another embodiment.

도11(a) 및 11(b)는 또 다른 실시예에서, 마스터 전극 및 시드 층의 전위 분포를 도시한 도면이며, 도11(c)는 전류 분포를 도시한 도면. 11 (a) and 11 (b) show a potential distribution of the master electrode and seed layer in another embodiment, and FIG. 11 (c) shows a current distribution.

도12는 여러 전기화학적 셀에서의 도전성 경로의 확대된 단면도. 12 is an enlarged cross sectional view of a conductive pathway in various electrochemical cells.

도13(a) 및 13(b)는 기판이 시작부로부터 오목한 단면도. 13 (a) and 13 (b) are sectional views in which the substrate is concave from the beginning;

도14(a) 및 14(b)는 기판이 시작부로부터 볼록한, 도13(a) 및 13(b)와 유사한 단면도. Figures 14 (a) and 14 (b) are cross sectional views similar to Figures 13 (a) and 13 (b), with the substrate convex from the beginning;

도15(a) 내지 15(e)는 패턴 층 내에 3차원 캐비티가 제공되는 마스터 전극의 실시예의 개략적인 단면도. 15A to 15E are schematic cross-sectional views of an embodiment of a master electrode provided with a three-dimensional cavity in a pattern layer.

도16(a) 내지 16(c)는 패턴 층 내에 3차원 캐비티가 제공되는 마스터 전극의 또 다른 실시예의 개략적인 단면도. 16 (a) to 16 (c) are schematic cross-sectional views of another embodiment of a master electrode provided with a three-dimensional cavity in a pattern layer.

도17(a) 및 17(b)는 사전증착된 재료의 불균일한 분포를 가진 상이한 깊이의 캐비티를 갖는 마스터 전극의 실시예를 도시한 개략적인 단면도. 17 (a) and 17 (b) are schematic cross-sectional views of embodiments of master electrodes having cavities of different depths with non-uniform distribution of pre-deposited material.

도18(a) 및 18(b)는 사전증착된 재료의 불균일한 분포를 가진 캐비티를 갖는 마스터 전극의 또 다른 실시예의 개략적인 단면도. 18 (a) and 18 (b) are schematic cross-sectional views of another embodiment of a master electrode having a cavity having a non-uniform distribution of pre-deposited material.

도19(a)는 여러 콘택 부를 갖는 마스터 전극을 도시한 개략적인 단면도이며, 도19(b)는 도19(a)의 마스터 전극의 평면도. Fig. 19 (a) is a schematic cross sectional view showing a master electrode having various contact portions, and Fig. 19 (b) is a plan view of the master electrode of Fig. 19 (a).

도20(a)와 20(b) 및 도21(a)와 21(b)는 극성 및 선형 모델에서의 전기화학적 셀 및 전류 분포를 각각 도시한 개략적인 단면도. 20 (a) and 20 (b) and 21 (a) and 21 (b) are schematic cross-sectional views showing electrochemical cell and current distributions in polar and linear models, respectively.

이하에서, 본 발명의 여러 실시예가 도면을 참조하여 설명될 것이다. 이러한 실시예는 당업자가 본 발명을 실행할 수 있도록 하고 최상의 모드를 개시할 수 있도록 하기 위한 설명의 목적으로 기술된다. 그러나, 이와 같은 실시예는 본 발명을 제한하는 것이 아니라, 상이한 특성의 다른 조합이 본 발명의 범위 내에서 가능하다. In the following, several embodiments of the present invention will be described with reference to the drawings. These embodiments are described for the purpose of description to enable those skilled in the art to practice the invention and to initiate the best mode. However, such embodiments do not limit the invention, and other combinations of different features are possible within the scope of the invention.

에칭 및/또는 도금이 기판에서 전류를 도통시키는 시드 층이 제공된 기판 상에서 수행될 때, 시드 층에 걸쳐 상당한 전위차가 발생된다. 이것은 특히 시드 층이 얇고 에칭/도금 전류가 높을 때 그러하다. 시드 층의 표면에 걸친 전위차의 결과로서 전기화학적 셀에 걸쳐 약 1% 이상의 전위차가 존재하는 경우에, 전기화학적 셀의 전류 밀도는 형성되는 에칭/도금된 구조에서 높이차가 관측될 수 있는 정도까지 가변될 것이다. 이 문제점은 전기화학적 셀 내의 전류 밀도가 지수적으로 셀 전압을 따른다는 사실에 의해 증대된다. 셀 전압의 1% 변화는 구조의 높이의 더 큰 변화를 발생시킨다. When etching and / or plating is performed on a substrate provided with a seed layer that conducts current in the substrate, a significant potential difference occurs across the seed layer. This is especially true when the seed layer is thin and the etch / plating current is high. If there is at least about 1% potential difference across the electrochemical cell as a result of the potential difference across the surface of the seed layer, the current density of the electrochemical cell varies to such an extent that a height difference can be observed in the etched / plated structure formed. Will be. This problem is compounded by the fact that the current density in the electrochemical cell exponentially follows the cell voltage. A 1% change in cell voltage results in a larger change in the height of the structure.

이 문제점은 기판 상의 얇은 시드 층에 의해 더 커진다. 본 명세서에서, 자신의 저항률(ρ)에 의해 분할되는 도전성 층의 두께(h)인 특정 컨덕턴스의 개념이 사용될 것이다. 두께가 1μm 미만인 구리 층의 경우에, 이 문제점이 존재할 수 있 다. 이와 같은 얇은 층은 약 58 Ω-1의 특정 전도도를 갖는다. This problem is compounded by the thin seed layer on the substrate. In this specification, the concept of a specific conductance, which is the thickness h of the conductive layer divided by its resistivity ρ, will be used. In the case of a copper layer with a thickness of less than 1 μm, this problem may exist. Such thin layers have a specific conductivity of about 58 Ω −1 .

약 100 Ω-1 이하, 예를 들어, 20 Ω- 1이하와 같이 특정 전도도가 1000 Ω- 1이하일 때 이 현상을 관측하였다. This phenomenon was observed when the specific conductivity was 1000 Ω - 1 or less, such as about 100 Ω -1 or less, for example 20 Ω - 1 or less.

이와 같은 관측은 약 0.1A/dm2 및 100A/dm2 사이의 전류 밀도에서 행해졌다. This observation was made at current densities between about 0.1 A / dm 2 and 100 A / dm 2 .

상기 문제점은 또한 상이한 콘택 기하구조에 대해 상이하다. 기판에서, 시드 층은 종종 기판의 페리미터에서만 콘택될 수 있다. 기판이 다른 장소에서, 예를 들어, 비아(via)를 통하여 콘택될 수 있는 경우, 전위차는 제거되거나 감소될 수 있다. The problem is also different for different contact geometries. In a substrate, the seed layer can often be contacted only at the perimeter of the substrate. If the substrate can be contacted elsewhere, for example through vias, the potential difference can be eliminated or reduced.

종종, 기판에서의 도전성 구조는 특정 전도도를 증가시키는 것을 도움으로써, 이 문제점을 감소시킨다. Often, conductive structures in the substrate help to increase the specific conductivity, thereby reducing this problem.

이하에서, 이 문제점을 감소시키는 일부 실시예가 제공된다. 따라서, 전기화학적 셀을 형성하는데 사용되는 마스터 전극은 전기화학적 셀에 걸치 전위차를 어느 정도까지 모방하도록 설계된다. In the following, some embodiments are provided to reduce this problem. Thus, the master electrode used to form the electrochemical cell is designed to mimic to some extent the potential difference across the electrochemical cell.

이것은 마스터 전극 내의 여러 수단에 의해 행해질 수 있다. This can be done by various means in the master electrode.

마스터 전극의 특정 전도도는 기판의 도전성 층의 전도도에 적응될 수 있다. 따라서, 마스터 전극의 특정 전도도는 기판의 도전성 층의 특정 전도도의 0.5 내지 20배 사이, 1 내지 10배 사이와 같이 약 0.1에서 100배까지이어야 한다. The specific conductivity of the master electrode can be adapted to the conductivity of the conductive layer of the substrate. Thus, the specific conductivity of the master electrode should be from about 0.1 to 100 times, such as between 0.5 and 20 times and between 1 and 10 times the specific conductivity of the conductive layer of the substrate.

기판의 얇은 시드 층이 시드 층의 페리미터에 걸쳐 콘택되고 마스터 전극이 중심에서 콘택되는 극성 기하구조에서, 마스터 전극의 특정 전도도는 기판의 도전 성 층의 특정 전도도의 약 3에서 8배까지, 예를 들어, 약 5에서 7배까지와 같이 약 1에서 10배까지이어야 한다. In polar geometries where the thin seed layer of the substrate is contacted over the perimeter of the seed layer and the master electrode is contacted at the center, the specific conductivity of the master electrode is about 3 to 8 times the specific conductivity of the conductive layer of the substrate, eg For example, it should be about 1 to 10 times, such as about 5 to 7 times.

기판의 얇은 시드 층이 시드 층의 일측에 걸쳐 콘택되고 마스터 전극이 다른 측에 걸쳐 콘택되는 선형 기하구조에서, 마스터 전극의 특정 전도도는 기판의 도전성 층의 특정 전도도의 0.5에서 5배까지, 예를 들어, 약 0.8에서 1.2배까지와 같이 약 0.2에서 10배까지이어야 한다. In a linear geometry in which the thin seed layer of the substrate is contacted over one side of the seed layer and the master electrode is contacted over the other side, the specific conductivity of the master electrode is from 0.5 to 5 times the specific conductivity of the conductive layer of the substrate, for example For example, from about 0.2 to 10 times, such as from about 0.8 to 1.2 times.

마스터 전극의 특정 전도도는 기판에 걸쳐 가변될 수 있고, 이는 도전성 재료의 두께를 가변시키거나 상기 재료의 저항률을 가변시킴으로써 달성될 수 있다. 저항률은 예를 들어, 기판에 걸쳐 가변 정도로 도핑되는 실리콘과 같은 반도전성 재료를 가짐으로써 가변될 수 있다. 도핑 레이트는 저항률을 결정한다. The specific conductivity of the master electrode can vary over the substrate, which can be achieved by varying the thickness of the conductive material or varying the resistivity of the material. The resistivity can be varied, for example, by having a semiconductive material, such as silicon, which is doped to varying degrees across the substrate. The doping rate determines the resistivity.

마스터 전극이 중심에서 콘택되는 실질적으로 중심 또는 극성 레이아웃에서, 특정 전도도는 중앙에서 높고 페리미터를 향해 감소될 수 있다. In a substantially central or polar layout where the master electrode is contacted at the center, the specific conductivity can be high at the center and reduced towards the perimeter.

상기 문제점을 해결하는 또 다른 수단은 기판에 걸쳐 여러 콘택 부를 갖는 마스터 전극을 제공하고 기판에서 전류 밀도 분포를 모방하는 상이한 전위의 전압을 상기 콘택 부에 공급하는 것이다. 이 방식으로 전기화학적 셀에 걸친 전위차는 기판의 표면에 걸친 변동보다 더 적게 될 수 있다. Another means to solve the problem is to provide a master electrode having several contact portions across the substrate and to supply the contact portions with voltages of different potentials that mimic the current density distribution in the substrate. In this way, the potential difference across the electrochemical cell can be less than the variation across the surface of the substrate.

마스터 전극의 가변하는 특정 전도도 및 여러 콘택 부의 사용을 결합함으로써, 각 셀에서의 전류 밀도가 제어될 수 있다. By combining the varying specific conductivity of the master electrode and the use of several contact portions, the current density in each cell can be controlled.

일부 실시예에서, 기판의 표면에 걸쳐 가변 전류 밀도를 갖는 것이 바람직하다. 이 상황에서, 마스터 전극 도전성 재료의 가변하거나 적응된 특정 전도도 및 여러 콘택 부의 사용은 희망하는 전류 분포를 달성하기 위하여 결합될 수 있다. In some embodiments, it is desirable to have a variable current density over the surface of the substrate. In this situation, the variable or adapted specific conductivity of the master electrode conductive material and the use of various contact portions can be combined to achieve the desired current distribution.

마스터 전극은 예상된 기판 조건에 적응된다. 그러나, 캐비티 내에서의 사전증착된 애노드 재료에 의한 도금 동안, 사전증착은 애노드 플레이트, 애노드 디스크 또는 애노드 볼(anode ball)과 같은 전기도금 애노드로부터의 전기화학적 증착에 의해 발생할 수 있다. 전극 도전성 재료가 낮은 전도도를 갖는 경우에, 특히 애노드가 두껍고 매우 도전적이면, 마스터 전극의 중앙 콘택 부로부터 떨어진 캐비티에서 사전증착이 더 적어질 위험이 있다. 이 현상을 중화하기 위하여, 마스터 전극에는 여러 콘택 부가 제공되거나, 상기 마스터 전극이 더 큰 에어리어에 걸쳐 콘택 가능하게 될 수 있어서, 사전증착 레이트의 차이를 감소시킬 수 있다. 따라서, 특정 콘택 부는 사전증착에 사용되고, 다른 콘택 부는 도금 프로세스에 사용될 수 있다. The master electrode is adapted to the expected substrate conditions. However, during plating with predeposited anode material in the cavity, predeposition can occur by electrochemical deposition from an electroplating anode, such as an anode plate, an anode disc or an anode ball. In the case where the electrode conductive material has low conductivity, especially if the anode is thick and very conductive, there is a risk of less predeposition in the cavity away from the central contact portion of the master electrode. To neutralize this phenomenon, the master electrode can be provided with several contacts or the master electrode can be made contactable over a larger area, thereby reducing the difference in predeposition rate. Thus, certain contact portions can be used for predeposition and other contact portions can be used for the plating process.

각각의 전기화학적 셀의 전류 밀도는 애노드 및 캐소드 간의 차이에 따른다. 이것은 특히 전기화학적 셀에 사용된 전해질의 전도도가 낮거나 전류 밀도가 제한 전류에 가까운 것과 같이 높은 경우에, 그러하다. 따라서, 전기화학적 셀들 간의 전류 밀도 분포는 각각의 셀 내의 재료의 두께에 의해 제어될 수 있다. The current density of each electrochemical cell depends on the difference between the anode and the cathode. This is especially the case when the conductivity of the electrolyte used in the electrochemical cell is low or the current density is high, such as close to the limiting current. Thus, the current density distribution between the electrochemical cells can be controlled by the thickness of the material in each cell.

전술한 원리는 전술한 마스터 전극에서 구현될 수 있다. 이하에서, 마스터 전극 및 마스터 전극을 형성하는 방법에 관한 어떤 일반적인 주의사항이 제공된다. 후술되는 전기화학적 패턴 복제(ECPR) 기술을 사용하는 것을 포함하는 하나 또는 다중 재료의 구조의 하나 또는 다중 층을 생성하는데 사용될 수 있는 마스터 전극을 형성하는 여러 방법이 설명된다. 상기 방법은 일반적으로 적어도 일부 내에 도 전성/반도전성인 캐리어를 포함하는 마스터 전극을 형성하는 단계; ECPR 도금에서의 애노드 및 ECPR 에칭에서의 캐도드의 기능을 하는 도전성 전극 층을 형성하는 단계; 및 외부 전원으로부터 캐리어의 도전성 부분 및/또는 도전성 전극 층으로의 전기적 콘택을 가능하게 하는 방식으로, ECPR 에칭 또는 도금이 ECPR 프로세스에서 발생할 수 있는 캐비티를 규정하는 절연 패턴 층을 형성하는 단계를 포함한다. The aforementioned principle can be implemented in the above-described master electrode. In the following, some general precautions regarding the master electrode and the method of forming the master electrode are provided. Several methods of forming a master electrode that can be used to create one or multiple layers of a structure of one or multiple materials, including using the electrochemical pattern replication (ECPR) technique described below, are described. The method generally comprises forming a master electrode in at least a portion comprising a carrier that is conductive / semiconductive; Forming a conductive electrode layer that functions as an anode in ECPR plating and a cathode in ECPR etching; And forming an insulating pattern layer defining a cavity in which ECPR etching or plating can occur in the ECPR process, in a manner that enables electrical contact from the external power source to the conductive portion and / or conductive electrode layer of the carrier. .

마스터 전극은 전기화학적 셀을 형성하는 적어도 하나의 캐비티를 포함한다. 단일 셀이 존재할 수 있을지라도, 통상적으로 많은 수의 셀이 사용된다. 따라서, 마스터전극의 표면은 예를 들어, 1% 내지 50%의 셀 밀도를 갖는 것으로 간주될 수 있고, 이는 캐비티가 마스터 전극의 총 표면의 1% 내지 50%를 점유한다는 것을 의미한다. 마스터 전극은 수십 또는 수백 밀리미터, 수천 밀리미터까지의 측방향 치수를 가질 수 있고, 캐비티는 마이크로미터 또는 나노미터 크기일 수 있다. The master electrode includes at least one cavity that forms an electrochemical cell. Although there may be a single cell, typically a large number of cells are used. Thus, the surface of the master electrode can be considered to have a cell density of, for example, 1% to 50%, which means that the cavity occupies 1% to 50% of the total surface of the master electrode. The master electrode can have lateral dimensions of tens or hundreds of millimeters, up to thousands of millimeters, and the cavity can be micrometer or nanometer size.

마스터 전극은 다음 3개의 단계, 즉The master electrode has three steps, namely

a) 다중 전기화학적 셀을 형성하기 위하여, 마스터 전극을 기판을 시드 층과 같은 기판과 콘택하도록 하는 단계; a) contacting the master electrode with a substrate, such as a seed layer, to form a multielectrochemical cell;

b) 에칭에 의하여 상기 시드 층 내에 구조를 형성하거나 도금에 의하여 상기 시드 층 상에 구조를 형성하는 단계; 및b) forming a structure in the seed layer by etching or forming a structure on the seed layer by plating; And

c) 상기 기판으로부터 상기 마스터 전극을 분리하는 단계를 포함하는 전기화학적 패턴 복제(ECPR) 기술을 사용하여 하나 또는 다중 구조 층을 생성하는데 사용될 것이다. c) will be used to create one or multiple structural layers using electrochemical pattern replication (ECPR) techniques comprising separating the master electrode from the substrate.

제1 단계(a)에서, 통상적으로 백금 또는 금과 같은 불활성이며 구리, 또는 니켁과 같은 사전증착된 애노드 재료의 적어도 하나의 재료의 전기적 도전성 전극 층 및 절연 패턴 층을 포함하는 마스터 전극은 전해질의 존재 시에 기판의 도전성 상부 층 또는 시드 층과 물리적으로 밀접하게 된다. 이 방식으로, 전기화학적 셀이 형성되고, 전해질로 채워진다. 상기 셀은 마스터 전극 상의 절연 구조의 캐비티, 마스터 전극의 도전성 전극 층 또는 사전증착된 애노드 재료 및 기판의 도전성 상부 층에 의해 규정된다. In a first step (a), a master electrode comprising an electrically conductive electrode layer and an insulating pattern layer of at least one material of a pre-deposited anode material, typically inert, such as platinum or gold, is formed of an electrolyte When present, it is in physical proximity with the conductive top layer or seed layer of the substrate. In this way, an electrochemical cell is formed and filled with electrolyte. The cell is defined by a cavity of an insulating structure on the master electrode, a conductive electrode layer of the master electrode or a pre-deposited anode material and a conductive top layer of the substrate.

상기 시드 층은 Ru, Os, Hf, Re, Rh, Cr, Au, Ag, Cu, Pd, Pt, Sn, Ta, Ti, Ni, Al과 같은 금속, 이러한 재료의 합금, Si, W, TiN, TiW, NiB, NiP, NiCo, NiBW, NiM-P, W, TaN, Wo, Co, CoReP, CoP, CoWP, CoWB와 같은 다른 재료, 폴리아닐린과 같은 도전성 폴리머, 모넬, 파멀로이와 같은 SnPb, SnAg, SnAgCu, SnCu와 같은 땜납 재료, 및/또는 이의 조합을 포함한다. 기판의 시드 층은 ECPR 프로세스에서 사용하기 전에 클리닝 및 활성화된다. 클리닝 방법은 유기 용매, 예를 들어, 아세톤 또는 알코올; 및/또는 무기 용매, 예를 들어, 질산, 술폰산, 인산, 염산, 아세트산, 플루오르화수소산, 강산화제, 예를 들어, 페록사이드, 퍼설페이트, 염화 제2철, 및/또는 탈이온수의 사용을 포함할 수 있다. 클리닝은 또한 산소 플라즈마, 아르곤 플라즈마 및/또는 수소 플라즈마를 인가하거나 불순물을 기계적으로 제거함으로써 수행될 수 있다. 시드 층 표면의 활성화는 산화물을 제거하는 용액, 예를 들어, 술폰산, 질산, 염화수소산, 플루오르화수소산, 인산 및 에천트(etchant), 예를 들어, 나트륨-퍼설페이트, 암모늄-퍼설페이트, 수소-페록사이드, 염화 제2철 및/또는 산화제를 포함하는 다른 용액으로 수행될 수 있다. The seed layer may comprise Ru, Os, Hf, Re, Rh, Cr, Au, Ag, Cu, Pd, Pt, Sn, Ta, Ti, Ni, Al, alloys of these materials, Si, W, TiN, TiW, NiB, NiP, NiCo, NiBW, NiM-P, W, TaN, Wo, Co, CoReP, CoP, CoWP, other materials such as CoWB, conductive polymers such as polyaniline, Monel, SnPb, SnAg, Solder materials such as SnAgCu, SnCu, and / or combinations thereof. The seed layer of the substrate is cleaned and activated prior to use in the ECPR process. Cleaning methods include organic solvents such as acetone or alcohols; And / or the use of inorganic solvents such as nitric acid, sulfonic acid, phosphoric acid, hydrochloric acid, acetic acid, hydrofluoric acid, strong oxidizing agents such as peroxide, persulfate, ferric chloride, and / or deionized water. It may include. Cleaning may also be performed by applying oxygen plasma, argon plasma and / or hydrogen plasma or mechanically removing impurities. Activation of the seed layer surface can be achieved by removing oxides, for example sulfonic acid, nitric acid, hydrochloric acid, hydrofluoric acid, phosphoric acid and etchant, for example sodium-persulfate, ammonium-persulfate, hydrogen -Peroxides, ferric chloride and / or other solutions containing oxidants.

마스터 전극을 기판 상의 상부 층과 밀접하도록 하는 단계는 기판 상의 패터닝된 층에 마스터 전극 절연 패턴을 정렬시키는 단계를 포함한다. 이 단계는 기판 상의 대응하는 정렬 마크와 정렬될 수 있는 마스터 전극의 전방측 또는 후방측 상의 정렬 마크를 사용하는 단계를 포함할 수 있다. 정렬 절차는 전해질을 인가하기 전 또는 후에 수행될 수 있다. 사전증착된 애노드 재료는 마스터를 기판과 콘택하도록 하기 전에 절연 패턴 층의 캐비티 내의 상기 도전성 전극 층 상에 사전에 배열될 수 있다. 마스터 전극 캐비티 내의 사전증착된 애노드 재료는 마스터 전극을 기판과 콘택하도록 하기 전에, 기판 시드 층에 대해 설명된 것과 동일한 방식으로, 미리 클리닝 및 활성화될 수 있다. Intimate the master electrode with the top layer on the substrate includes aligning the master electrode insulation pattern with the patterned layer on the substrate. This step can include using an alignment mark on the front side or the back side of the master electrode that can be aligned with the corresponding alignment mark on the substrate. The alignment procedure can be performed before or after applying the electrolyte. The pre-deposited anode material may be prearranged on the conductive electrode layer in the cavity of the insulating pattern layer before bringing the master into contact with the substrate. The predeposited anode material in the master electrode cavity may be pre-cleaned and activated in the same manner as described for the substrate seed layer before bringing the master electrode into contact with the substrate.

상기 전해질은 종래의 전기도금 욕조(electroplating bath)와 같은 전기화학적 에칭 및/또는 도금에 적합한 양이온 및 음이온의 용액을 포함한다. 예를 들어, ECPR 에칭 또는 도금 구조가 구리일 때, 산성 구리 설페이트 욕조와 같은 구리 설페이트 욕조(copper sulphate bath)가 사용될 수 있다. 산성은 pH=2 및 pH=4 사이와 같이, pH<4일 수 있다. 일부 실시예에서, 억제제, 레벨러(leverller) 및/또는 촉진제, 예를 들어, PEG(폴리-에틸렌 글리콜) 및 염화 이온 및/또는 SPS(비스 (3-설포프로필 디설파이드)와 같은 첨가제가 사용될 수 있다. 또 다른 실시예에서, ECPR 에칭 또는 도금 구조가 Ni일 때, Watt의 욕조가 사용될 수 있다. ECPR 에칭 또는 도금 구조의 상이한 재료에 대한 적절한 전해질 시스템은 Lawrence J. Durney, 등의 Electroplating Engineering Handbook, 4th ed.(1984)에 설명되어 있다. The electrolyte comprises a solution of cations and anions suitable for electrochemical etching and / or plating, such as conventional electroplating baths. For example, when the ECPR etching or plating structure is copper, a copper sulphate bath can be used, such as an acidic copper sulphate bath. The acidity may be pH <4, such as between pH = 2 and pH = 4. In some embodiments, additives such as inhibitors, levelers and / or promoters such as PEG (poly-ethylene glycol) and chloride ions and / or SPS (bis (3-sulfopropyl disulfide) may be used. In another embodiment, Watt's bath may be used when the ECPR etch or plating structure is Ni. Suitable electrolyte systems for different materials of the ECPR etch or plating structure are described in Electroplating Engineering Handbook, et al., Lawrence J. Durney, et al. 4th ed. (1984).

제2 단계(b)에서, 도전성 재료의 구조는 기판 상의 상부 층 및 마스터 전극의 캐비티에 의해 규정되는 전기화학적 셀 각각의 내부에 전기화학적 셀을 동시적으로 생성하기 위하여 마스터 전극 및 기판 상의 시드 층에 외부 전원을 사용하여 전압을 인가함으로써 ECPR 에칭 또는 도금을 사용하여 형성된다. In a second step (b), the structure of the conductive material is the seed layer on the master electrode and the substrate to simultaneously produce an electrochemical cell inside each of the electrochemical cells defined by the upper layer on the substrate and the cavity of the master electrode. It is formed using ECPR etching or plating by applying a voltage using an external power source.

기판 상의 시드 층이 애노드이고 마스터 전극 내의 도전성 전극 층이 캐소드인 방식으로 전압이 인가될 때, 시드 층 재료가 용해되는 동시에, 재료가 마스터 전극의 캐비티 내부에 증착된다. 시드 층을 용해함으로써 생성되는 그루브는 시드 층의 나머지 구조를 분리시킨다. 나머지 시드 층으로부터 형성된 구조는 마스터 전극의 절연 패턴 층의 캐비티의 네거티브 이미지(negative image)이며; 이러한 구조는 본 명세서에서 이하에 "ECPR 에칭 구조"라 칭해진다. When a voltage is applied in such a manner that the seed layer on the substrate is the anode and the conductive electrode layer in the master electrode is the cathode, the seed layer material is dissolved and at the same time the material is deposited inside the cavity of the master electrode. Grooves produced by dissolving the seed layer separate the remaining structure of the seed layer. The structure formed from the remaining seed layer is a negative image of the cavity of the insulating pattern layer of the master electrode; Such a structure is referred to hereinafter as an "ECPR etch structure".

마스터 전극 내의 도전성 전극 층이 애노드이고 기판의 시드 층이 캐소드인 방식으로 전압이 인가될 때, 마스터 전극의 캐비티 내부의 사전증착된 애노드 재료가 용해되는 동시에, 재료가 전해질로 채워지는 캐비티 내의 기판 상의 도전성 층 상에 증착된다. 기판 상의 도전 층 상에 증착된 재료는 마스터 전극의 절연 패턴 층의 캐비티의 포지티브 이미지(positive image)인 구조를 형성하고; 이러한 구조는 본 명세서에서 이하에 "ECPR 도금 구조"라 칭해진다. When a voltage is applied in such a way that the conductive electrode layer in the master electrode is an anode and the seed layer of the substrate is a cathode, the pre-deposited anode material inside the cavity of the master electrode dissolves, while the material is filled with an electrolyte on the substrate in the cavity Deposited on the conductive layer. The material deposited on the conductive layer on the substrate forms a structure that is a positive image of the cavity of the insulating pattern layer of the master electrode; This structure is referred to herein as "ECPR plating structure".

상기 ECPR 에칭 또는 ECPR 도금 구조는 금속 또는 합금, 예를 들어, Au, Ag, Ni, Cu, Sn, Pb 및 SnAg, SnAgCu, AgCu 및/또는 이의 조합, 예를 들어, Cu로 이루어질 수 있다. The ECPR etch or ECPR plating structure may be made of a metal or alloy, for example Au, Ag, Ni, Cu, Sn, Pb and SnAg, SnAgCu, AgCu and / or a combination thereof, for example Cu.

일 실시예에서, 상기 애노드 재료는 마스터 전극의 절연 패턴 층의 캐비티 내에서, 애노드인 재료의 ECPR 에칭을 사용하고, 캐소드인 도전성 전극 상에 상기 재료를 증착함으로써 마스터 전극의 캐비티 내에 사전증착된다. 다른 실시예에서, 상기 애노드 재료는 마스터 전극의 절연 패턴 층의 캐비티 내에서 도전성 전극 층 상에 상기 재료를 선택적으로 규칙적인 전기도금, 무전해 도금, 침지 도금, CVD, MOCVD, (하전된) 파우더-코팅, 화학적 그래프팅 및/또는 전기그래프팅함으로써 사전증착된다. In one embodiment, the anode material is pre-deposited in the cavity of the master electrode by using ECPR etching of the anode material and depositing the material on the cathode conductive electrode in the cavity of the insulating pattern layer of the master electrode. In another embodiment, the anode material selectively electroplats, electroless plating, immersion plating, CVD, MOCVD, (charged) powder on the conductive electrode layer selectively in the cavity of the insulating pattern layer of the master electrode. Predeposited by coating, chemical grafting and / or electrografting.

전압은 에칭 및/또는 도금 구조의 균일성 및/또는 특성을 개선시키는 방식으로 인가될 수 있다. 인가된 전압은 DC 전압, 펄스형 전압, 사각 펄스형 전압, 펄스 반전 전압 및/또는 이의 조합일 수 있다. The voltage can be applied in a manner that improves the uniformity and / or properties of the etch and / or plating structure. The applied voltage may be a DC voltage, a pulsed voltage, a square pulsed voltage, a pulse inversion voltage and / or a combination thereof.

에칭 및/또는 도금 구조의 균일성은 인가된 전압 파형, 진폭 및 주파수의 최적화된 조합을 선택함으로써 증가될 수 있다. 에칭 깊이 또는 도금 높이는 마스터 전극을 통과하는 전류 및 시간을 모니터링함으로써 제어될 수 있다. 총 전극 에어리어가 공지되어 있는 경우, 전류 밀도는 전극 에어리어를 통과하는 전류로부터 예측될 수 있다. 전류 밀도는 에칭 또는 도금 레이트에 대응하므로, 에칭 깊이 및 도금 높이는 에칭 또는 도금 레이트 및 시간으로부터 예측될 수 있다. Uniformity of the etch and / or plating structure may be increased by selecting an optimized combination of applied voltage waveforms, amplitudes and frequencies. Etch depth or plating height can be controlled by monitoring the current and time passing through the master electrode. If the total electrode area is known, the current density can be estimated from the current passing through the electrode area. Since the current density corresponds to the etching or plating rate, the etching depth and plating height can be predicted from the etching or plating rate and time.

일부 실시예에서, 에칭 또는 도금 프로세스는 용해 애노드 재료의 아래에 놓인 표면에 도달하기 전에 인가된 전압을 차단함으로써 중단된다. 에칭 프로세스의 경우에, 이는 상기 프로세스가 층이 시드 층 내의 에칭된 그루브의 하부에 여전히 남아있어서, 아래에 놓인 기판 층을 커버할 때 중단된다는 것을 의미한다. 그렇지 않으면, 시드 층의 어떤 부분과의 전기적 접속이 파괴될 수 있는 위험이 존재한다. 도금 프로세스의 경우에, 이것은 상기 프로세스가 사전증착된 애노드 재료의 층이 5% 내지 50%와 같이 여전히 남아 있어서, 도전성 전극 층을 커버할 때, 중단된다는 것을 의미한다. 그렇지 않으면, 각각의 전기화학적 셀에서 불균일한 전류 분포가 발생할 수 있다. In some embodiments, the etching or plating process is stopped by blocking the applied voltage before reaching the underlying surface of the dissolving anode material. In the case of an etching process, this means that the process still remains underneath the etched grooves in the seed layer, stopping when it covers the underlying substrate layer. Otherwise, there is a risk that the electrical connection with any part of the seed layer may be broken. In the case of a plating process, this means that the process is still interrupted when the layer of predeposited anode material still remains, such as 5% to 50%, covering the conductive electrode layer. Otherwise, a nonuniform current distribution can occur in each electrochemical cell.

일부 실시예에서, 도금 구조의 희망하는 높이는 사전증착된 애노드 재료의 두께보다 상당히 더 적다. 이것은 여러 구조 층이 새로운 애노드 재료를 증착하기 전에 하나 또는 여러 기판 상에 도금될 수 있다는 것을 의미한다. 일부 예에서, 사전증착된 재료의 높이는 도금된 구조의 높이보다 적어도 2배 더 두꺼울 수 있다. In some embodiments, the desired height of the plating structure is significantly less than the thickness of the predeposited anode material. This means that several structural layers can be plated on one or several substrates before depositing a new anode material. In some examples, the height of the pre-deposited material may be at least twice as thick as the height of the plated structure.

일부 실시예에서, ECPR 도금 구조의 다중 층은 서로 상에 직접 증착된다. In some embodiments, multiple layers of ECPR plating structures are deposited directly on each other.

제3 단계(c)에서, ECPR 에칭 또는 도금 구조가 형성된 후, 마스터는 기판 상의 ECPR 에칭 또는 도금 구조 또는 마스터 상에서 손상을 최소화하는 방식으로 기판으로부터 분리된다. 상기 방법은 기판을 고정된 위치에서 유지하고 마스터 전극을 기판 표면에 수직한 방향으로 이동시킴으로써, 또는 마스터 전극을 고정된 위치에서 유지하고 기판을 마스터 전극 표면에 수직한 방향으로 이동시킴으로써 수행될 수 있다. 다른 실시예에서, 분리는 상기 분리를 용이하게 하기 위하여 덜 평행한 방식으로 수행될 수 있다. ECPR 에칭 또는 도금 단계 이후에, 마스터 전극의 캐비티 내부에 증착된 남아있는 재료는 상기 남아있는 재료를 용해하는데 적합한 습식 에칭 화학제를 인가하는 단계를 포함하는 제거 방법을 사용하여 제거될 수 있다. 이방성 에칭 방법이 또한 예를 들어, 이온-스퍼터링, 반응성-이온 에칭(RIE), 플라즈마-보조-에칭, 레이저-절제, 이온-밀링과 같은 건식 에칭 방법과 함께 사용될 수 있다. 일부 실시예에서, 상기 제거 방법은 건식 에칭 및 습식 에칭 방법의 조합을 포함한다. 상기 남아있는 재료는 일부 실시예에서 또한 임의의 캐소드 및/또는 더미 기판 상으로의 각각 규칙적인 도금 및/또는 ECPR 도금에 의해 제거될 수 있다. 일부 실시예에서, 이는 또 다른 ECPR 에칭 단계에서 마스터 전극을 사용하기 전, 또는 ECPR 도금 단계를 위해 사용된 마스터의 캐비티 내부에 새로운 재료를 사전증착하기 전에 행해진다. 대안적으로, 다수의 절차의 경우에, 도금 동안, 사전증착된 재료의 일부만이 단일 절차에서 사용될 수 있고, 사전증착된 재료의 또 다른 부분은 다음 절차에서 사용될 수 있다. 대안적으로, 에칭 동안, 캐소드, 즉, 마스터 전극 상에 증착된 재료는 각각의 절차 사이에 제거될 필요가 있는 것이 아니라, 각각의 제2, 제3 등의 절차 사이에 제거될 수 있다. In a third step (c), after the ECPR etching or plating structure is formed, the master is separated from the substrate in a manner that minimizes damage on the ECPR etching or plating structure or the master on the substrate. The method can be performed by holding the substrate in a fixed position and moving the master electrode in a direction perpendicular to the substrate surface, or by holding the master electrode in a fixed position and moving the substrate in a direction perpendicular to the master electrode surface. . In other embodiments, separation may be performed in a less parallel manner to facilitate the separation. After the ECPR etch or plating step, the remaining material deposited inside the cavity of the master electrode can be removed using a removal method that includes applying a wet etch chemical suitable to dissolve the remaining material. Anisotropic etching methods may also be used with dry etching methods such as, for example, ion-sputtering, reactive-ion etching (RIE), plasma-assisted-etching, laser-ablation, ion-milling. In some embodiments, the removal method comprises a combination of dry etching and wet etching methods. The remaining material may in some embodiments also be removed by regular plating and / or ECPR plating, respectively, on any cathode and / or dummy substrate. In some embodiments, this is done before using the master electrode in another ECPR etch step, or prior to predeposition of new material into the cavity of the master used for the ECPR plating step. Alternatively, in the case of multiple procedures, during plating, only a portion of the pre-deposited material may be used in a single procedure and another portion of the pre-deposited material may be used in the next procedure. Alternatively, during etching, the material deposited on the cathode, ie, the master electrode, need not be removed between each procedure, but may be removed between each second, third, etc. procedure.

마스터 전극을 형성하는 방법의 3개의 실시예는 이하의 단계:Three embodiments of a method of forming a master electrode include the following steps:

1. 절연 또는 도전성/반도전성 캐리어를 공급하는 단계 1. Supplying an Insulated or Conductive / Semiconductive Carrier

2. 상기 캐리어의 적어도 일부 상에 도전성 전극 층을 도포하는 단계 2. applying a conductive electrode layer on at least a portion of the carrier

3. 상기 도전성 전극 층의 적어도 일부 상에 절연 패턴 층을 도포하는 단계 3. applying an insulating pattern layer on at least a portion of the conductive electrode layer

또는or

1. 절연 또는 도전성/반도전성 캐리어를 공급하는 단계 1. Supplying an Insulated or Conductive / Semiconductive Carrier

2. 상기 캐리어의 적어도 일부 상에 절연 패턴 층을 도포하는 단계 2. applying an insulating pattern layer on at least a portion of the carrier

3. 상기 절연 패턴 층에 의해 커버되지 않는 상기 캐리어의 선택된 부분 상에 도전성 전극 층을 도포하는 단계3. Applying a conductive electrode layer on selected portions of the carrier not covered by the insulating pattern layer

또는or

1. 도전성/반도전성 캐리어를 공급하거나 패터닝하는 단계 1. Supplying or patterning conductive / semiconductive carriers

2. 상기 패터닝된 캐리어의 적어도 일부 상에 절연 패턴 층을 도포하는 단계 2. applying an insulating pattern layer on at least a portion of the patterned carrier

3. 상기 절연 패턴 층에 의해 커버되지 않는 상기 패터닝된 캐리어의 선택된 부분 내로 도전성 전극 층을 도포하는 단계를 포함한다. 3. applying a conductive electrode layer into a selected portion of the patterned carrier not covered by the insulating pattern layer.

ECPR 에칭, ECPR 도금, 사전증착, 클리닝 및/또는 제거 방법 동안 화학적 및/또는 전기화학적 환경에 노출되는 마스터 전극의 부분에 사용되는 재료는 일반적으로 상기 화학적 및/또는 전기화학적 환경에서 용해 및 산화에 내성이 있다. Materials used for the portion of the master electrode exposed to the chemical and / or electrochemical environment during ECPR etching, ECPR plating, predeposition, cleaning and / or removal methods are generally resistant to dissolution and oxidation in the chemical and / or electrochemical environment. Tolerant

일 실시예에서, 상기 도전성 전극 층은 상기 캐리어 상으로 도포되고, 상기 절연 패턴 층은 도전성 전극 층 상으로 도포된다. In one embodiment, the conductive electrode layer is applied onto the carrier and the insulating pattern layer is applied onto the conductive electrode layer.

또 다른 실시예에서, 상기 절연 패턴 층은 상기 캐리어 상으로 도포되고, 상기 도전성 전극 층은 절연 패턴 층의 캐비티 내부의 캐리어의 적어도 일부 상으로 도포된다. In another embodiment, the insulating pattern layer is applied onto the carrier, and the conductive electrode layer is applied onto at least a portion of the carrier inside the cavity of the insulating pattern layer.

또 다른 실시예에서, 상기 캐리어 내에 리세스가 생성되고, 상기 절연 패턴 층은 리세스가 제공되지 않는 캐리어의 에어리어에서 도포되는 반면, 상기 도전성 전극 층은 절연 패턴 층에 의해 커버되지 않는 리세스의 벽 상에 또는 이의 하부의 캐리어 상에 도포된다. In another embodiment, a recess is created in the carrier and the insulating pattern layer is applied in an area of the carrier where no recess is provided, while the conductive electrode layer is formed of a recess not covered by the insulating pattern layer. Applied on a wall or on a carrier below it.

상기 캐리어는 적어도 하나의 도전성/반도전성 재료; 또는 적어도 하나의 도전성/반도전성 재료 및 적어도 하나의 절연 재료 층의 하나 또는 여러 층을 포함할 수 있다. The carrier comprises at least one conductive / semiconductive material; Or one or several layers of at least one conductive / semiconductive material and at least one insulating material layer.

상기 캐리어의 상기 층은 가요성이 있고/있거나 강성일 수 있고/있거나, 가 요성 또는 강성 층의 조합일 수 있다. 일부 실시예에서, 상기 캐리어는 마스터 전극을 기판에 콘택하도록 하는데 필요로 되는 힘을 인가할 때 상기 절연 패턴의 캐비티 내로 하부로 상당히 벤딩되지 않도록 함으로써, ECPR 에칭 및/또는 ECPR 도금 동안 캐리어 및 기판 사이의 단락 콘택을 피하도록 할 만큼 충분히 강성이다. 예를 들어, 필요로 되는 압력을 인가할 때 캐리어가 하부로 벤딩되는 거리는 25%와 같이 캐비티의 높이의 50%미만, 예를 들어, 10% 미만, 가령, 1% 미만이어야 한다. 일 실시예에서, 상기 캐리어는 ECPR 에칭 및/또는 ECPR 도금 동안 마스터를 기판에 콘택하도록 하기 위하여 힘이 인가될 때 기판의 굴곡 및 불균일성을 보상할 만큼 충분히 가요성이 있다. 일부 경우에, 캐리어는 적어도 기판만큼 가요성이 있다. 예를 들어, 기판은 유리, 석영 또는 실리콘 웨이퍼일 수 있다. 이 예에서, 마스터 전극 캐리어는 유리, 석영 또는 실리콘 웨이퍼와 각각 동일하거나 더 높은 가요성을 가질 수 있다. The layer of the carrier may be flexible and / or rigid and / or may be a combination of flexible or rigid layers. In some embodiments, the carrier does not bend significantly down into the cavity of the insulating pattern when applying the force required to bring the master electrode into contact with the substrate, thereby allowing the carrier and substrate to intersect during ECPR etching and / or ECPR plating. It is rigid enough to avoid shorting contacts. For example, the distance at which the carrier bends downward when applying the required pressure should be less than 50% of the height of the cavity, such as less than 10%, such as less than 1%, such as 25%. In one embodiment, the carrier is flexible enough to compensate for bending and non-uniformity of the substrate when a force is applied to bring the master into contact with the substrate during ECPR etching and / or ECPR plating. In some cases, the carrier is at least as flexible as the substrate. For example, the substrate can be a glass, quartz or silicon wafer. In this example, the master electrode carrier may have the same or higher flexibility than glass, quartz or silicon wafers, respectively.

도전성/반도전성 재료는 도전성 폴리머, 도전성 페이스트, 금속, Fe, Cu, Au, Ag, Pt, Si, SiC, Sn, Pd, Pt, Co, Ti, Ni, Cr, Al, 인듐-주석-산화물(ITO), SiGe, GaAs, InP, Ru, Ir, Re, Hf, Os, Rh, 합금, 인 합금, SnAg, PbAg, SnAgCu, NiP, AuCu, 실리사이드, 스테인리스강, 황동, 도전성 폴리머, 땜납 재료 및 이의 조합과 같은 재료로 이루어질 수 있다. 절연 층은 SiO2, Al2O3, TiO2와 같은 산화물, 석영, 유리, SiN과 같은 질화물, 폴리머, 폴라이미드, 폴리우레탄, 에폭시 폴리머, 아크릴레이트 폴리머, PDMS, (천연) 고무, 실리콘, 래커, 엘라스토머, 니트릴 고 무, EPDM, 네오프렌, PFTE, 파릴렌, 및/또는 후술되는 상기 절연 패턴에 사용되는 다른 재료로 이루어질 수 있다. Conductive / semiconductive materials include conductive polymers, conductive pastes, metals, Fe, Cu, Au, Ag, Pt, Si, SiC, Sn, Pd, Pt, Co, Ti, Ni, Cr, Al, indium-tin-oxides ( ITO), SiGe, GaAs, InP, Ru, Ir, Re, Hf, Os, Rh, Alloy, Phosphorus Alloy, SnAg, PbAg, SnAgCu, NiP, AuCu, Silicide, Stainless Steel, Brass, Conductive Polymer, Solder Material and its It can be made of the same material as the combination. Insulation layers are oxides such as SiO 2 , Al 2 O 3 , TiO 2 , quartz, glass, nitrides such as SiN, polymers, polyimides, polyurethanes, epoxy polymers, acrylate polymers, PDMS, (natural) rubber, silicone, Lacquers, elastomers, nitrile rubber, EPDM, neoprene, PFTE, parylene, and / or other materials used in the insulating patterns described below.

일 실시예에서, 캐리어는 적어도 일부에 걸쳐 절연 재료 코팅에 의해 커버되는 도전성/반도전성 디스크를 포함한다. 절연 재료 코팅은 전방측 및 후방측 상의 중앙부를 제외하고 상기 도전성/반도전성 디스크의 모든 부분을 커버하도록 도포될 수 있다. 절연 재료 코딩은 열적-산화, 플라즈마-강화-화학적-기상 증착(PECVD), 물리적 기상 증착(PVD), 화학적-기상-증착(CVD), 프레임 가수분해 증착(FHD), 전기적 양극산화, 원자-층-증착(ALD), 스핀-코팅, 스프레이-코팅, 롤러-코팅, 파우더-코팅, 접착 테이핑, 열분해, 다른 적절한 코팅 기술에 의한 본딩 및/또는 이의 조합과 같은 방법에 의하여 도포될 수 있다. 절연 재료 코팅은 상기 도전성/반도전성 디스크의 의도된 부분에 또는 선택된 에어리어에서 절연 재료 코팅의 부분을 제거하기에 앞서 전체 도전성/반도전성 디스크에 도포됨으로써 선택적으로 도포될 수 있다. 예를 들어, 절연 재료 코팅은 상기 절연 재료 코팅이 손상되지 않아야 하는 에어리어를 보호하기 위하여 에치-마스크를 사용하는 것과 같은 에칭 방법에 의해 및/또는 기계적 제거 방법을 사용하여 제거될 수 있다. In one embodiment, the carrier comprises a conductive / semiconductive disk covered by at least a portion of the coating of insulating material. An insulating material coating can be applied to cover all portions of the conductive / semiconductive disc except for the central portion on the front side and the back side. Insulation material coding includes thermal-oxidation, plasma-enhanced-chemical-vapor deposition (PECVD), physical vapor deposition (PVD), chemical-vapor-deposition (CVD), frame hydrolysis deposition (FHD), electrical anodization, atomic- It may be applied by methods such as layer-deposition (ALD), spin-coating, spray-coating, roller-coating, powder-coating, adhesive taping, pyrolysis, bonding by other suitable coating techniques and / or combinations thereof. An insulating material coating may optionally be applied by applying to the intended portion of the conductive / semiconductive disk or to the entire conductive / semiconductive disk prior to removing the portion of the insulating material coating in the selected area. For example, the insulating material coating may be removed by an etching method such as using an etch-mask to protect an area where the insulating material coating should not be damaged and / or using a mechanical removal method.

상기 에칭 방법은 습식 에칭 및/또는 건식 에칭 방법일 수 있다. 습식 에칭은 에칭하고자 하는 재료를 용해하는 액체 화학제를 가함으로써 수행되며, 상기 화학제는 종종 강산 등과 같은 강산화 화학제를 포함한다. 예를 들어, 완충, 희석 또는 농축된 플루오르화 수소산이 SiO2를 에칭하는데 사용될 수 있다. 상기 건식 에칭 방법은 이온-스퍼터링, 반응성-이온-에칭(RIE), 플라즈마-보조-에칭, 레이저-절제, 이온-밀링과 같은 방법을 포함할 수 있다. 에치-마스크의 패턴은 포토리소그래피, 레이저 리소그래피, E-빔 리소그래피, 나노임프린팅 및/또는 에치-마스크를 패터닝하는데 적합한 다른 리소그래피 프로세스와 같은 리소그래피 방법으로 생성될 수 있다. 상기 에치-마스크는 폴리머 재료, 예를 들어, 박막 포토레지스터, 폴리이미드, BCB, 및/또는 후막 레지스터와 같은 상기 리소그래피 방법에 사용되는 레지스터일 수 있다. 에치-마스크는 또한 SiN, SiC, SiO2, Pt, Ti, TiW, TiN, Al, Cr, Au, Ni와 같은 재료, 다른 하드 재료 및 이의 조합을 포함하는 하드-마스크일 수 있다. 하드-마스크는 패터닝된 리소그래피 마스크에 의해 커버되지 않는 에어리어에서 선택적으로 하드-마스크를 에칭하기에 앞서 상기 리소그래피 방법에 의해 패터닝된다. 상기 기계적 제거 방법은 연마, 그라인딩, 드릴링, 절제, (샌드 또는 유체) 블래스팅 및/또는 이의 조합을 포함할 수 있다. The etching method may be a wet etching and / or dry etching method. Wet etching is performed by adding a liquid chemical that dissolves the material to be etched, which often includes a strong oxidizing chemical such as a strong acid. For example, buffered, diluted or concentrated hydrofluoric acid can be used to etch SiO 2 . The dry etching method may include a method such as ion-sputtering, reactive-ion-etching (RIE), plasma-assisted-etching, laser-ablation, ion-milling. The pattern of etch-masks may be produced by lithographic methods such as photolithography, laser lithography, E-beam lithography, nanoimprinting and / or other lithography processes suitable for patterning etch-masks. The etch-mask may be a polymer material, for example, a resistor used in the lithographic method such as thin film photoresist, polyimide, BCB, and / or thick film resistor. The etch-mask may also be a hard-mask including materials such as SiN, SiC, SiO 2 , Pt, Ti, TiW, TiN, Al, Cr, Au, Ni, other hard materials, and combinations thereof. The hard-mask is patterned by the lithographic method prior to etching the hard-mask selectively in areas not covered by the patterned lithographic mask. The mechanical removal method may include grinding, grinding, drilling, ablation, (sand or fluid) blasting and / or combinations thereof.

또 다른 실시예에서, 캐리어는 적어도 일부가 도전성/반도전성 재료인 절연 디스크를 포함한다. 이 경우에, 도전성/반도전성 부분은 절연 디스크의 중앙에 도포될 수 있다. 일 실시예에서, 캐리어는 선택된 어에리어 내의 절연 재료 디스크에 캐비티를 생성하고 상기 캐비티에 도전성/반도전성 재료를 도포함으로써 형성된다. 절연 디스크 내의 캐비티는 상기 습식 에칭 방법, 상기 건식 에칭 방법 및/또는 상기 기계적 제거 방법에 의하여 형성될 수 있다. 상기 에치-마스크는 캐비티를 생성하는 방법에서 사용될 수 있고, 상기 리소그래피 방법으로 패터닝될 수 있다. 캐비 티 내에 상기 도전성/반도전성 재료를 도포하는 방법은 PVD, CVD, 스퍼터링, 무전해 증착, 침지 증착, 전기증착, 기계적 배치, 납땜, 그루잉(gluing), 다른 적절한 증착 방법 및/또는 이의 조합일 수 있다. 일부 실시예에서, 평탄도를 증가시키고 표면 거칠기를 감소시키기 위하여 캐리어에 평탄화 단계가 수행될 수 있다. In yet another embodiment, the carrier comprises an insulating disk at least in part of which is a conductive / semiconductive material. In this case, the conductive / semiconductive portion can be applied to the center of the insulating disk. In one embodiment, the carrier is formed by creating a cavity in the disk of insulating material in the selected area and applying conductive / semiconductive material to the cavity. The cavity in the insulating disk may be formed by the wet etching method, the dry etching method and / or the mechanical removal method. The etch-mask may be used in a method of creating a cavity and may be patterned by the lithographic method. The method of applying the conductive / semiconductive material in the cavity may be PVD, CVD, sputtering, electroless deposition, immersion deposition, electrodeposition, mechanical placement, soldering, gluing, other suitable deposition methods and / or combinations thereof. Can be. In some embodiments, a planarization step may be performed on the carrier to increase flatness and reduce surface roughness.

상기 도전성 전극 층은 도전성/반도전성 재료의 하나 또는 여러 층으로 이루어질 수 있다. 예를 들어, 도전성 전극 층은 Fe, Cu, Sn, Ag, Au, Pd, Co, Ti, Ta, Ni, Pt, Cr, Al, W, ITO, Si, Ru, Rh, Re, Os, Hf, 다른 금속, 합금, 인-합금, SnAg, SnAgCu, CoWP, CoWB, CoWBP, NiP, AuCu, 실리사이드, 흑연, 스테인리스강, 도전성 폴리머, 땜납 재료 및/또는 이의 조합으로 이루어질 수 있다. 도전성 전극 층은 ALD, 금속유기-화학적-기상-증착(MOCVD), PVD, CVD, 스퍼터링, 무전해 증착, 침지 증착, 전기증착, 전기-그래프팅, 다른 적절한 증착 방법 및/또는 이의 조합과 같은 방법에 의해 캐리어에 도포될 수 있다. 일부 실시예에서, 상기 도전성 전극 층은 무전해 증착, 전기증착, 침지 증착, 전기그래프팅, 화학적 그래프팅, 선택적 CVD 및/또는 선택적 MOCVD와 같은 방법을 사용하여 도전성/반도전성 표면 상으로 선택적으로 증착될 수 있다. The conductive electrode layer may consist of one or several layers of conductive / semiconductive material. For example, the conductive electrode layer may include Fe, Cu, Sn, Ag, Au, Pd, Co, Ti, Ta, Ni, Pt, Cr, Al, W, ITO, Si, Ru, Rh, Re, Os, Hf, Other metals, alloys, phosphorus-alloys, SnAg, SnAgCu, CoWP, CoWB, CoWBP, NiP, AuCu, silicides, graphite, stainless steel, conductive polymers, solder materials and / or combinations thereof. The conductive electrode layer may be, for example, ALD, metalorganic-chemical-vapor-deposition (MOCVD), PVD, CVD, sputtering, electroless deposition, immersion deposition, electrodeposition, electro-grafting, other suitable deposition methods and / or combinations thereof. It can be applied to the carrier by the method. In some embodiments, the conductive electrode layer is selectively onto a conductive / semiconductive surface using methods such as electroless deposition, electrodeposition, immersion deposition, electrografting, chemical grafting, selective CVD, and / or selective MOCVD. Can be deposited.

일부 실시예에서, 도전성 전극 층은 열적 방법에 의해 처리된다. 상기 열적 방법은 높은 진공, 포밍 가스, 수소 가스, 질소 가스, 저 산소 함량을 갖는 가스 환경에서, 및/또는 이의 조합에 의해 수행될 수 있다. 상기 열적 방법은 어닐링(예를 들어, 고속-열-어닐링(RTA)), 노 처리, 핫-플레이트 처리 및/또는 이의 조합일 수 있다. 상기 열적 방법은 일부 실시예에서 상기 캐리어로의 콘택 저항 및/또는 내부 응력을 감소시킴으로써 도전성 전극 층 및 캐리어 사이의 접착력을 개선시키고/시키거나, (경도 및/또는 내마모성과 같은) 마스터 전극의 전기적 및/또는 기계적 특성을 개선시킬 수 있다. 일부 실시예에서, 도전성 전극 층은 적어도 하나의 재료의 여러 층을 도포하고 다음 층을 도포하기 전에, 상기 열적 방법에 의해 적어도 하나의 층을 처리함으로써 형성된다. In some embodiments, the conductive electrode layer is processed by a thermal method. The thermal method may be performed in a gas environment with high vacuum, forming gas, hydrogen gas, nitrogen gas, low oxygen content, and / or combinations thereof. The thermal method may be annealing (eg, high speed-heat-annealing (RTA)), furnace treatment, hot-plate treatment and / or combinations thereof. The thermal method in some embodiments improves the adhesion between the conductive electrode layer and the carrier by reducing contact resistance and / or internal stress to the carrier, and / or the electrical properties of the master electrode (such as hardness and / or wear resistance). And / or improve mechanical properties. In some embodiments, the conductive electrode layer is formed by applying at least one layer of at least one material and treating the at least one layer by the thermal method before applying the next layer.

일 실시예에서, 접착 층은 상기 도전성 전극 층을 도포하기 전에 캐리어의 적어도 일부 상으로 도포된다. 상기 접착 층은 캐리어로의 도전성 전극 층의 접착력을 증가시키는 재료 또는 여러 재료로 이루어질 수 있다. 접착 층은 Pt, Al, Ni, Pd, Cr, Ti, TiW와 같은 도전성 재료 또는 AP-3000(Dow Chemicals), AP-100(Silicon Resources), AP-200(Silicon Resources), AP-300(Silicon Resources)와 같은 절연 재료, HMDS와 같은 실란 및/또는 이의 조합으로 이루어질 수 있다. 필요하다면, 접착 층은 상기 접착 층이 절연성일 때와 같이, 상기 캐리어로의 전기적 접속을 가능하게 하기 위하여 상기 캐리어의 모든 에어리어를 커버하지 않는다. 대안적으로, 접착 층은 전체 캐리어를 커버하도록 도포되고 나서, 도전성 전극 층 및 캐리어 사이에 전기적 접속이 필요로 되는 에어리어, 예를 들어, 전방측의 중앙에서 일부가 제거된다. 접착 층은 일부 실시예에서 또한 도전성 전극 층의 증착을 용이하게 하거나 개선시키는 촉매 층의 기능을 할 수 있다. 접착 층은 전기증착, 스핀-코팅, 스프레이-코팅, 딥-코팅, 분자-기상-증착(MVD), ALD, MOCVD, CVD, PVD, 스퍼터링, 무전해 증착, 침지 증착, 전기그래프팅, 화학적 그래프팅 및/또는 접착 재료에 적합한 다른 증착 방법을 사용함으로써 도포될 수 있다. In one embodiment, an adhesive layer is applied onto at least a portion of the carrier prior to applying the conductive electrode layer. The adhesive layer may be made of a material or various materials that increase the adhesion of the conductive electrode layer to the carrier. The adhesive layer may be a conductive material such as Pt, Al, Ni, Pd, Cr, Ti, TiW or AP-3000 (Dow Chemicals), AP-100 (Silicon Resources), AP-200 (Silicon Resources), or AP-300 (Silicon). Insulating material such as Resources, silane such as HMDS, and / or combinations thereof. If necessary, the adhesive layer does not cover all areas of the carrier to enable electrical connection to the carrier, such as when the adhesive layer is insulating. Alternatively, the adhesive layer is applied to cover the entire carrier, and then a portion is removed from the area, for example, the center of the front side, in which an electrical connection between the conductive electrode layer and the carrier is required. The adhesive layer may in some embodiments also function as a catalyst layer to facilitate or improve the deposition of the conductive electrode layer. The adhesive layer may be electrodeposited, spin-coated, spray-coated, dip-coated, molecular-vapor-deposition (MVD), ALD, MOCVD, CVD, PVD, sputtering, electroless deposition, immersion deposition, electrografting, chemical It can be applied by using other deposition methods suitable for rafting and / or adhesive materials.

상기 절연 패턴 층은 패터닝된 전기적 절연 재료의 하나 또는 여러 층으로 이루어질 수 있다. 절연 패턴 층은 층의 낮은 표면 거칠기 및 높은 두께 균일성의 층을 제공하는 방법으로 도포될 수 있다. 일부 실시예에서, 절연 패턴 층은 열적 산화, 열적 질화, PECVD, PVD, CVD, 플레임 가수분해 증착(FHD), MOCVD, 전기화학적 양극산화, ALD, 스핀-코팅, 스프레이-코팅, 딥-코팅, 커튼-코팅, 롤러-코팅, 파우더-코팅, 열분해, 접착 테이핑, 본딩, 다른 증착 기술 및/또는 이의 조합과 같은 방법을 사용하여 도포될 수 있다. The insulating pattern layer may be made of one or several layers of patterned electrically insulating material. The insulating pattern layer may be applied in a manner that provides a layer of low surface roughness and high thickness uniformity of the layer. In some embodiments, the insulating pattern layer may be thermally oxidized, thermally nitrided, PECVD, PVD, CVD, flame hydrolysis deposition (FHD), MOCVD, electrochemical anodization, ALD, spin-coating, spray-coating, dip-coating, And may be applied using methods such as curtain-coating, roller-coating, powder-coating, pyrolysis, adhesive taping, bonding, other deposition techniques, and / or combinations thereof.

일 실시예에서, 접착 층은 상기 캐리어 상으로 절연 패턴 층을 도포하기 전에 도포된다. 상기 접착 층은 절연 패턴 층 및 상기 캐리어의 표면 사이에 접착 특성을 개선시키는 적어도 하나의 재료의 적어도 하나의 층을 포함할 수 있다. 상기 접착 층은 절연 또는 도전성 재료로 이루어질 수 있다. 상기 접착 층은 예를 들어, PT, Ni, Al, Cr, Ti, TiW, AP-3000(Dow Chemicals), AP-100(Silicon Resources), AP-200(Silicon Resources), AP-300(Silicon Resources), HMDS와 같은 실란, 하부-반사방지-코팅(BARC) 재료 및/또는 이의 조합으로 이루어질 수 있다. 접착 층은 PECVD, PVD, CVD, MOCVD, ALD, 스핀-코팅, 스프레이-코팅, 롤러-코팅, 파우더-코팅 및/또는 이의 조합과 같은 방법을 사용하여 도포될 수 있다. In one embodiment, an adhesive layer is applied before applying the insulating pattern layer onto the carrier. The adhesive layer may include at least one layer of at least one material that improves adhesive properties between the insulating pattern layer and the surface of the carrier. The adhesive layer may be made of an insulating or conductive material. The adhesive layer may include, for example, PT, Ni, Al, Cr, Ti, TiW, Dow Chemicals (AP-3000), Silicon Resources (AP-100), Silicon Resources (AP-200), and Silicon Resources (AP-300). ), A silane such as HMDS, a bottom anti-reflective-coating (BARC) material, and / or combinations thereof. The adhesive layer can be applied using methods such as PECVD, PVD, CVD, MOCVD, ALD, spin-coating, spray-coating, roller-coating, powder-coating and / or combinations thereof.

일부 실시예에서, 더 평탄한 표면을 성취하기 위하여 도포된 절연 패턴 층에 평탄화 단계가 수행될 수 있다. 상기 평탄화 단계는 절연 패턴 층을 패터닝하기 전에 행해질 수 있다. 상기 평탄화 방법은 화학적-기계적-연마(CMP), 랩핑, 콘택 평탄화(CP)와 같은 에칭 및/또는 연마 방법 및/또는 이온-스퍼터링, 반응성-이온-에 칭(RIE), 플라즈마-보조-에칭, 레이저-절제, 이온-밀링과 같은 건식 에칭 방법 및/또는 다른 평탄화 방법 및/또는 이의 조합을 포함할 수 있다. In some embodiments, a planarization step may be performed on the applied insulating pattern layer to achieve a flatter surface. The planarization step may be performed before patterning the insulating pattern layer. The planarization method may be an etching and / or polishing method such as chemical-mechanical-polishing (CMP), lapping, contact planarization (CP) and / or ion-sputtering, reactive-ion-etching (RIE), plasma-assisted-etching Dry etching methods such as laser-ablation, ion-milling, and / or other planarization methods and / or combinations thereof.

절연 패턴 층은 폴리머와 같은 유기 화합물, 뿐만 아니라, 산화물 및/또는 질화물과 같은 절연 무기 화합물로 이루어질 수 있다. 사용된 폴리머 재료는 예를 들어, 폴리이미드, 실록산 변성 폴리이미드, BCB, SU-8, 폴리테트라플루오로에틸렌(PTFE), 실리콘, 엘라스토머 폴리머, (ZEP(Sumitomo)와 같은) E-빔 레지스트(E-beam resist), 포토레지스트, 박막 레지스트, 후막 레지스트, 폴리사이클릭 올레핀, 폴리노보렌, 폴리에텐, 폴리카보네이트, PMMA, BARC 재료, 리프트-오프-층(Lift-Off-Layer: LOL) 재료, PDMS, 폴리우레탄, 에폭시 폴리머, 플루오로 엘라스토머, 아크릴레이트 폴리머, (천연) 고무, 실리콘, 래커, 니트릴 고무, EPDM, 네로프렌, PFTE, 파릴렌, 플루오로메틸렌, 시아네이트 에스테르, 무기-유기 하이브리드 폴리머, (불화 또는 수화) 비정질 탄소, 다른 폴리머 및/또는 이의 조합일 수 있다. 사용된 무기 화합물은 예를 들어, 유기 도핑된 실리콘 유리(OSG), 불소 도핑된 실리콘 유리(FSG), PFTE/실리콘 화합물, 테트라에틸오쏘실리케이트(TEOS), SiN, SiO2, SiON, SiOC, SiCN:H, SiOCH 재료, SiCH 재료, 실리케이트, 슬리카계 재료, 실세스퀴옥산(SSQ)계 재료, 메틸-실세스퀴옥산(MSQ), 수소-실세스퀴옥산(HSQ), TiO2, Al2O3, TiN 및 이의 조합일 수 있다. 절연 패턴 층 재료는 패터닝 프로세스(리소그래피 및/또는 에칭)을 용이하게 하고, 아래에 놓인 층으로 양호하게 접착되며, 양호한 기계적 내구성을 가지며/가지거나, ECPR 프로세스 및/또는 중간의 클리닝 및/ 또는 제거 단계 동안 불활성인 특성을 가질 수 있다. The insulating pattern layer may consist of organic compounds such as polymers, as well as insulating inorganic compounds such as oxides and / or nitrides. The polymeric materials used are, for example, polyimides, siloxane modified polyimides, BCB, SU-8, polytetrafluoroethylene (PTFE), silicones, elastomeric polymers, E-beam resists (such as ZEP (Sumitomo)) E-beam resist), photoresist, thin film resist, thick film resist, polycyclic olefin, polynovorene, polyethene, polycarbonate, PMMA, BARC material, lift-off-layer (LOL) Materials, PDMS, Polyurethanes, Epoxy Polymers, Fluoroelastomers, Acrylate Polymers, (Natural) Rubbers, Silicones, Lacquers, Nitrile Rubbers, EPDM, Neroprene, PFTE, Parylene, Fluoromethylene, Cyanate Ester, Inorganic- Organic hybrid polymers, (fluorinated or hydrated) amorphous carbon, other polymers, and / or combinations thereof. The inorganic compounds used are, for example, organic doped silicon glass (OSG), fluorine doped silicon glass (FSG), PFTE / silicon compounds, tetraethylorthosilicate (TEOS), SiN, SiO 2 , SiON, SiOC, SiCN : H, SiOCH material, SiCH material, silicate, slica-based material, silsesquioxane (SSQ) -based material, methyl-silsesquioxane (MSQ), hydrogen-silsesquioxane (HSQ), TiO 2 , Al 2 O 3 , TiN and combinations thereof. The insulating pattern layer material facilitates the patterning process (lithography and / or etching), adheres well to the underlying layer, has good mechanical durability, and / or has an ECPR process and / or intermediate cleaning and / or removal It may have inert properties during the step.

일부 실시예에서, 절연 패턴 층의 패턴(캐비티)은 리소그래피 및/또는 에칭과 같은 방법을 사용하여 제조된다. 상기 리소그래피 방법은 포토리소그래피, UV-리소그래피, 레이저-리소그래피, 전자-빔(E-빔) 리소그래피, 나노임프린트, 다른 리소그래피 방법 및/또는 이의 조합을 포함할 수 있다. In some embodiments, the pattern (cavity) of the insulating pattern layer is manufactured using methods such as lithography and / or etching. The lithographic method may include photolithography, UV-lithography, laser-lithography, electron-beam (E-beam) lithography, nanoimprint, other lithographic methods, and / or combinations thereof.

상기 절연 패턴 층은 ECPR 에칭 또는 도금 구조의 희망하는 크기 및 높이에 따라 상이한 높이를 가질 수 있다. 일부 실시예에서, 상기 절연 패턴 층은 수백 미크론까지의 두께를 가질 수 있다. 다른 실시예에서, 절연 패턴 층은 20 nm 아래까지 얇을 수 있다. 일부 실시예에서, 캐비티의 높이/폭 비는 약 5 미만과 같이 10 미만, 예를 들어, 약 2 미만, 가령 약 1 미만이다. 상위-IC 애플리케이션과 같은 일부 실시예에서, 절연 패턴 층은 약 50μm 미만, 예를 들어, 약 5μm 미만과 같이 약 15μm 미만이며, 애스펙트 비(aspect ratio)는 약 5 미만, 예를 들어, 약 1 미만과 같이 2 미만이다. IC 상호접속 애플리케이션과 같은 일부 실시예에서, 절연 패턴 층은 IC 상호접속 중간 와이어링에 대한 것과 같은 500 nm 미만과 같이, IP 상호접속 글로벌 와이어링에 대한 것과 같은 약 2μm 미만, 예를 들어, IC 상호접속 "금속 1" 와이어링에 대한 것과 같은 약 100 nm 미만, 가령, IC 상호접속 "금속 1" 와이어링에 대한 것과 같은 약 50 nm 미만과 같이 200 nm 미만이다. 상기 전기화학적 셀 내부에 강제 대류가 존재하지 않기 때문에, 제한 최대 전류 및 이에 따른 최대 도금/에칭 레이트는 전극들 간의 거리, 즉, 절연 패턴 층의 높이 및 전해질의 특성에 의해 결정된다. 더 높은 제한 전류는 전기화학적으로 에칭되거나 증착 되는 재료의 더 높은 이온 농도를 포함하는 전해질을 사용하여 성취된다. 더구나, 기판의 시드 층 및 도전성 전극 층 사이의 거리가 더 짧아지면 제한 전류가 더 높아진다. 그러나, 짧은 거리, 즉, 얇은 절연 패턴 층은 단락이 발생할 위험을 증가시킨다. 형성될 구조 층의 두께가 약 50 % 미만과 같이 절연 층 두께의 약 90 % 미만, 예를 들어, 약 10 % 미만일 수 있다. The insulating pattern layer may have a different height depending on the desired size and height of the ECPR etched or plated structure. In some embodiments, the insulating pattern layer can have a thickness of up to several hundred microns. In other embodiments, the insulating pattern layer may be thin down to 20 nm. In some embodiments, the height / width ratio of the cavity is less than 10, such as less than about 5, for example less than about 2, such as less than about 1. In some embodiments, such as top-IC applications, the insulating pattern layer is less than about 15 μm, such as less than about 50 μm, for example less than about 5 μm, and the aspect ratio is less than about 5, eg, about 1 Less than 2, such as less than. In some embodiments, such as IC interconnect applications, the insulating pattern layer is less than about 2 μm, such as for IP interconnect global wiring, such as less than 500 nm, such as for IC interconnect intermediate wiring, for example, IC Less than about 100 nm, such as for interconnect "metal 1" wiring, eg, less than 200 nm, such as less than about 50 nm, such as for IC interconnect "metal 1" wiring. Since there is no forced convection inside the electrochemical cell, the limiting maximum current and thus the maximum plating / etching rate is determined by the distance between the electrodes, ie the height of the insulating pattern layer and the properties of the electrolyte. Higher limiting currents are achieved using electrolytes that contain higher ion concentrations of materials that are electrochemically etched or deposited. Moreover, the shorter the distance between the seed layer of the substrate and the conductive electrode layer, the higher the limit current. However, short distances, ie thin insulating pattern layers, increase the risk of short circuits. The thickness of the structural layer to be formed may be less than about 90%, for example less than about 10%, of the insulating layer thickness, such as less than about 50%.

상기 에칭 방법은 절연 패턴 층이 손상되지 않아야 하는 에어리어를 보호하기 위하여 에치-마스크를 사용하는 단계 및/또는 기계적 제거 방법을 사용하는 단계를 포함한다. 에칭 방법은 이온-스퍼터링, 반응성-이온-에칭(RIE), 플라즈마-보조-에칭, 레이저-절제, 이온-밀링과 같은 건식 에칭 및/또는 습식 에칭을 포함할 수 있다. 에치-마스크의 패턴은 상기 리소그래피 방법으로 생성될 수 있다. 에치-마스크는 박막 포토레지스트, 폴리이미드, BCB, 후막 레지스트 및/또는 다른 폴리머 등과 같이 상기 리소그래피 방법에서 사용되는 폴리머 레지스트일 수 있다. 에치-마스크는 또한 SiN, SiO2, SiC, Pt, Ti, TiW, TiN, Al, Cr, Au, Cu, Ni, Ag, NiP와 같은 재료, 다른 하드 재료, 이의 합금 및/또는 이의 조합을 포함하는 하드-마스크일 수 있다. 상기 하드-마스크는 PVD, CVD, MOCVD, 스퍼터링, 무전해 증작, 침지 증착, 전기증착, PECVD, ALD, 다른 적절한 증착 방법 및/또는 이의 조합과 같은 방법으로 도포될 수 있다. 하드-마스크는 일부 실시예에서 습식 및/또는 건식 에칭 방법을 사용하여, 패터닝된 리소그래피 마스크에 의해 커버되지 않는 에어리어에서 선택적으로 하드-마스크를 에칭하기에 앞서 상기 리소그래피 방법에 의해 패터닝된 다. The etching method includes using an etch-mask and / or using a mechanical removal method to protect an area where the insulating pattern layer should not be damaged. Etching methods may include dry etching and / or wet etching, such as ion-sputtering, reactive-ion-etching (RIE), plasma-assisted-etching, laser-ablation, ion-milling. The pattern of etch-masks can be generated by the lithographic method. The etch-mask may be a polymer resist used in the lithographic method, such as thin film photoresist, polyimide, BCB, thick film resist, and / or other polymers. The etch-mask also includes materials such as SiN, SiO 2 , SiC, Pt, Ti, TiW, TiN, Al, Cr, Au, Cu, Ni, Ag, NiP, other hard materials, alloys thereof and / or combinations thereof. Can be a hard-mask. The hard-mask may be applied by methods such as PVD, CVD, MOCVD, sputtering, electroless deposition, immersion deposition, electrodeposition, PECVD, ALD, other suitable deposition methods, and / or combinations thereof. The hard-mask is patterned by the lithographic method prior to etching the hard-mask selectively in an area not covered by the patterned lithography mask, using the wet and / or dry etching method in some embodiments.

일부 실시예에서, 상기 하드-마스크는 예를 들어, 하드-마스크에 사용된 재료가 Cu, Ni, NiFe, NiP, Au, Ag, Sn, Pb, SnAg, SnAgCu, SnPb 및/또는 이의 조합일 때, ECPR 에칭 또는 도금 구조의 적어도 하나의 층을 포함할 수 있다. 이 경우에, 마스터 전극의 절연 패턴 층은 상기 에칭 방법과 함께 또 다른 마스터 전극을 사용함으로써 패터닝될 수 있고, 다른 리소그래피 방법은 필요로 되지 않을 수 있다. In some embodiments, the hard-mask is, for example, when the material used for the hard-mask is Cu, Ni, NiFe, NiP, Au, Ag, Sn, Pb, SnAg, SnAgCu, SnPb and / or combinations thereof. At least one layer of an ECPR etch or plating structure. In this case, the insulating pattern layer of the master electrode can be patterned by using another master electrode in conjunction with the above etching method, and no other lithography method may be required.

일부 실시예에서, 에치-스톱 층은 상기 절연 패턴 층을 도포하기 전에 도포된다. 상기 에치-스톱 층은 절연 패턴 층보다 에칭 프로세스에 의해 덜 영향을 받는 하나 또는 여러 재료의 적어도 하나의 층을 포함함으로써, 에치 프로세스를 정지 또는 저속화하므로, 에칭이 절연 패턴 층을 통과할 때 아래에 놓인 층을 보호한다. 상기 에치-스톱 층은 Ti, Pt, Au, Ag, Cr, Tiw, SiN, Ni, Si, SiC, SiO2, Al, InGaP, CoP, CoWP, NiP, NiPCo, AuCo, BLOkTM(Applied Materials)과 같은 재료 또는 상기 에칭 방법에 의해 덜 영향을 받는 다른 재료 및/또는 이의 조합을 포함할 수 있다. In some embodiments, the etch-stop layer is applied before applying the insulating pattern layer. The etch-stop layer stops or slows down the etch process by including at least one layer of one or several materials that are less affected by the etching process than the insulating pattern layer, so that when etching passes through the insulating pattern layer, Protect the layers laid on it. The etch-stop layer comprises Ti, Pt, Au, Ag, Cr, Tiw, SiN, Ni, Si, SiC, SiO 2 , Al, InGaP, CoP, CoWP, NiP, NiPCo, AuCo, BLOk (Applied Materials) and Other materials and / or combinations thereof which are less affected by the same etching method.

일 실시예에서, 상기 패터닝 방법은 절연 패턴 층의 패턴 캐비티 측벽의 경사 각도에 영향을 주기 위하여 변경될 수 있다. 경사 각도는 ECPR 에칭 또는 도금 구조의 애플리케이션에 따른다. 일부 실시예에서, 수직에 가까운 측벽(캐리어 표면 및 절연 패턴 층의 측벽 사이의 90도의 경사 각도에 가까움, 수직은 이것이 수평인 구조의 법선 위치와 관련된다는 것을 의미함)은 어떤 전기적 특성을 성취하기 위하여 사용된다. 이것은 측벽이 약 0.1°미만과 같이 약 1°미만의 전극 표면의 법선에 대한 각도(경사 각도)를 갖는다는 것을 의미한다. 다른 실시예에서, 절연 패턴 층 또는 ECPR 도금 구조 중 하나 상의 손상을 초래함이 없이 ECPR 도금 구조로부터 마스터 전극을 분리하는 방법을 개선시키기 위하여 더 큰 경사 각도가 사용된다. 이와 같은 각도는 20°까지와 같이 약 45 까지, 예를 들어, 약 5°까지일 수 있다. 상기 분리 방법은 상기 경사 각도를 변경시켜서 0도 이상이 되도록 함으로써 개선될 수 있는데, 이는 절연 패턴 층의 캐비티가 하부에서보다 상부에서 더 큰 개방 에어리어를 갖는다는 것을 의미한다(일반적으로, "포지티브 경사 각도라 칭해짐"). 상기 각도는 실질적으로 네거티브가 아니어야 한다. In one embodiment, the patterning method may be modified to affect the inclination angle of the sidewalls of the pattern cavity of the insulating pattern layer. The angle of inclination depends on the application of the ECPR etch or plating structure. In some embodiments, near vertical sidewalls (close to a 90 degree angle of inclination between the carrier surface and the sidewalls of the insulating pattern layer, vertical means that this relates to the normal position of the horizontal structure) to achieve certain electrical properties To be used. This means that the sidewall has an angle (inclined angle) to the normal of the electrode surface of less than about 1 °, such as less than about 0.1 °. In another embodiment, a larger tilt angle is used to improve the method of separating the master electrode from the ECPR plated structure without causing damage to either the insulating pattern layer or the ECPR plated structure. Such an angle may be up to about 45, for example up to about 5 °, such as up to 20 °. The separation method can be improved by changing the angle of inclination to be greater than 0 degrees, which means that the cavity of the insulating pattern layer has a larger open area at the top than at the bottom (generally, a "positive slope Is called the angle "). The angle should not be substantially negative.

일부 실시예에서, 상기 리소그래피 방법을 사용함으로써, 절연 패턴 층을 생성하는데 사용되는 포토레지스트는 수직 측벽 또는 포지티브 경사 각도를 제공하는 화학적 및 물리적 특성을 가질 수 있다. 0에 가까운 경사 각도를 성취하기 위하여 예를 들어, SU-8(Microchem), THB(JSR Micro)와 같은 네거티브 포토레지스트 또는 ZEP(Sumitomo)와 같은 E-빔 레지스트가 사용될 수 있다. AZ® AXTM, AZ® P9200, AZ® P4000(AZ Electronic Materials), ARF 레지스트(JSR Micro), SPR 레지스트(Rohm & Hass Electronic Materials)와 같은 다른 포지티브 포토레지스트 및/또는 기타 포지티브 포토레지스트가 포지티브 경사 각도를 갖는 절연 패턴 층을 생성하는데 사용될 수 있다. 경사 각도는 또한 포토리소그래피 방법의 파라미터를 변경시킴으로 써 조정될 수 있다. 예를 들어, 측벽의 경사 각도는 투사 렌즈를 통해 포토레지스트를 노출시킬 때 초점의 길이를 변경함으로써 가변될 수 있다. 또한, 경사 각도는 포토그래피 패터닝 방법에서 파라미터를 가변시킴으로써, 예를 들어, 파장 필터를 사용하여, 반사방지 코팅을 사용하여, 노출 도우즈를 변경하여, 현상 시간을 변경하여, 열 처리를 사용하여 및/또는 이의 조합에 의하여 최적화될 수 있다. In some embodiments, by using the lithographic method, the photoresist used to create the insulating pattern layer can have chemical and physical properties that provide vertical sidewalls or positive tilt angles. For example, a negative photoresist such as SU-8 (Microchem), THB (JSR Micro) or an E-beam resist such as ZEP (Sumitomo) can be used to achieve an angle of inclination close to zero. Other positive photoresists and / or other positive photoresists such as AZ ® AX TM , AZ ® P9200, AZ ® P4000 (AZ Electronic Materials), ARF resist (JSR Micro), SPR resist (Rohm & Hass Electronic Materials) It can be used to create an insulating pattern layer having an angle. The tilt angle can also be adjusted by changing the parameters of the photolithography method. For example, the inclination angle of the sidewalls can be varied by changing the length of the focal point when exposing the photoresist through the projection lens. In addition, the inclination angle can be varied by changing parameters in the photography patterning method, for example, using a wavelength filter, using an antireflective coating, changing the exposure dose, changing the development time, and using a heat treatment. And / or combinations thereof.

또 다른 실시예에서, 상기 절연 패턴 층을 패터닝하는데 사용되는 상기 에칭 방법은 수직 측벽 또는 포지티브 경사 각도를 성취하기 위하여 변경될 수 있다. 예를 들어, 어떤 경사 각도는 반응성-이온-에칭(RIE)과 같은 건식-에칭 방법에 대한 가스 조성, 플래튼 전력(platen power)(RF 전력) 및/또는 플라즈마 전력(또한 코일 전력이라 칭해짐)을 최적화함으로써 달성될 수 있다. 상기 가스 조성은 예를 들어, 불화탄소, 산소, 수소, 염소 및/또는 아르곤을 포함할 수 있다. 경사 각도는 측벽 상의 패시베이팅 물질(passivating substance)의 중합화의 레벨을 변경함으로써 제어될 수 있다. 예를 들어, 가스 조성 내에서 불화탄소의 레벨을 증가시키거나 감소시킴으로써, 중합화의 레벨이 각각 증가하거나 감소되어, 경사 각도가 각각 감소되거나(덜 수직적임) 감소된다(더 수직적임). 또한, 중합화의 정도는 중합화를 감소시키고 더 작은 경사각도를 제공하는 산소 레벨을 증가시킴으로써 및 그 반대로 함으로써; 및/또는 중합화를 증가시키고 더 큰 경사 각도(덜 수직적임)를 제공하는 수소 레벨을 증가시킴으로써 및 그 반대로 함으로써 산소 및/또는 수소 함량을 변경시킴으로써 제어될 수 있다. 일부 실시예에서, 상기 경사 각도는 상기 플래튼 전력을 일정하게 유지하면서 상기 코일 전력을 감소시킴으로써 감소된다(더 수직적이 된다). 이것은 스퍼터링 효과를 증가시킴으로써, 상기 절연 패턴 층을 에칭할 때 측벽이 더 수직적이 되도록 한다. 상기 코일 전력을 대신 증가시킴으로써 반대의 효과가 성취될 수 있고, 이에 의해 경사 각도가 더 커지게 된다(덜 수직적이 된다). 또 다른 실시예에서, 상기 경사 각도는 상기 코일 전력을 일정하게 유지하면서 상기 플래튼 전력을 증가시킴으로써 감소된다(더 수직적이 된다). 상기 절연 패턴 층을 에칭할 때 더 큰 경사 각도(덜 수직적임)는 상기 코일 전력을 일정하게 유지하면서 상기 플래튼 전력을 감소시킴으로써 성취될 수 있다. In another embodiment, the etching method used to pattern the insulating pattern layer can be modified to achieve vertical sidewalls or positive tilt angles. For example, certain tilt angles may be referred to as gas composition, platen power (RF power) and / or plasma power (also referred to as coil power) for dry-etch methods such as reactive-ion-etching (RIE). Can be achieved by optimizing The gas composition may include, for example, carbon fluoride, oxygen, hydrogen, chlorine and / or argon. The inclination angle can be controlled by changing the level of polymerization of the passivating substance on the sidewalls. For example, by increasing or decreasing the level of carbon fluoride in the gas composition, the level of polymerization is increased or decreased respectively, so that the angle of inclination is reduced (less vertical) or decreased (more vertical), respectively. In addition, the degree of polymerization can be achieved by increasing the oxygen level which reduces the polymerization and provides a smaller tilt angle and vice versa; And / or by altering the oxygen and / or hydrogen content by increasing the polymerization and increasing the hydrogen level giving a greater tilt angle (less vertical) and vice versa. In some embodiments, the inclination angle is reduced (becomes more vertical) by reducing the coil power while keeping the platen power constant. This increases the sputtering effect, making the sidewalls more vertical when etching the insulating pattern layer. By increasing the coil power instead, the opposite effect can be achieved, whereby the tilt angle becomes larger (less vertical). In another embodiment, the inclination angle is reduced (becomes more vertical) by increasing the platen power while keeping the coil power constant. A larger tilt angle (less vertical) when etching the insulating pattern layer can be achieved by reducing the platen power while keeping the coil power constant.

또 다른 실시예에서, 상기 절연 패턴 층의 캐비티(패턴)를 생성하기 위하여 다마신 프로세스가 사용될 수 있다; 상기 다마신 프로세스는 처음으로 캐리어 상으로 희생 패턴 층을 도포하는 단계; 다음에 절연 패턴 층에 대해 전술한 상기 도포 방법을 사용함으로써 상기 희생 패턴 층을 커버할 뿐만 아니라 희생 패턴의 캐비티를 채우도록 절연 재료를 도포하는 단계; 희생 패턴 층이 커버되지 않을 때까지 전술한 평탄화 방법을 사용하여 상기 절연 재료를 평탄화시키는 단계; 및 상기 희생 패턴 층을 제거하여 절연 패턴 층이 형성되는 단계를 포함한다. 상기 희생 패턴 층은 예를 들어, 구조 층을 ECPR 에칭 또는 도금하거나 공지된 리소그래피 및/또는 에칭/도금 방법을 사용함으로써 형성될 수 있다. 이 대안적인 패터닝 방법은 예를 들어, 리소그래피 및/또는 에칭 방법에 의해 직접적으로 패터닝하기 어려운 절연 패턴 층 재료를 포함하는 실시예에 대해 사용될 수 있다. In yet another embodiment, a damascene process may be used to create a cavity (pattern) of the insulating pattern layer; The damascene process may include applying a sacrificial pattern layer onto a carrier for the first time; Then applying an insulating material to cover the sacrificial pattern layer as well as to fill the cavity of the sacrificial pattern by using the application method described above for the insulating pattern layer; Planarizing the insulating material using the planarization method described above until the sacrificial pattern layer is not covered; And removing the sacrificial pattern layer to form an insulating pattern layer. The sacrificial pattern layer can be formed, for example, by ECPR etching or plating the structural layer or using known lithography and / or etching / plating methods. This alternative patterning method can be used for embodiments that include an insulating pattern layer material that is difficult to pattern directly, for example, by lithography and / or etching methods.

실시예에서, 상기 절연 패턴 층 표면은 ECPR 도금 구조로부터 더 양호한 분리를 개선하기 위하여 처리될 수 있다. 예를 들어, 절연 패턴 층 표면은 ECPR 도금 구조의 측벽 및 상기 캐비티의 측벽 사이에 점착-방지 효과를 제공하는 방법으로 처리될 수 있다. 이것은 ECPR 도금 구조로의 기계적 및 화학적 본드를 감소시키는 릴리스 층으로 상기 절연 패턴 층 표면을 코팅하는 것을 포함할 수 있다. 이와 같은 릴리스 층은 스핀-코팅, 스프레이-코팅, CVD, MOCVD, MVD, PVD, 및/또는 이의 조합을 사용하여 도포될 수 있다. 상기 릴리스 층은 메톡시-실란, 클로로-실란, 플루오로-실란과 같은 실란, 폴리-디-메틸-실록산, 폴리-에틸렌-글리콜-실록산, 디메틸-실록산 올리고머(DMS)와 같은 실록산 및/또는 비정질 플로오로-폴리머, 플루오로-카본, 폴리-테트라-플루오로-에틸렌(PTFE), 사이토-플루오로-폴리머와 같은 다른 폴리머 및/또는 이의 조합을 포함할 수 있다. In an embodiment, the insulating pattern layer surface can be treated to improve better separation from the ECPR plating structure. For example, the insulating pattern layer surface may be treated in a manner that provides an anti-sticking effect between the sidewall of the ECPR plating structure and the sidewall of the cavity. This may include coating the insulating pattern layer surface with a release layer that reduces mechanical and chemical bonds to the ECPR plating structure. Such release layers can be applied using spin-coating, spray-coating, CVD, MOCVD, MVD, PVD, and / or combinations thereof. The release layer may be methoxy-silane, chloro-silane, silane such as fluoro-silane, poly-di-methyl-siloxane, poly-ethylene-glycol-siloxane, siloxane such as dimethyl-siloxane oligomer (DMS) and / or Other polymers such as amorphous fluoro-polymers, fluoro-carbons, poly-tetra-fluoro-ethylene (PTFE), cyto-fluoro-polymers and / or combinations thereof.

일 실시예에서, 절연 패턴 층에 사용된 재료는 상기 전해질이 절연 패턴의 캐비티를 웨팅(wetting)하고 채우는 능력을 개선시키는 특성을 가지고/가지거나 상기의 능력을 개선시키는 방법으로 처리된다. 일 실시예에서, 절연 패턴 층 재료의 적어도 일부는 낮은 표면 에너지 특성을 가지며, 친수성인데, 즉, 수용액과 낮은 콘택 각도를 갖는다. 더구나, 절연 패턴 층 재료의 일부는 표면 에너지를 낮추고 친수성 표면을 생성하는 방법으로 처리될 수 있다. 이와 같은 표면 처리 방법은 예를 들어, 열 처리, 산소/질소/아르곤 플라즈마 처리, 점착 방지(SIRCAS)용 표면 변환 및/또는 페록사이드, 퍼설페이트, 농축된 산/염기와 같은 강산화제로 표면을 처리하는 것 및/또는 이의 조합일 수 있다. 다른 실시예에서, 절연 패턴 층의 적어도 일부는 높은 표면 에너지를 가지며, 표면 에너지를 증가시켜 표면이 소수성이 되도록 하기 위한 방법으로 처리될 수 있다. 이와 같은 방법은 수소 플라즈마에 의한 처리를 포함할 수 있다. 실시예에서, 절연 패턴 층은 상기 졀연 패턴 층의 캐비티의 측벽이 친수성이 되고, 절연 패턴 층이 상부가 소수성이 되도록 하는 특성을 갖는 적어도 하나의 재료의 하나 또는 여러 층을 포함한다. 상기 친수성 재료는 예를 들어, SiN, SiO2, 산소 플라즈마 및/또는 표면에서 극성 작용 분자기를 갖는 다른 재료로 처리되었던 (포토레지스트 및/또는 엘라스토머와 같은) 폴리머 및/또는 이의 조합일 수 있다. 상기 소수성 재료는 수소 종결된 폴리머, 테플론, 플루오로- 및 클로로- 실란, 실록산, 플루오로-엘라스토머 및/또는 이의 조합과 같은 비-극성 작용 분자기를 갖는 재료일 수 있다. In one embodiment, the material used for the insulating pattern layer is treated in a manner that has and / or improves the ability of the electrolyte to wet and fill the cavity of the insulating pattern. In one embodiment, at least a portion of the insulating pattern layer material has low surface energy properties and is hydrophilic, ie, has a low contact angle with an aqueous solution. Moreover, some of the insulating pattern layer material can be treated by lowering surface energy and producing hydrophilic surfaces. Such surface treatment methods include, for example, surface treatment with heat treatment, oxygen / nitrogen / argon plasma treatment, surface conversion for anti-sticking (SIRCAS) and / or strong oxidizing agents such as peroxides, persulfates, concentrated acids / bases. Processing and / or combinations thereof. In another embodiment, at least a portion of the insulating pattern layer has a high surface energy and can be treated in a manner to increase the surface energy to make the surface hydrophobic. Such a method may include treatment with a hydrogen plasma. In an embodiment, the insulating pattern layer comprises one or several layers of at least one material having the property that the sidewalls of the cavity of the natural pattern layer become hydrophilic and the insulating pattern layer is hydrophobic on top. The hydrophilic material can be, for example, a polymer (such as photoresist and / or elastomer) and / or combinations thereof that have been treated with SiN, SiO 2 , oxygen plasma and / or other materials having polar functional molecular groups at the surface. . The hydrophobic material may be a material having non-polar functional molecular groups such as hydrogen terminated polymers, Teflon, fluoro- and chloro-silanes, siloxanes, fluoro-elastomers and / or combinations thereof.

또 다른 실시예에서, 절연 패턴 층은 마스터 전극이 상기 시드 층에 대해 가압될 때, 기판의 시드 층 표면 및 절연 패턴 층 표면의 상부 사이에서 기계적 콘택을 개선시키는 적어도 하나의 재료의 하나 또는 여러 층을 가질 수 있다. 전술한 바와 같이, 절연 패턴 층은 엘라스토머와 같은 가요성 재료의 적어도 하나의 층으로 이루어질 수 있다. 일 실시예에서, 절연 패턴 층은 강성 재료의 적어도 하나의 층 및 상기 엘라스토머 재료의 적어도 하나의 층을 포함한다. 엘라스토머 재료의 상기 층은 강성 재료의 상기 층의 상부 상에 도포될 수 있다. 상기 엘라스토머 층은 고 압축성 및/또는 탄력적 특성을 가지며; 전기적으로 절연성이 있고/있거나 낮은 유전체 특성을 가지고; ECPR 프로세스 및/또는 중간 클리닝 및/또는 제거 단계에서 사용된 환경에 대해, 예를 들어, 상기 전해질에 대해 양호한 화학적 내성을 가지며; PECVD, PVD, CVD, MOCVD, ALD, 스핀-코팅, 스프레이-코팅, 롤러-코팅, 파 우더-코팅, 열분해 및/또는 이의 조합과 같은 방법에 의해 도포되고; 금속, 실리콘, 유리, 산화물, 질화물 및/또는 폴리머와 같은 아래에 놓인 층으로의 강한 접착력을 가지며; ECPR 프로세스에서 사용된 환경, 예를 들어, 상기 전해질에서의 및/또는 시간이 지남에 따른 수축 및 스웰링에 대한 높은 내성을 가지며; 논-블리딩(non-bleeding)인데, 즉, 오염 유기 화합물을 배출하지 않고; UV-광에 민감하고; 리소그래피 방법으로 패터닝되며; 투명하고; 상기 에칭 방법, 예를 들어, 상기 건식-에칭 방법을 사용하여 패터닝될 수 있다. 일부 실시예에서, 상기 엘라스토머는 폴리-디-메틸-실록산(PDMS), 실리콘, 에폭시-실리콘, 플루오로-실리콘, 플루오로-엘라스토머, (천연) 고무, 네오프렌, EPDM, 니트릴, 아크릴레이트 엘라스토머, 폴리우레탄 및 이의 조합으로 이루어질 수 있다. 일부 실시예에서, 상기 엘라스토머 층은 1 MPa 미만과 같은 0.1GPa 미만, 예를 들어, 약 0.05 MPa 미만의 신장성 탄성률(영률)을 가질 수 있다. 일부 실시예에서, 상기 엘라스토머 층은 30 Shore-A 미만과 같은 90 Shore-A 미만, 예를 들어, 약 5 Shore-A 미만의 경도를 가질 수 있다. In another embodiment, the insulating pattern layer is one or several layers of at least one material that improves mechanical contact between the seed layer surface of the substrate and the top of the insulating pattern layer surface when a master electrode is pressed against the seed layer. Can have As mentioned above, the insulating pattern layer may be made of at least one layer of flexible material, such as an elastomer. In one embodiment, the insulating pattern layer comprises at least one layer of rigid material and at least one layer of the elastomeric material. The layer of elastomeric material may be applied on top of the layer of rigid material. The elastomer layer has high compressibility and / or elastic properties; Is electrically insulating and / or has low dielectric properties; Have good chemical resistance to the environment used in the ECPR process and / or intermediate cleaning and / or removal steps, for example to the electrolyte; Applied by methods such as PECVD, PVD, CVD, MOCVD, ALD, spin-coating, spray-coating, roller-coating, powder-coating, pyrolysis and / or combinations thereof; Has strong adhesion to underlying layers such as metals, silicon, glass, oxides, nitrides and / or polymers; Have a high resistance to the environment used in the ECPR process, for example shrinkage and swelling in the electrolyte and / or over time; Non-bleeding, ie without releasing contaminating organic compounds; Sensitive to UV-light; Patterned by lithographic method; Transparent; Patterning may be performed using the etching method, for example the dry-etching method. In some embodiments, the elastomer is poly-di-methyl-siloxane (PDMS), silicone, epoxy-silicone, fluoro-silicone, fluoro-elastomer, (natural) rubber, neoprene, EPDM, nitrile, acrylate elastomer, Polyurethane and combinations thereof. In some embodiments, the elastomeric layer may have a stretch modulus (Young's modulus) of less than 0.1 GPa, such as less than about 0.05 MPa, such as less than 1 MPa. In some embodiments, the elastomer layer may have a hardness of less than 90 Shore-A, such as less than about 30 Shore-A, for example less than about 5 Shore-A.

다른 실시예에서, 절연 층은 이미 패터닝된 표면, 예를 들어, 패터닝된 캐리어의 적어도 일부 상으로 도포된다. 실시예에서, 절연 패터닝 층은 도포된 재료가 예를 들어, 열적 산화, 열적 질화, 스퍼터링, PECVD 및/또는 ALD와 같은 방법을 사용함으로써 아래에 놓인 패터닝된 캐리어의 구조를 따르는 방법으로 도포된다. 상기 절연 층은 상기 아래에 놓인 패터닝된 캐리어의 적어도 일부를 언커버(uncover)하기 위하여 패터닝된다. 상기 패터닝 방법은 절연 패턴 층으로부터 상기 아래에 놓인 패터닝된 캐리어의 캐비티의 적어도 일부를 언커버할 수 있다. 유용한 패터닝 방법은 절연 패턴 층이 상기 패터닝된 캐리어의 캐비티의 하부가 적어도 일부 에어리어에서 언커버되면서, 절연 패턴 층이 상기 패터닝된 캐리어의 구조의 상부 및 측벽을 커버하고 있는 것을 포함한다. 상기 패터닝 방법은 전술한 리소그래피 및/또는 에칭 방법과 같은 방법일 수 있다. 일부 실시예에서, 상기 패터닝된 캐리어는 상기 절연 패턴 층을 도포하기 전에 패터닝된 구조의 상부 상에 절연 재료의 적어도 하나의 층을 갖는다. 예를 들어, 캐리어는 에치-마스크가 절연 재료의 적어도 하나의 층을 포함하고 상기 절연 패턴 층을 도포하기 전에 벗겨지지 않는 상기 에칭 방법을 사용함으로써 패터닝된다. 이로 인해, 상기 패터닝된 캐리어의 하부에 비하여, 구조의 상부 상에 절연 재료의 층이 더 두꺼워진다. 이 실시예에서, 상기 건식 에칭 방법과 같은 에칭 방법은 사용하는 것은 상부를 언커버하기 전에, 패터닝된 캐리어의 캐비티의 하부를 언커버할 수 있다. 상기 건식-에칭 방법은 측방향에서보다 상기 패터닝된 캐리어의 평면에 수직한 방향에서 더 높은 에칭 속도를 가지며, 이방성 에칭으로서 공지되고, 측벽이 절연 재료에 의해 여전히 커버되도록 하면서, 패터닝된 캐리어의 캐비티의 하부에서 절연 패턴 재료를 언커버하도록 한다. 다른 실시예에서, 절연 패턴 층은 상기 캐리어 및/또는 상기 도전성 전극 층으로의 전기적 접속에 사용될 수 있는 적어도 일부를 언커버하기 위하여 패터닝된다. In another embodiment, the insulating layer is applied onto an already patterned surface, for example at least a portion of the patterned carrier. In an embodiment, the insulating patterning layer is applied in a manner in which the applied material follows the structure of the underlying patterned carrier, for example by using methods such as thermal oxidation, thermal nitriding, sputtering, PECVD and / or ALD. The insulating layer is patterned to uncover at least a portion of the underlying patterned carrier. The patterning method may uncover at least a portion of the cavity of the underlying patterned carrier from the insulating pattern layer. Useful patterning methods include an insulating pattern layer covering the top and sidewalls of the structure of the patterned carrier, with the bottom of the cavity of the patterned carrier uncovered in at least some area. The patterning method may be a method such as the lithography and / or etching method described above. In some embodiments, the patterned carrier has at least one layer of insulating material on top of the patterned structure prior to applying the insulating pattern layer. For example, the carrier is patterned by using the etching method wherein the etch-mask comprises at least one layer of insulating material and does not peel off before applying the insulating pattern layer. This results in a thicker layer of insulating material on top of the structure compared to the bottom of the patterned carrier. In this embodiment, using an etching method such as the dry etching method may uncover the bottom of the cavity of the patterned carrier before uncovering the top. The dry-etching method has a higher etching rate in a direction perpendicular to the plane of the patterned carrier than in the lateral direction and is known as anisotropic etching, while allowing the sidewalls to be still covered by an insulating material, the cavity of the patterned carrier Undercover the insulating pattern material. In another embodiment, an insulating pattern layer is patterned to uncover at least a portion that can be used for electrical connection to the carrier and / or the conductive electrode layer.

마스터 전극의 여러 실시예가 도면을 참조하여 후술될 것이다. Various embodiments of the master electrode will be described below with reference to the drawings.

실시예는 도전성/반도전성 디스크(2) 및 절연 코팅 층(3)을 포함하는 캐리어(1)를 공급하는 것을 포함한다. 상기 절연 코팅 층(3)은 도1(a)에 도시된 바와 같이, 후방측 및 전방측 상의 중앙 내의 에어리어를 제외한 도전성/반도전성 디스크(2)의 모든 영역을 커버할 수 있다. 도전성 전극 층(4)이 캐리어(1)의 전방측 상으로 도포되어, 도전성/반도전성 디스크(2)의 적어도 일부를 커버하고 상기 적어도 일부와 전기적으로 콘택한다. 일 실시예에서, 상기 도전성 전극 층(4)은 또한 상기 절연 코팅 층(3)의 적어도 일부를 커버하고 있다. 일부 실시예에서, 접속 층(5)이 외부 전원으로부터 마스터 전극으로의 양호한 전기적 접속을 가능하게 하기 위하여 캐리어의 후방측 상의 상기 도전성/반도전성 디스크의 적어도 일부 상으로 도포된다. 도전성 전극 층(4) 및 접속 층(5)과 함께, 도전성/반도전성 디스크(2) 및 절연 코팅 층(3)을 포함하는 캐리어의 일 실시예의 단면이 도1(b)에 도시되어 있다. 실시예에서, 절연 재료(6)가 도1(c)에 도시된 바와 같이 캐리어(1) 및 도전성 전극 층(4) 상으로 도포된다. 절연 재료는 상기 리소그래피 및/또는 애칭 방법을 사용하여 패터닝되어, 절연 패턴 층(7)을 형성할 수 있다. 캐리어(1), 도전성 전극 층(4), 접속 층(5), 및 절연 패턴 층(7)을 포함하는 마스터 전극(8)의 실시예의 단면이 도1(d)에 도시되어 있다. Embodiments include supplying a carrier 1 comprising a conductive / semiconductive disk 2 and an insulating coating layer 3. The insulating coating layer 3 may cover all areas of the conductive / semiconductive disc 2 except for the area in the center on the rear side and the front side, as shown in Fig. 1 (a). A conductive electrode layer 4 is applied onto the front side of the carrier 1, covering at least part of the conductive / semiconductive disc 2 and making electrical contact with the at least part. In one embodiment, the conductive electrode layer 4 also covers at least part of the insulating coating layer 3. In some embodiments, a connection layer 5 is applied onto at least a portion of the conductive / semiconductive disc on the back side of the carrier to enable good electrical connection from the external power source to the master electrode. A cross section of one embodiment of a carrier comprising a conductive / semiconductive disk 2 and an insulating coating layer 3 together with a conductive electrode layer 4 and a connection layer 5 is shown in FIG. 1 (b). In an embodiment, an insulating material 6 is applied onto the carrier 1 and the conductive electrode layer 4 as shown in Fig. 1 (c). The insulating material can be patterned using the lithography and / or nicking method to form the insulating pattern layer 7. A cross section of an embodiment of a master electrode 8 comprising a carrier 1, a conductive electrode layer 4, a connection layer 5, and an insulating pattern layer 7 is shown in FIG. 1 (d).

실시예에서, 캐리어(1)는 도2(a)에 도시된 바와 같이, 도전성/반도전성 재료(10)로 적어도 부분적으로 채워지는 중앙에서 도전성 비아(11)를 갖는 절연 디스크(9)를 포함한다. 절연 디스크(9)는 마스터 전극 및 기판 사이의 정렬 케이퍼빌리티(alignment capability)를 가능하게 하기 위하여 투명할 수 있다. 일 실시예에서, 도전성 전극 층(4)은 캐리어(1)의 전방측 상으로 도포된다. 게다가, 접속 층(5)은 외부 전원으로부터 마스터 전극으로의 양호한 전기적 접속을 가능하게 하 기 위하여 후방측 상에 도포될 수 있다. 도전성 전극 층(4) 및 접속 층(5) 사이의 전기적 접속은 비아(11)를 통해 가능해진다. 절연 디스크(9), 도전성 비아(11), 도전성 전극 층(4) 및 접속 층(5)을 포함하는, 캐리어(1)의 일 실시예의 단면이 도2(b)에 도시되어 있다. 절연 재료(6)가 도2(c)에 도시된 바와 같이 캐리어(1) 및 도전성 전극 층(4) 상으로 도포될 수 있다. 절연 재료는 상기 리소그래피 및/또는 에칭 방법을 사용하여 패터닝되어, 절연 패턴 층(7)을 형성할 수 있다. 도2(d)는 절연 디스크(9), 도전성 비아(11), 도전성 전극 층(4), 접속 층(5) 및 절연 패턴 층(7)을 포함하는, 캐리어(1)를 포함한 마스터 전극의 일 실시예의 단면을 도시한다. In an embodiment, the carrier 1 comprises an insulating disk 9 having a conductive via 11 at the center which is at least partially filled with conductive / semiconductive material 10, as shown in FIG. 2 (a). do. The insulating disk 9 may be transparent to enable alignment capability between the master electrode and the substrate. In one embodiment, the conductive electrode layer 4 is applied onto the front side of the carrier 1. In addition, the connection layer 5 can be applied on the rear side to enable good electrical connection from the external power source to the master electrode. Electrical connection between the conductive electrode layer 4 and the connection layer 5 is made possible via the via 11. A cross section of one embodiment of the carrier 1, including an insulating disk 9, a conductive via 11, a conductive electrode layer 4 and a connecting layer 5, is shown in FIG. 2 (b). An insulating material 6 may be applied onto the carrier 1 and the conductive electrode layer 4 as shown in FIG. 2 (c). The insulating material can be patterned using the lithography and / or etching method to form the insulating pattern layer 7. FIG. 2D shows a master electrode comprising a carrier 1 comprising an insulating disk 9, a conductive via 11, a conductive electrode layer 4, a connecting layer 5 and an insulating pattern layer 7. A cross section of one embodiment is shown.

또 다른 실시예는 상기 캐리어의 전방측과 같이 적어도 일부 상에서 절연 코팅 층(3)에 의해 커버되는 도전성/반도전성 디스크(2)를 포함하는 캐리어(1)를 공급하는 것을 포함한다. 일부 실시예에서, 우선 절연 코팅 층이 도3(a)에 도시된 바와 같이 상기 도전성/반도전성 디스크를 완전히 커버하도록 도포된다. 실시예에서, 절연 코팅 층은 상기 리소그래피 및/또는 에칭 방법을 사용하여 패터닝되어, 절연 패턴 층(7)을 생성한다. 따라서, 형성된 캐비티에서, 도전성/반도전성 디스크(2)의 적어도 일부는 도3(b)에 도시된 바와 같이, 언커버된다. 도전성 전극 층(4)은 도3(c)에 도시된 바와 같이 절연 패턴 층 내의 캐비티의 하부에서의 도전성/반도전성 디스크 상으로 선택적으로 도포될 수 있다. 마스터 전극과의 전기적 접속을 가능하게 하기 위하여, 절연 패턴 층(7)의 후방측의 중앙과 같은 일부가 제거됨으로써, 도전성/반도전성 디스크(2)를 언커버할 수 있다. 외부 전원으로부터 마스터 전극으 로의 양호한 전기적 접속을 가능하게 하기 위하여 접속 층(5)이 마스터 전극의 후방측과 같은 도전성/반도전성 디스크의 언커버된 에어리어 상에 도포될 수 있다. 일부 실시예에서, 후방측에서의 절연 패턴 층(7)의 적어도 일부는 도전성 전극 층(4)을 도포하기 전에 제거된다. 그 후, 접속 층(5)이 도전성 전극 층을 도포하는 것과 동일한 단계에서, 그리고 동일한 방법으로 도포될 수 있다. 그러나, 일부 실시예에서, 접속 층(5)은 도전성 전극 층(4)을 도포하는 것과 동일한 단계에서 도포되는 적어도 하나의 층, 및 후속 단계에서 도포되는 적어도 또 다른 도전성 층으로 이루어질 수 있다. 도3(d)는 도전성/반도전성 디스크(2), 절연 패턴 층(7), 도전성 전극 층(4) 및 접속 층(5)을 포함하는 마스터 전극(8)의 단면을 도시한다. 도3(e)는 도전성/반도전성 디스크(2), 절연 패턴 층(7), 도전성 전극 층(4) 및 접속 층(5)을 포함하는 마스터 전극(8)의 또 다른 실시예의 단면을 도시하며, 여기서 상기 접속 층은 적어도 하나가 또한 후방측에서 절연 패턴 층(7)의 적어도 일부를 커버하고 있는 여러 층을 포함한다. Yet another embodiment includes supplying a carrier 1 comprising a conductive / semiconductive disk 2 covered by an insulating coating layer 3 on at least a portion, such as the front side of the carrier. In some embodiments, an insulating coating layer is first applied to completely cover the conductive / semiconductive disc as shown in Figure 3 (a). In an embodiment, the insulating coating layer is patterned using the lithography and / or etching method to produce the insulating pattern layer 7. Thus, in the formed cavity, at least part of the conductive / semiconductive disk 2 is uncovered, as shown in Fig. 3B. The conductive electrode layer 4 may be selectively applied onto the conductive / semiconductive disc at the bottom of the cavity in the insulating pattern layer as shown in FIG. 3 (c). In order to enable electrical connection with the master electrode, a portion, such as the center of the rear side of the insulating pattern layer 7, is removed, whereby the conductive / semiconductive disk 2 can be uncovered. In order to enable good electrical connection from the external power source to the master electrode, a connection layer 5 can be applied on the uncovered area of the conductive / semiconductive disc, such as the rear side of the master electrode. In some embodiments, at least part of the insulating pattern layer 7 at the rear side is removed before applying the conductive electrode layer 4. Thereafter, the connecting layer 5 can be applied in the same step as applying the conductive electrode layer and in the same manner. However, in some embodiments, the connecting layer 5 may consist of at least one layer applied in the same step as applying the conductive electrode layer 4 and at least another conductive layer applied in a subsequent step. 3 (d) shows a cross section of a master electrode 8 comprising a conductive / semiconductive disk 2, an insulating pattern layer 7, a conductive electrode layer 4 and a connection layer 5. 3 (e) shows a cross section of another embodiment of a master electrode 8 comprising a conductive / semiconductive disk 2, an insulating pattern layer 7, a conductive electrode layer 4 and a connecting layer 5; Wherein the connection layer comprises several layers, at least one of which also covers at least part of the insulating pattern layer 7 at the rear side.

부가적인 실시예는 도전성/반도전성 캐리어(1)를 공급하는 것을 포함한다. 캐리어는 상기 리소그래피 및/또는 에칭 방법을 사용하여 적어도 전방측 상에 패터닝된다. 일 실시예에서, 캐리어를 패터닝하는데 사용되는 에치-마스크(12)는 절연 재료를 포함한다. Additional embodiments include supplying a conductive / semiconductive carrier 1. The carrier is patterned on at least the front side using the lithography and / or etching method. In one embodiment, the etch-mask 12 used to pattern the carrier comprises an insulating material.

에치-마스크(12)로서의 절연 재료와 함께, 패터닝된 도전성/반도전성 캐리어(1)의 단면이 도4(a)에 도시되어 있다. 절연 패턴 층(7)이 상기 패터닝된 캐리어 및 상기 에치-마스크(12) 상으로 도포될 수 있다. 일부 실시예에서, 절연 패턴 층(7)은 도4(b)에 도시된 바와 같이 아래에 놓인 패턴 층의 구조를 따르도록 하는 방법으로 도포된다. 이것은 층(12)과의 조합 때문에, 캐비티의 하부보다 상기 패턴의 상부 상에서 절연 층이 더 두꺼워지도록 한다. A cross section of the patterned conductive / semiconductive carrier 1, along with the insulating material as the etch-mask 12, is shown in Fig. 4 (a). An insulating pattern layer 7 may be applied onto the patterned carrier and the etch-mask 12. In some embodiments, insulating pattern layer 7 is applied in such a way as to follow the structure of the underlying pattern layer as shown in FIG. 4 (b). This causes the insulating layer to be thicker on top of the pattern than on the bottom of the cavity due to the combination with layer 12.

상기 에칭 방법은 측벽 및 상부 상에서 절연 패턴 층(7)을 남기면서, 패턴의 하부에서 절연 패턴 층(7)으로부터 캐리어(1)를 언커버하는데 사용될 수 있다. 측벽보다 캐비티의 하부에서 더 높은 에치-레이트를 갖는 것을 특징으로 하는 건식-에칭 방법이 사용될 수 있다. 일부 실시예에서, 상부 상에서와 동일한 량의 절연 재료가 캐비티의 하부로부터 제거되어, 캐리어를 패터닝하는데 사용되는 상기 에치-마스크(12)의 두께에 대응하는 절연 재료 두께를 상부에 남긴다. 도4(c)는 패터닝된 캐리어(1), 에치-마스크(12) 및 패터닝된 캐리어의 캐비티의 하부를 언커버하기 위하여 에칭되었던 절연 패턴 층(7)을 포함하는 마스터 전극(8)을 도시한다. The etching method can be used to uncover the carrier 1 from the insulating pattern layer 7 at the bottom of the pattern, leaving the insulating pattern layer 7 on the sidewalls and the top. Dry-etching methods can be used which have a higher etch-rate at the bottom of the cavity than the sidewalls. In some embodiments, the same amount of insulating material as on the top is removed from the bottom of the cavity, leaving the top of the insulating material thickness corresponding to the thickness of the etch-mask 12 used to pattern the carrier. 4 (c) shows a master electrode 8 comprising a patterned carrier 1, an etch-mask 12 and an insulating pattern layer 7 which has been etched to uncover the bottom of the cavity of the patterned carrier. do.

일부 실시예에서, 도전성 전극 층은 에치-마스크(12) 또는 절연 패턴 층(7)에 의해 커버되지 않은 패터닝된 캐리어(1) 상의 에어리어에서 선택적으로 도포되고; 도4(d)에 도시된 바와 같이, 절연 패턴 층을 제거함으로써 후속 단계에서 캐리어(1)의 일부를 언커버하기 위하여 제2 에치-마스크(12)가 후방측에서 도포될 수 있다. In some embodiments, the conductive electrode layer is selectively applied in an area on the patterned carrier 1 that is not covered by the etch-mask 12 or the insulating pattern layer 7; As shown in Fig. 4D, a second etch-mask 12 may be applied on the rear side to uncover a part of the carrier 1 in a subsequent step by removing the insulating pattern layer.

후방측에서 절연 패턴 층(7)의 부분을 제거하는 것은 상기 리소그래피 및/또는 에칭 방법을 사용함으로써 행해질 수 있다. 접속 층(5)은 외부 전원으로부터 마스터 전극으로의 양호한 전기적 접속을 가능하게 하기 위하여 캐리어의 언커버된 부분 상에 도포될 수 있다. 일부 실시예에서, 마스터 전극에서의 전기적 접속은 마 스터 전극의 후방측의 중앙에서 이루어진다. 일부 실시예에서, 접속 층(5)은 도전성 전극 층(4)을 도포하는 것과 동일한 단계에서 도포된다. 이 경우에, 접속 에어리어에서의 캐리어(1)의 언커버링은 도전성 전극 층(4)을 도포하기 전에 행해진다. 일부 실시예에서, 접속 층(5)은 캐리어(1)의 언커버된 부분에만 도포된다. 다른 실시예에서, 접속 층은 캐리어의 언커버된 부분 또는 절연 패턴 층(7)의 일부 상에 도포된다. Removing part of the insulating pattern layer 7 at the back side can be done by using the lithography and / or etching method. The connection layer 5 can be applied on the uncovered part of the carrier to enable good electrical connection from the external power source to the master electrode. In some embodiments, the electrical connection at the master electrode is at the center of the back side of the master electrode. In some embodiments, the connecting layer 5 is applied in the same step as applying the conductive electrode layer 4. In this case, uncovering of the carrier 1 in the connection area is performed before applying the conductive electrode layer 4. In some embodiments, the connection layer 5 is only applied to the uncovered part of the carrier 1. In another embodiment, the connection layer is applied on the uncovered part of the carrier or part of the insulating pattern layer 7.

도4(e)는 캐리어 구조의 상부에 절연 에치-마스크(12)를 갖는 패터닝된 도전성/반도전성 캐리어(1), 절연 패턴 층(7), 패터닝된 캐리어의 캐비티 내에 도포된 도전성 전극 층(4) 및 상기 절연 패턴 층의 일부 및 캐리어의 언커버된 부분 상으로 후방측에 도포된 접속 층(5)을 포함하는 마스터 전극의 일 실시예의 단면을 도시한다. 4 (e) shows a patterned conductive / semiconductive carrier 1 having an insulating etch-mask 12 on top of the carrier structure, an insulating pattern layer 7, a conductive electrode layer applied in the cavity of the patterned carrier ( 4) and a cross section of one embodiment of a master electrode comprising a part of said insulating pattern layer and a connecting layer 5 applied on the rear side onto the uncovered part of the carrier.

일부 실시예에서, 마스터 전극(8)의 캐비티는 예를 들어, 상기 에칭 방법을 사용함으로써, 절연 패턴 층(7)의 캐비티의 하부에서 캐리어(1)로부터 재료를 제거함으로써, 도전성 전극 층(4)의 도포하기 전에, 더 깊어질 수 있다. 일부 실시예에서, 건식 에칭 방법이 사용될 수 있다. 일부 실시예의 경우에, 상기 절연 패턴 층(7)이 에치-마스크로서 사용될 수 있다. 더 깊은 캐비티를 생성하면 마스터 전극 캐비티가 ECPR 도금 동안 사용된 많은 량의 사전증착된 재료 및/또는 ECPR 에칭 동안의 에칭된 재료로 채워질 수 있게 된다. In some embodiments, the cavity of the master electrode 8 may be formed by removing the material from the carrier 1 at the bottom of the cavity of the insulating pattern layer 7, for example by using the etching method. Can be deeper before application. In some embodiments, a dry etching method can be used. In some embodiments, the insulating pattern layer 7 can be used as an etch-mask. Creating a deeper cavity allows the master electrode cavity to be filled with a large amount of pre-deposited material used during ECPR plating and / or etched material during ECPR etching.

도5는 절연 패턴 층(7)의 캐비티가 캐리어(1) 내로 더 깊게 에칭되고 나서, 선택적으로 증착된 도전성 전극 층(4)에 의해 커버되는 마스터 전극(8)의 단면을 도시한다. 5 shows a cross section of the master electrode 8 covered by a selectively deposited conductive electrode layer 4 after the cavity of the insulating pattern layer 7 is etched deeper into the carrier 1.

일 실시예는 절연 본드-층(13)을 본딩 및 패터닝함으로서 상기 절연 패턴 층(7)을 상기 캐리어(1) 상에 형성하는 포함한다. 일부 실시예에서, 캐리어(1)는 자신의 전방측 및 후방측의 중앙을 제외하고는 절연 코팅 층(3)으로 커버되는 도전성/반도전성 디스크(2)를 포함한다. 다른 실시예에서, 캐리어는 자신(1)의 중앙에서 도전성 비아(11)를 갖는 절연 디스크(9)를 포함한다. One embodiment includes forming the insulating pattern layer 7 on the carrier 1 by bonding and patterning the insulating bond-layer 13. In some embodiments, the carrier 1 comprises a conductive / semiconductive disc 2 covered with an insulating coating layer 3 except for the center of its front and back sides. In another embodiment, the carrier comprises an insulating disk 9 having a conductive via 11 at the center of itself 1.

일부 실시예에서, 도전성 전극 층(4)은 절연 본드-층(13)을 도포하기 전에 캐리어 상으로 도포되었다. 일부 실시예에서, 절연 본드-층은 상기 절연 본드-층(13)이 캐리어(1) 상으로 도포된 후에 제거될 수 있는 본드-캐리어(14)에 접착된다. 예를 들어, 절연 본드-층(13)은 Si 본드-캐리어(14) 상의 SiO2이거나, 임의의 제거 가능한 본드-캐리어(14) 상의 석영과 같은 유리, 또는 폴리머 막일 수 있다. 일부 실시예에서, 접착 강도와 같은 본딩 특성을 개선시키기 위하여 접착 본드-층(15)이 절연 본드-층을 캐리어(1)에 본딩하기 전에, 절연 본드-층 상으로 도포될 수 있다. 접착 본드-층(15)은 캐리어(1) 및/또는 상기 캐리어(1) 상의 도전성 전극 층(4)과 함께 양호한 본드-특성을 제공하는 재료로 이루어질 수 있고, 도전성 재료로 이루어져야 한다. 대안적으로, 접착 본드-층(15)은 비-도전성 재료로 이루어지고 에칭에 의하여 선택적으로 제거될 수 있다. 예를 들어, 접착 본드-층(15)은 도전성 전극 층(4)과 양호하게 본딩되는 금속 및/또는 합금을 포함할 수 있다. 접착 본드-층은 상기 도전성 전극 층(4)에 대해 전술한 것과 같은 재료를 포함할 수 있 다. In some embodiments, the conductive electrode layer 4 was applied onto the carrier before applying the insulating bond-layer 13. In some embodiments, an insulating bond-layer is bonded to a bond-carrier 14 that can be removed after the insulating bond-layer 13 has been applied onto the carrier 1. For example, insulating bond-layer 13 may be SiO 2 on Si bond-carrier 14, or glass, such as quartz, on any removable bond-carrier 14, or a polymer film. In some embodiments, an adhesive bond-layer 15 may be applied onto the insulating bond-layer before bonding the insulating bond-layer to the carrier 1 to improve bonding properties such as adhesive strength. The adhesive bond-layer 15 may be made of a material that provides good bond-characteristics with the carrier 1 and / or the conductive electrode layer 4 on the carrier 1 and should be made of a conductive material. Alternatively, the adhesive bond-layer 15 is made of a non-conductive material and can be selectively removed by etching. For example, the adhesive bond-layer 15 may comprise metals and / or alloys that are well bonded with the conductive electrode layer 4. The adhesive bond-layer may comprise a material as described above for the conductive electrode layer 4.

본딩 이전의 절연 본딩-층(13)과 접착 본딩-층(15), 본드 캐리어(14)와 도전성 전극 층(4)과 함께 캐리어(1)의 단면이 도6(a)에 도시되어 있다. The cross section of the carrier 1 is shown in Fig. 6 (a) together with the insulating bonding-layer 13 and the adhesive bonding-layer 15, the bond carrier 14 and the conductive electrode layer 4 before bonding.

도6(b)는 본드-캐리어(14) 상의 절연 본드-층(13)이 중간에 도전성 전극 층(4) 및 접착 본드-층(15)을 둔 채로 캐리어(1)에 본딩되는 방법을 도시한다. 일부 실시예에서, 절연 본딩 층(13) 및 캐리어(1) 중간의 층은 본딩 프로세스 동안 변화(예를 들어, 혼합)될 수 있고, 본드-중간 층(16)이 형성된다. 본드-캐리어(14)는 기계적으로 및/또는 건식 에칭 또는 습식 에칭과 같은 상기 에칭 방법을 사용함으로써 제거될 수 있다. 본드-캐리어(14)가 제거된 후에, 절연 본드-층(13)은 상기 리소그래피 및/또는 에칭 방법을 사용하여 패터닝될 수 있다. 도6(c)는 도전성 전극 층(4) 및 접착 본드-층(15)을 사이에 포함하고 있는 본드-중간 층(16)과 함께 캐리어(1)에 본딩되는 패터닝된 절연 본드-층(13)을 포함하는 마스터 전극(8)의 일 실시예의 단면을 도시한다. 일부 실시예에서, 도전성 전극 층(4)은 상기 중간 층(16) 상으로, 또는 본드-중간 층(16)이 존재하지 않는 경우(즉, 절연 본드-층(13)이 캐리어(1) 상으로 직접 도포되는 경우), 상기 캐리어(1) 상으로 패터닝된 절연 본드-층(13)의 캐비티 내에 선택적으로 도포될 수 있다. 6 (b) shows how the insulating bond-layer 13 on the bond-carrier 14 is bonded to the carrier 1 with the conductive electrode layer 4 and the adhesive bond-layer 15 in the middle. do. In some embodiments, the layer between the insulating bonding layer 13 and the carrier 1 may be changed (eg, mixed) during the bonding process, and the bond-middle layer 16 is formed. The bond-carrier 14 may be removed mechanically and / or by using such an etching method such as dry etching or wet etching. After the bond-carrier 14 is removed, the insulating bond-layer 13 can be patterned using the lithography and / or etching method. 6 (c) shows a patterned insulating bond-layer 13 bonded to the carrier 1 with a bond-intermediate layer 16 comprising a conductive electrode layer 4 and an adhesive bond-layer 15 therebetween. A cross-section of one embodiment of a master electrode 8 including) is shown. In some embodiments, the conductive electrode layer 4 is on the intermediate layer 16, or when no bond-intermediate layer 16 is present (ie, the insulating bond-layer 13 is on the carrier 1). May be selectively applied within the cavity of the insulating bond-layer 13 patterned onto the carrier 1.

실시예에서, 마스터 전극은 외부 전원으로부터 상기 도전성 전극 층의 적어도 일부로의 전기적 접속을 가능하게 한다. In an embodiment, the master electrode enables electrical connection from an external power source to at least a portion of the conductive electrode layer.

일부 실시예에서, 상기 전기적 접속은 외부 전원으로부터 도전성 전극 층의 적어도 일부에 접속되는 상기 캐리어의 도전성/반도전성 재료로 행해진다. In some embodiments, the electrical connection is made with a conductive / semiconductive material of the carrier that is connected to at least a portion of the conductive electrode layer from an external power source.

실시예에서, 전기적 접속은 외부 전원으로부터 도전성 전극 층에 접속되는 캐리어의 도전성/반도전성 부분의 적어도 일부에 접속되는 접속 층으로 행해진다. In an embodiment, the electrical connection is made with a connection layer connected to at least a portion of the conductive / semiconductive portion of the carrier which is connected to the conductive electrode layer from an external power source.

전기적 접속은 예를 들어, 상기 캐리어의 후방측, 즉, 마스터 전극의 절연 구조의 대향 측 상에 위치될 수 있다. 일부 실시예에서, 전기적 접속은 상기 캐리어의 중앙에서 사용될 수 있다. 또 다른 실시예에서, 전기적 접속은 상기 캐리어의 페리미터와 같이, 전방측으로부터 행해진다. The electrical connection can for example be located on the back side of the carrier, ie on the opposite side of the insulating structure of the master electrode. In some embodiments, an electrical connection can be used at the center of the carrier. In another embodiment, the electrical connection is made from the front side, such as with a perimeter of the carrier.

일부 실시예에서, 절연 패턴 층 및/또는 상기 캐리어의 절연 부분은 ECPR 에칭 또는 ECPR 도금 동안 기판 및 절연 패턴 층에 의해 규정되는 전해질로 채워진 캐비티 내를 제외하고는, 직접적으로 및/또는 전해질을 통하여 도전성 전극 층으로의 전기적 접속 및 기판으로의 전기적 접속 사이에 단락 및/또는 중요한 전기적 접속이 존재하지 않도록 하는 방식으로 도포되었다. 예를 들어, 절연 재료는 절연 패턴 층의 캐비티 및 전기적 접속 에어리어 내를 제외하고는, 캐리어의 모든 도전성/반도전성 부분을 커버하고 있다. In some embodiments, the insulating pattern layer and / or the insulating portion of the carrier are directly and / or through the electrolyte, except in a cavity filled with the electrolyte defined by the substrate and the insulating pattern layer during ECPR etching or ECPR plating. The application was made in such a way that there were no short circuits and / or significant electrical connections between the electrical connection to the conductive electrode layer and the electrical connection to the substrate. For example, the insulating material covers all of the conductive / semiconductive portions of the carrier except in the cavity and electrical connection area of the insulating pattern layer.

일부 실시예에서, 마스터 전극은 상기 마스터 전극이 ECPR 에칭 또는 도금 동안 기판과 콘택하게 될 때, 외부 전원으로부터 기판 시드 층으로의 전기적 접속을 생성하도록 하는 것을 특징으로 한다. In some embodiments, the master electrode is characterized in that when the master electrode comes into contact with the substrate during ECPR etching or plating, it creates an electrical connection from an external power source to the substrate seed layer.

일부 실시예에서, 전기적 콘택에 사용될 수 있는 상기 시드 층의 적어도 일부 에어리어는 기판과의 물리적 콘택 동안 마스터 전극에 의해 커버되지 않는다. In some embodiments, at least some areas of the seed layer that may be used for electrical contact are not covered by the master electrode during physical contact with the substrate.

일부 실시예에서, 기판 시드 층과의 전기적 콘택은 더 큰 기판 시드 층 에어리어와의 물리적 콘택에 진입하는 에어리어를 갖는 마스터 전극을 가짐으로써 공급 될 수 있다. In some embodiments, electrical contact with the substrate seed layer may be supplied by having a master electrode having an area entering physical contact with a larger substrate seed layer area.

도7(a)는 큰 기판(17) 시드 층(18) 에어리어와의 콘택에 진입하는 더 작은 에어리어를 갖는 마스터 전극(8)의 단면을 도시한다. FIG. 7A shows a cross section of a master electrode 8 having a smaller area entering contact with a large substrate 17 seed layer 18 area.

도7(b)는 더 큰 기판 시드 층(18) 에어리어와의 콘택에 진입하는 더 작은 에어리어를 갖는 마스터 전극의 일 실시예의 상면도를 도시한다. Figure 7 (b) shows a top view of one embodiment of a master electrode having a smaller area entering contact with a larger substrate seed layer 18 area.

일부 실시예에서, 마스터 전극 및 기판은 동일한 치수를 가지며, 기판 상의 시드 층으로의 전기적 접속을 위한 장소를 제공하기 위하여 재료가 적어도 일부 에어리어에서 마스터 전극으로부터 제거되었다. 일 실시예에서, 기판의 시드 층과의 접속을 허용하는 마스터 전극의 페리미터에 리세스가 배열된다. In some embodiments, the master electrode and the substrate have the same dimensions, and material has been removed from the master electrode in at least some areas to provide a place for electrical connection to the seed layer on the substrate. In one embodiment, a recess is arranged in the perimeter of the master electrode that allows connection with the seed layer of the substrate.

도7(c)는 기판 시드 층으로의 전기적 접속을 허용하는 리세스(19)를 갖는 마스터 전극(8)의 단면을 도시한다. 상기 리세스는 적은 특정 접속 사이트에서 또는 마스터 전극의 원주 전체에 걸쳐 존재할 수 있다. Figure 7 (c) shows a cross section of a master electrode 8 with a recess 19 allowing electrical connection to the substrate seed layer. The recess may be present at a few specific connection sites or over the circumference of the master electrode.

도7(c)는 기판 시드 층으로의 전기적 접속을 허용하는 리세스(19)를 갖는 마스터 전극(8)의 단면을 도시한다. 상기 리세스는 적은 특정 접속 사이트에서 또는 마스터 전극의 원주 전체에 걸쳐 존재할 수 있다. Figure 7 (c) shows a cross section of a master electrode 8 with a recess 19 allowing electrical connection to the substrate seed layer. The recess may be present at a few specific connection sites or over the circumference of the master electrode.

일부 실시예에서, 기판(17)의 시드 층(17)으로의 전기적 접속을 허용하는 접속 홀(20)이 마스터 전극(8)을 통해 만들어질 수 있다. 일 실시예에서, 접속 홀(20)은 마스터 전극(8)의 페리미터에 인접하게 만들어진다. In some embodiments, connection holes 20 can be made through the master electrode 8 to allow electrical connection of the substrate 17 to the seed layer 17. In one embodiment, the connection hole 20 is made adjacent to the perimeter of the master electrode 8.

도7(d)는 페리미터에서의 접속 홀(20)과 함께, 절연 패턴 층(7) 및 도전성 전극 층(4)을 포함하는 마스터 전극(8)의 전방측의 상면을 도시한다. 일 실시예에 서, 접속 홀(20)은 도7(e)에서 상면도로 도시된 바와 같이, 마스터 전극(8) 에어리어의 내부에 만들어진다. 상기 리세스 및/또는 접속 사이트는 상기 리소그래피 및/또는 에칭 방법과 같은 방법, 및/또는 연마, 그라인딩, 드릴링, 절제, CNC-머시닝, 초음파 머시닝, 다이아몬드 머시닝, 워터젯 머시닝, 레이저 머시닝, (샌드 또는 유체) 블래스팅과 같은 기계적 방법, 및/또는 이의 조합에 의하여 생성될 수 있다. 리세스 및/또는 접속 사이트는 전기적 콘택과 끼워맞춤되도록 치수조정될 수 있다. 전기적 콘택은 예를 들어, 얇은 포일(foil), 스프링, 핀, 및/또는 다른 적절한 전기적 콘택 및/또는 이의 조합일 수 있다. 전기적 콘택은 ECPR 에칭 및/또는 도금 프로세스 동안 및/또는 이에 따라 사용되는 전해질에서 부식 또는 산화되지 않는 재료, 예를 들어, 스테인리스강, Au, Ag, Cu, Pd, Pt, 평탄화된 티타늄 및/또는 이의 조합의 적어도 하나의 층을 포함할 수 있다. Fig. 7 (d) shows the upper surface of the front side of the master electrode 8 including the insulating pattern layer 7 and the conductive electrode layer 4 together with the connection hole 20 in the perimeter. In one embodiment, the connecting holes 20 are made inside the master electrode 8 area, as shown in the top view in Fig. 7E. The recesses and / or connection sites may be prepared by methods such as the lithography and / or etching methods, and / or by polishing, grinding, drilling, ablation, CNC-machining, ultrasonic machining, diamond machining, waterjet machining, laser machining, (sand or Fluid), such as blasting, and / or combinations thereof. The recess and / or connection site can be dimensioned to fit the electrical contact. The electrical contacts can be, for example, thin foils, springs, pins, and / or other suitable electrical contacts and / or combinations thereof. Electrical contacts are materials that do not corrode or oxidize in the electrolyte used during and / or according to the ECPR etching and / or plating processes, such as stainless steel, Au, Ag, Cu, Pd, Pt, planarized titanium and / or It may comprise at least one layer of combinations thereof.

일부 실시예에서, 마스터 전극 디자인에 의해 제공되는 시드 층으로의 접속 사이트는 ECPR 에칭 및/또는 도금 동안 시드 층 내의 균일한 전류 분포를 가능하게 하는 방식으로 위치된다. 예를 들어, 리세스는 시드 층 페리미터로의 연속적인 전기적 접속을 허용하는 마스터 전극의 페리미터 전체에 걸쳐 위치될 수 있다. 또 다른 실시예에서, (적어도 3개와 같은) 다수의 접속 홀이 마스터 전극의 페리미터를 따라 고르게 분포될 수 있고, 이는 기판의 시드 층으로 양호하게 분포된 전기적 접속이 성취될 수 있도록 할 수 있다. In some embodiments, the connection site to the seed layer provided by the master electrode design is positioned in a manner that allows for a uniform current distribution in the seed layer during ECPR etching and / or plating. For example, recesses may be located throughout the perimeter of the master electrode to allow continuous electrical connection to the seed layer perimeter. In another embodiment, multiple connection holes (such as at least three) may be evenly distributed along the perimeter of the master electrode, which may allow a well distributed electrical connection to the seed layer of the substrate to be achieved. .

일부 실시예에서, 도전성이고, 도전성 전극 층에 접속되며, 시드 층으로의 전기적 접속부에 콘택하고/하거나 밀접하게 위치되는 마스터 전극의 부분은 ECPR 에칭 및/또는 ECPR 도금 동안 마스터 전극의 도전성 전극 층으로부터 기판 시드 층으로의 단락을 방지하기 위하여 절연 재료로 코팅된다. In some embodiments, the portion of the master electrode that is conductive, connected to the conductive electrode layer, and / or in close contact with the electrical connection to the seed layer is from the conductive electrode layer of the master electrode during ECPR etching and / or ECPR plating. It is coated with an insulating material to prevent shorting to the substrate seed layer.

일부 실시예에서, 전기적 시드 층 접속부는 마스터 전극의 집적된 부분이다. 이 경우에, 마스터 전극 상의 시드 층 접속부는 도전성 전극 층에 접속되는 마스터 전극의 도전성 부분으로부터 절연되어야 한다. 그렇지 않으면, 마스터 전극이 ECPR 에칭 또는 도금에 사용될 때, 2개의 전극들 사이에 단락이 존재할 수 있다. 일부 실시예에서, 마스터 전극의 도전성 전극 층으로의 전기적 접속은 캐리어의 절연 코팅이 제거되는 상기 캐리어의 후방측의 중앙에서 행해진다. 이 경우에, 시드 층 접속부는 후방측 페리미터로부터 전방측으로의 도전성 층일 수 있고, 절연 재료에 의해 캐리어의 도전성 부분으로부터 분리될 수 있다. 상기 시드 층 접속부는 전술한 도전성 전극 층에 사용된 것과 동일한 재료를 포함할 수 있고, 동일한 방법으로 도포될 수 있다. In some embodiments, the electrical seed layer connection is an integrated portion of the master electrode. In this case, the seed layer connection on the master electrode must be insulated from the conductive portion of the master electrode connected to the conductive electrode layer. Otherwise, when the master electrode is used for ECPR etching or plating, there may be a short circuit between the two electrodes. In some embodiments, the electrical connection of the master electrode to the conductive electrode layer is at the center of the back side of the carrier from which the insulating coating of the carrier is removed. In this case, the seed layer connection may be a conductive layer from the rear side perimeter to the front side and may be separated from the conductive portion of the carrier by insulating material. The seed layer connection may comprise the same material as used for the conductive electrode layer described above, and may be applied in the same manner.

도7(f)는 도전성 캐리어, 절연 패턴 층(7) 및 도전성 전극 층(4)을 포함하는 마스터 전극(8)을 도시한다. 상기 절연 패턴 층은 전기적 접속이 접속 층(5)을 통해 가능해지는 후방측의 중앙 및 전방측 상의 캐비티 내를 제외하고는, 도전성 캐리어의 모든 에어리어를 커버하고 있다. 상기 시드 층 접속부(31)는 마스터 전극의 후방측 상의 페리미터, 에지, 및 전방측 상의 페리미터 상에 제공된다. 시드 층 접속부(31)는 절연 패턴 층에 의해 마스터 전극의 다른 도전성 부분으로부터 분리된다. 절연 층은 시드 층 접속부의 측면에서 배열될 수 있다. 7 (f) shows a master electrode 8 comprising a conductive carrier, an insulating pattern layer 7 and a conductive electrode layer 4. The insulating pattern layer covers all areas of the conductive carrier, except in the cavity on the center and the front side of the rear side, through which the electrical connection is made possible via the connecting layer 5. The seed layer connection 31 is provided on the perimeter on the rear side of the master electrode, the edge, and the perimeter on the front side. The seed layer connection 31 is separated from other conductive portions of the master electrode by the insulating pattern layer. The insulating layer may be arranged at the side of the seed layer connection.

도7(g)는 절연 패턴 층(7), 도전성 캐리어(1), 도전성 전극 층(4), 접속 층(5) 및 시드 층 접속부(31)를 포함하는 마스터 전극(8)이 시드 층(18)을 갖는 기판(17)에 콘택하게 되는 방법을 도시한다. 전해질(29)은 시드 층 및 절연 패턴 층 사이에서 캐비티에 의해 규정된 전기화학적 셀 내에 둘러싸인다. 외부 전기적 전압원이 접속 층(5)(상기 접속 층은 상기 캐리어(1)를 통해 상기 도전성 전극 층(4)에 전기적으로 접속됨) 및 시드 층 접속부(31)(상기 시드 층 접속부는 상기 시드 층에 전기적으로 접속됨)에 접속됨으로써, 절연 패턴 층의 캐비티 내에서, 애노드인 상기 도전성 전극 층 상에 사전 증착되는 애노드 재료가 용해되고 상기 전해질을 통해 수송되며, 도금 구조(24)가 상기 전기화학적 셀 내부에서, 캐소드인 시드 층 상으로 형성된다. 전기적 전압원의 극성을 반전시킴으로써, 시드 층의 전기화학적 에칭이 발생한다. 7 (g) shows that the master electrode 8 including the insulating pattern layer 7, the conductive carrier 1, the conductive electrode layer 4, the connection layer 5 and the seed layer connection portion 31 is formed by the seed layer ( A method of contacting a substrate 17 having 18) is shown. The electrolyte 29 is enclosed in an electrochemical cell defined by the cavity between the seed layer and the insulating pattern layer. An external electrical voltage source is connected to the connection layer 5 (the connection layer is electrically connected to the conductive electrode layer 4 via the carrier 1) and to the seed layer connection 31 (the seed layer connection is the seed layer). Electrically connected), so that within the cavity of the insulating pattern layer, an anode material pre-deposited on the conductive electrode layer, which is an anode, is dissolved and transported through the electrolyte, and the plating structure 24 is electrochemically Inside the cell, it is formed onto a seed layer that is a cathode. By inverting the polarity of the electrical voltage source, electrochemical etching of the seed layer occurs.

도7(h)는 시드 층 접속부(31)가 패턴 층(7)의 큰 표면에 걸쳐, 그리고 실질적으로 패턴 층의 캐비티에 인접한 에지를 제외하고는, 전체 표면에 걸쳐 배열되는 방법을 도시한다. 도7(h)에 도시된 별도의 시드 층 접속부(31)는 패턴 층의 표면이 연속적인 표면을 형성할 수 있기 때문에, 도7(h)에 도시되지 않은 다른 위치에서 상호접속된다. FIG. 7 (h) shows how the seed layer connections 31 are arranged over the large surface of the pattern layer 7 and over the entire surface except for the edge substantially adjacent to the cavity of the pattern layer. The separate seed layer connections 31 shown in Fig. 7h are interconnected at other positions not shown in Fig. 7h, since the surface of the pattern layer may form a continuous surface.

패턴 층의 표면이 연속적인 표면을 형성하지 않는 경우, 접속의 상이한 부분(31)이 도7(i)에 도시된 바와 같이 캐리어를 통해 캐리어의 후방측에서 접속 에어리어와 접속될 수 있다. 그렇지 않으면, 별도의 접속부(31)에 의해 콘택되는 시드 층은 별도의 접속 부분들(31) 간의 접속을 형성할 수 있다. 별도의 접속부(31)는 특히 얇은 시드 층에서 시드 층의 저항을 감소시키는데 기여할 수 있다. 더 적 은 저항은 후술되는 바와 같이 장점을 가질 수 있다. If the surface of the pattern layer does not form a continuous surface, different portions 31 of the connection can be connected with the connection area at the rear side of the carrier via the carrier as shown in Fig. 7 (i). Otherwise, the seed layer contacted by the separate connections 31 may form a connection between the separate connection portions 31. The separate connections 31 can contribute to reducing the resistance of the seed layer, especially in thin seed layers. Less resistance may have advantages as described below.

본 발명의 일부 실시예에서, 적어도 부분적으로 도전성/반도전성 캐리어, 도전성 전극 층, 절연 패턴 층 및/또는 사전 증착된 재료를 포함하는 마스터 전극은 도전성 시드 층을 포함하는 기판과 함께 적어도 하나의 전기화학적 셀을 형성한다. 상기 전기화학적 셀은 상기 절연 패턴 층의 캐비티 내에서 둘러싸이며, 상기 도전성 전극 층 또는 사전증착된 애노드 재료 및 상기 시드 층과 콘택하게 되는 전해질을 포함한다. 캐리어, 상기 도전성 전극 층, 상기 시드 층 및/또는 상기 사전증착된 애노드 재료의 상기 도전성 부분의 두께 및 저항률은 상기 전기화학적 셀들 간의 최소 전류 밀도차를 제공하도록 배열된다. 예를 들어, 상기 두께 및 저항률은 20% 미만과 같이 50% 미만, 예를 들어, 5% 미만과 같이 10% 미만, 가령 약 1% 미만인 전류 밀도차를 제공하도록 배열될 수 있다. In some embodiments of the invention, a master electrode comprising at least partially conductive / semiconductive carrier, conductive electrode layer, insulating pattern layer, and / or predeposited material comprises at least one electrical with a substrate comprising a conductive seed layer. Form chemical cells. The electrochemical cell is enclosed within a cavity of the insulating pattern layer and includes an electrolyte in contact with the conductive electrode layer or predeposited anode material and the seed layer. The thickness and resistivity of the conductive portion of the carrier, the conductive electrode layer, the seed layer and / or the predeposited anode material are arranged to provide a minimum current density difference between the electrochemical cells. For example, the thickness and resistivity can be arranged to provide a difference in current density that is less than 50%, such as less than 20%, for example, less than 10%, such as less than about 1%.

예를 들어, 얇은 시드 층 내의 저항으로 인하여, 상기 시드 층 상에 위치되는 임의의 포인트들 사이에서 저항성 전압 강하, 즉 전위치가 존재할 수 있다. 마스터 전극 내의 도전성 재료는 상기 마스터 전극 상에 위치되는 대응하는 포인트들 사이에서 유사하거나 비슷한 저항성 전압 강하, 즉 전위차를 제공하도록 배열될 수 있다. For example, due to the resistance in the thin seed layer, there may be a resistive voltage drop, i.e., a preposition, between any points located on the seed layer. The conductive material in the master electrode may be arranged to provide a similar or similar resistive voltage drop, ie, a potential difference, between corresponding points located on the master electrode.

일부 실시예에서, 마스터 전극의 상기 도전성/반도전성 부분은 도전성/반도전성 재료의 적어도 하나의 층을 포함할 수 있고, 여기서 상기 적어도 하나의 층은 상이한 에어리어에서 상이한 두께 및/또는 저항률을 갖는다. 일 예에서, 상기 적어도 하나의 도전성/반도전성 층의 두께는 중앙으로부터 긴 반경방향 거리에 위치된 포인트에 비하여, 마스터 전극의 중앙으로부터 더 짧은 반경방향 거리에 위치된 포인트에서 더 크다. 또 다른 예에서, 상기 적어도 하나의 층의 저항률은 중앙으로부터 더 긴 반경방향 거리에 위치된 포인트에 비하여, 마스터 전극의 중앙으로부터 더 짧은 반경방향 거리에 위치된 포인트에서 더 낮다. 또 다른 예에서, 상기 적어도 하나의 층의 특정 전도도는 중앙으로부터 더 긴 반경방향 거리에 위치된 포인트에 비하여, 마스터 전극의 중앙으로부터 더 짧은 반경방향 거리에 위치된 포인트에서 더 크다. In some embodiments, the conductive / semiconductive portion of the master electrode can include at least one layer of conductive / semiconductive material, wherein the at least one layer has different thicknesses and / or resistivities in different areas. In one example, the thickness of the at least one conductive / semiconductive layer is greater at points located at shorter radial distances from the center of the master electrode compared to points located at long radial distances from the center. In another example, the resistivity of the at least one layer is lower at points located at shorter radial distances from the center of the master electrode compared to points located at longer radial distances from the center. In another example, the specific conductivity of the at least one layer is greater at points located at shorter radial distances from the center of the master electrode compared to points located at longer radial distances from the center.

일부 실시예에서, 마스터 전극은 상이한 외부 전위와 개별적으로 콘택될 수 있는 여러 포인트 및/또는 에어리어를 포함할 수 있다. 상이한 외부 전위는 상기 마스터 전극 상의 포인트에 인가될 수 있고, 여기서 인가된 외부 전위의 상기 차이는 상기 시드 층 내의 저항성 전압 강하로 인하여, 대응하는 포인트들 사이에서 상기 시드 층 내의 전위 차와 동일하거나 유사하다. In some embodiments, the master electrode can include several points and / or areas that can be individually contacted with different external potentials. Different external potentials may be applied to points on the master electrode, where the difference in applied external potentials is the same or similar to the potential difference in the seed layer between the corresponding points due to the resistive voltage drop in the seed layer. Do.

일부 실시예에서, 전기화학적 셀들 사이의 전위차 및/또는 전류 밀도차는 수학적인 모델링을 사용하여 계산되고/되거나 실험에서 측정될 수 있다. In some embodiments, the potential difference and / or current density difference between electrochemical cells can be calculated using mathematical modeling and / or measured in an experiment.

일부 실시예에서, 상기 마스터 전극의 도전성/반도전성 부분의 특정 전도도는 상기 시드 층의 특정 전도도와 동일하거나 이보다 더 크다. 예를 들어, 상기 도전성/반도전성 캐리어, 상기 도전성 전극 층 및/또는 상기 사전증착된 애노드 재료의 특정 전도도의 합은 상기 시드 층의 특정 전도도와 동일하거나, 2배 이상, 예를 들어, 7배 이상과 같이 5배 이상, 가령, 약 10배 이상과 같이, 상기 시드 층의 특정 전도도보다 더 크다. In some embodiments, the specific conductivity of the conductive / semiconductive portion of the master electrode is equal to or greater than the specific conductivity of the seed layer. For example, the sum of the specific conductivity of the conductive / semiconductive carrier, the conductive electrode layer and / or the pre-deposited anode material is equal to, or more than two times, for example, seven times the specific conductivity of the seed layer. As above, at least 5 times, such as at least about 10 times, greater than the specific conductivity of the seed layer.

일부 실시예에서, 상기 마스터 전극은 원형 기하구조를 갖는다. 예를 들어, 마스터 전극은 가령, SEMITM-표준에 따라 실리콘 웨이퍼와 실질적으로 동일한 치수를 가질 수 있다. 예를 들어, 상기 마스터 전극은 표준 100mm, 150mm, 200mm, 300mm 또는 450mm 직경 실리콘 웨이퍼의 치수를 가질 수 있다. 상기 기판은 마스터 전극과 실질적으로 동일한 원형 형상 및/또는 두께를 가질 수 있다. In some embodiments, the master electrode has a circular geometry. For example, the master electrode can have substantially the same dimensions as a silicon wafer, for example according to the SEMI -standard. For example, the master electrode can have dimensions of standard 100mm, 150mm, 200mm, 300mm or 450mm diameter silicon wafers. The substrate may have substantially the same circular shape and / or thickness as the master electrode.

일부 실시예에서, 상기 마스터 전극은 외부 전원으로부터 도전성 전극 층으로의 전기적 접속을 허용하도록 배열된다. 전기적 접속 에어리어(33)(도19 참조)가 예를 들어, 상기 도전성 전극 층(4)과 콘택될 수 있는 상기 캐리어(1)와 콘택하는 마스터 전극(8)의 후방측 상에 위치될 수 있다. 마스터 전극(8) 상에 위치된 여러 전기적 접속 에어리어(33)가 존재할 수 있고, 이들은 절연 재료(32)에 의해 서로 분리될 수 있다. 전기적 접속 에어리어(33)는 예를 들어, 원형, 정사각형, 직사각형, 호, 링 및/또는 이의 세그먼트의 형상을 가질 수 있다. 일 실시예에서, 마스터 전극(8)은 도19(a) 및 19(b)에 도시된 바와 같이, 절연 재료(32)에 의해 분리되는 페리미터 및 중앙 링 사이에서 거리를 두고 후방측 상에 위치된 적어도 하나의 링/호 형상 접속 에어리어(33) 및/또는 후방측의 중앙에 배열된 원형 접속 에어리어(33)와 함께 배열된다. 일부 실시예에서, 적어도 2개의 링- 및/또는 아크-형상 접속 에어리어는 중앙 및 페리미터 사이의 거리에 걸쳐 균일하게 확산될 수 있다. 다른 실시예에서, 상이한 콘택 에어리어들 사이의 반경방향 공간은 중앙으로부터 더 높은 거리에서 더 적을 수 있다. 일부 실시예에서, 중앙 및 페리미터 사이에 위 치된 링 및/또는 호의 수는 적어도 3개, 예를 들어, 적어도 5개와 같이 적어도 4개, 가령, 적어도 8개이상이다. 접속 에어리어들은 서로 독립적으로 배열될 수 있고, 상이한 외부 전위가 각각의 접속 에어리어에 개별적으로 인가될 수 있다. 일부 실시예에서, 상이한 외부 전위가 적어도 하나의 접속 에어리어 내의 상이한 위치에서 인가된다. 외부 전원으로부터의 접속이 예를 들어, 전류/전위를 균일하게 확산시키기 위하여 하나의 접속 에어리어 내의 여러 위치 상으로 공급될 수 있다. In some embodiments, the master electrode is arranged to allow electrical connection from an external power source to the conductive electrode layer. An electrical connection area 33 (see FIG. 19) may be located, for example, on the rear side of the master electrode 8 in contact with the carrier 1, which may be in contact with the conductive electrode layer 4. . There may be several electrical connection areas 33 located on the master electrode 8, which may be separated from one another by an insulating material 32. The electrical connection area 33 can, for example, have the shape of a circle, square, rectangle, arc, ring and / or segment thereof. In one embodiment, the master electrode 8 is on the rear side at a distance between the center ring and the perimeter separated by the insulating material 32, as shown in Figs. 19 (a) and 19 (b). It is arranged with at least one ring / arc connection area 33 positioned and / or a circular connection area 33 arranged at the center of the rear side. In some embodiments, at least two ring- and / or arc-shaped connection areas may be uniformly spread over the distance between the center and the perimeter. In other embodiments, the radial space between different contact areas may be less at higher distances from the center. In some embodiments, the number of rings and / or calls located between the center and the perimeter is at least four, such as at least eight, such as at least three, for example at least five. The connection areas can be arranged independently of one another and different external potentials can be applied to each connection area individually. In some embodiments, different external potentials are applied at different locations within at least one connection area. A connection from an external power source can be supplied over several locations within one connection area, for example, to spread the current / potential evenly.

다른 실시예에서, 마스터 상의 상이한 접속 에어리어의 적어도 일부에 동일한 외부 전위가 인가될 수 있다. 일 실시예에서, 예를 들어, 마스터 전극의 후방측 상의 모든 또는 거의 모든 접속 에어리어는 전기도금 방법으로 사전증착이 행해질 때와 같이, 상기 마스터 전극 상으로 애노드 재료를 사전증착할 때 동일하거나 거의 동일한 전위를 갖는 외부 전원과 콘택하게 된다. 상기 전기도금 방법은 시드 층으로부터의 상기 ECPR 에칭 방법으로, 및/또는 표준 전기도금 방법에 의해 행해질 수 있다. In other embodiments, the same external potential can be applied to at least some of the different connection areas on the master. In one embodiment, for example, all or almost all connecting areas on the rear side of the master electrode are the same or nearly the same when predepositing the anode material onto the master electrode, such as when predeposition is done by electroplating. It comes into contact with an external power source having a potential. The electroplating method can be done with the ECPR etching method from the seed layer, and / or by standard electroplating methods.

일 예에서, 마스터 전극은 기판과 함께 적어도 하나의 전기화학적 셀을 형성하며; 상기 전기화학적 셀은 간소화를 위하여 상기 마스터 전극 및 기판 사이의 모든 에어리어를 커버하는 것으로 가정되고; 상기 시드 층은 200mm 반경을 갖는 원형 기판 상에 균일한 두께를 갖는 얇은 도전성 층을 포함하며; 상기 시드 층의 특정 전도도는 5 Ω-1이고; 상기 마스터 전극은 캐리어, 도전성 전극 층 및 절연 패턴 층을 포함하며; 상기 마스터 전극은 200 mm 반경을 갖는 디스크의 형상을 가지며; 상 기 캐리어 및 도전성 전극 층의 특정 전도도의 합은 25 Ω-1이고; 외부 전압이 상기 시드 층의 전체 페리미터 및 상기 마스터 전극의 후방측의 중앙의 포인트에 인가되며; 전기화학적 셀 내의 적어도 하나의 포인트가 마스터 전극 및 시드 층의 반경방향 중앙에 위치되고; 전기화학적 셀 내의 적어도 하나의 포인트가 시드 층 및 마스터 전극의 반경방향 페리미터에 위치되며; 도전성 전극 층에 걸친 전위는 도8(a)에 도시된 바와 같이, 6mV의 최대 전위차를 가지고; 시드 층에 걸친 전위차는 도8(b)에 도시된 바와 같이, 5mV의 최대 전위차를 가지며; 이로 인해 도8(c)에 도시된 바와 같이, 중앙에서의 전류 밀도는 13.7 mA/mm2이고 페리미터에서의 전류 밀도는 13.5 mA/mm2이다. 이 특정 예는 마스터 전극의 도전성 부분의 특정 전도도가 상이한 포인트에서 실질적으로 동일한 전류 밀도를 제공하도록 시드 층과 매칭되는 방법을 설명한다. In one example, the master electrode forms at least one electrochemical cell with the substrate; The electrochemical cell is assumed to cover all areas between the master electrode and the substrate for simplicity; The seed layer comprises a thin conductive layer having a uniform thickness on a circular substrate having a radius of 200 mm; The specific conductivity of the seed layer is 5 Ω −1 ; The master electrode comprises a carrier, a conductive electrode layer and an insulating pattern layer; The master electrode has the shape of a disk having a radius of 200 mm; The sum of the specific conductivity of the carrier and the conductive electrode layer is 25 Ω −1 ; An external voltage is applied to the entire perimeter of the seed layer and the center point on the rear side of the master electrode; At least one point in the electrochemical cell is located at the radial center of the master electrode and seed layer; At least one point in the electrochemical cell is located in the radial perimeter of the seed layer and the master electrode; The potential across the conductive electrode layer has a maximum potential difference of 6 mV, as shown in Fig. 8 (a); The potential difference across the seed layer has a maximum potential difference of 5 mV, as shown in Fig. 8 (b); Due to this, as shown in Fig. 8C, the current density at the center is 13.7 mA / mm 2 and the current density at the perimeter is 13.5 mA / mm 2 . This particular example describes how the specific conductivity of the conductive portion of the master electrode is matched with the seed layer to provide substantially the same current density at different points.

부가적인 예에서, 상기 시드 층의 상기 특정 전도도는 5 Ω-1이고, 상기 캐리어 및 도전성 전극 층의 특정 전도도의 합은 30 Ω-1이며; 도전성 전극 층에 걸친 전위차는 도9(a)에 도시된 바와 같고; 시드 층에 걸친 전위차는 도9(b)에 도시된 바와 같으며; 이로써, 도9(c)에 도시된 바와 같이, 중앙에서의 전류 밀도는 13.7 A/dm2이고 페리미터에서의 전류 밀도는 13.7 A/dm2이다. 이 특정 예는 마스터 전극의 도전성 부분의 특정 전도도가 상이한 포인트에서 동일한 전류 밀도를 제공하도 록 시드 층과 매칭되는 방법을 설명한다. In a further example, the specific conductivity of the seed layer is 5 Ω −1 and the sum of the specific conductivity of the carrier and conductive electrode layers is 30 Ω −1 ; The potential difference across the conductive electrode layers is as shown in Fig. 9A; The potential difference across the seed layer is as shown in Figure 9 (b); Thus, as shown in Fig. 9C, the current density at the center is 13.7 A / dm 2 and the current density at the perimeter is 13.7 A / dm 2 . This particular example illustrates how the specific conductivity of the conductive portion of the master electrode is matched with the seed layer to provide the same current density at different points.

부가적인 예에서, 상기 시드 층의 상기 특정 전도도는 5 Ω-1이고, 상기 캐리어 및 도전성 전극 층의 특정 전도도의 합은 100 Ω-1이며; 시드 층에 걸친 전위차는 도10(a)에 도시된 바와 같으며; 이로써, 도10(b)에 도시된 바와 같이, 중앙에서의 전류 밀도는 약 13.7 A/dm2이고 페리미터에서의 전류 밀도는 약 14.4 A/dm2이다. 이 특정 예는 마스터 전극의 도전성 부분의 특정 전도도가 시드 층과 더 매칭되지 않아서, 상이한 포인트에서 상당한 전류 밀도 차를 발생시키는 경우를 설명한다. In a further example, the specific conductivity of the seed layer is 5 Ω −1 , and the sum of the specific conductivity of the carrier and conductive electrode layers is 100 Ω −1 ; The potential difference across the seed layer is as shown in Fig. 10 (a); Thus, as shown in Fig. 10B, the current density at the center is about 13.7 A / dm 2 and the current density at the perimeter is about 14.4 A / dm 2 . This particular example describes the case where the specific conductivity of the conductive portion of the master electrode is no longer matched with the seed layer, resulting in a significant current density difference at different points.

예에서, 전기화학적 셀은 상기 시드 층 및 마스터 전극 사이의 전체 표면을 커버하는 하나의 셀이라고 가정되었지만, 다수의 실시예에서, 상기 절연 패턴 층에 의해 분리되는 여러 전기화학적 셀들이 존재한다. 예를 들어, 셀 에어리어는 전체 시드 층 및 마스터 전극 에어리어의 5 및 50% 사이를 커버할 수 있고, 상기 마스터 전극 및 시드 층 표면에 걸쳐 균일하게 확산될 수 있다. 더구나, 상기 예에서 설명된 결과는 다중 전기화학 셀에 의한 결과와 유사할 수 있다.In the example, it is assumed that the electrochemical cell is one cell covering the entire surface between the seed layer and the master electrode, but in many embodiments there are several electrochemical cells separated by the insulating pattern layer. For example, the cell area may cover between 5 and 50% of the entire seed layer and the master electrode area and may be uniformly spread across the master electrode and seed layer surfaces. Moreover, the results described in the above examples can be similar to the results by multiple electrochemical cells.

예에서 설명된 바와 같이, 상기 적어도 하나의 전기화학적 셀로 전기적으로 접속되어 있는 마스터의 도전성 층의 기하구조 및 저항률은 시드 층에 걸친 것과 동일하거나 거의 동일한 마스터 전극의 도전성 층에 걸친 전위 강하를 제공하기 위하여 상기 시드 층의 기하구조 및 특정 전도도과 관련하여 선택될 수 있고; 상기 전기화학적 셀의 전류 밀도는 동일하거나 거의 동일하다. As described in the example, the geometry and resistivity of the conductive layer of the master electrically connected to the at least one electrochemical cell is to provide a potential drop across the conductive layer of the master electrode that is about the same or nearly the same as that across the seed layer. Can be selected in relation to the geometry and specific conductivity of the seed layer; The current density of the electrochemical cell is the same or nearly the same.

상기 전위 강하 및 전류 밀도 분포는 x, y 및 z 좌표로 설명될 수 있는 임의의 몸체(예를 들어 마스터 전극 및/또는 시드 층) 및 외부 전압을 인가하기 위한 임의의 콘택 포인트에 대해 설명될 수 있다. 상기 몸체에서의 전위 분포는 편미분방정식에 의해 결정될 수 있고: The potential drop and current density distribution can be described for any body (eg master electrode and / or seed layer) that can be described by x, y and z coordinates and any contact point for applying an external voltage. have. The dislocation distribution in the body can be determined by partial differential equations:

-σㆍ(∂2V/∂x2+∂2V/∂y2+∂2V/∂z2)= 0 -σ ・ (∂ 2 V / ∂x 2 + ∂ 2 V / ∂y 2 + ∂ 2 V / ∂z 2 ) = 0

여기서 ∂는 전기 전도도이고 V는 전위이며; 경계 조건은 예를 들어, 전위 V 또는 전류 밀도 J 중 하나로서 설정된다. Where ∂ is electrical conductivity and V is potential; The boundary condition is set, for example, as either the potential V or the current density J.

1. 상기 콘택팅 에어리어에서: 고정된 전위 V; 또는 고정된 전류 밀도1. in the contacting area: fixed potential V; Or fixed current density

J = -σㆍ(∂J/∂x + ∂J/∂y + ∂J/∂z)J = -σ · (∂J / ∂x + ∂J / ∂y + ∂J / ∂z)

2. 상기 전기화학적 셀과 인터페이싱하는 표면에서: 전류 밀도2. At the surface interfacing with the electrochemical cell: current density

J = -σㆍ(∂J/∂x + ∂J/∂y + ∂J/∂z);J = -σ. (∂J / ∂x + ∂J / ∂y + ∂J / ∂z);

여기서, 상기 전기화학적 셀에서의 임의의 포인트에서의 J는 Butler-Volmer 방정식 J = i0*exp(C*(η)에 의하여 기술될 수 있고; 여기서 i0는 교환 전류 밀도이고, C는 상기 전기화학적 셀의 전기화학적 특성에 따른 상수이며, η은 상기 애노드 또는 캐소드 표면에서 위치한 포인트에서의 과전위(over-potential)이다. Wherein J at any point in the electrochemical cell can be described by the Butler-Volmer equation J = i 0 * exp (C * (η), where i 0 is the exchange current density and C is the above Constant according to the electrochemical properties of the electrochemical cell, η is the overpotential at a point located at the anode or cathode surface.

3. 전기적으로 절연 표면에서: 전류 밀도3. Electrically insulated surfaces: current density

J = -σㆍ(∂J/∂x + ∂J/∂y + ∂J/∂z) = 0J = -σ · (∂J / ∂x + ∂J / ∂y + ∂J / ∂z) = 0

일부 실시예에서, 상기 미분 방정식은 상미분 방정식(Ordinary-Differential-Equation; ODE)을 푸는 방법, 예를 들어, 오일러 방법, 테일러 급수 방법, 또는 Runge-Kutta 방법; 또는 유한 차분법, Crank-Nicolson 방법 또는 타원형 PDE와 같은 편미분 방정식을 푸는 방법과 같은 수치적 방법을 포함하는 계산에 사용함으로써 풀릴 수 있다. 일부 실시예에서, 이러한 수치적 방법은 2차원 시스템에 대해, 예를 들어, 예를 들어, 구 좌표계를 사용함으로써 수행될 수 있다. 다른 실시예에서, 이러한 수치적 방법은 3차원 시스템에 대해 수행될 수 있다. 부가적인 실시예에서, 이러한 수치적 방법은 유한요소법을 사용하는 것을 포함할 수 있다.In some embodiments, the differential equation is a method of solving an Ordinary-Differential-Equation (ODE), such as an Euler method, a Taylor series method, or a Runge-Kutta method; Or in computations involving numerical methods, such as the finite difference method, the Crank-Nicolson method, or the method of solving partial differential equations such as elliptical PDE. In some embodiments, this numerical method may be performed for a two-dimensional system, for example by using a sphere coordinate system. In other embodiments, such numerical methods may be performed on three-dimensional systems. In additional embodiments, such numerical methods may include using finite element methods.

일 실시예에서, 시드 층의 저항도금 레이트와 매칭시하도록 마스터 전극의 도전성 층의 기하구조 및 특정 전도도가 기하구조를 선택하는 것은 상기 도전성 층 및/또는 상기 시드 층의 전위 분포를 측정하는 것을 포함한다. 더구나, 일 실시예는 상기 적어도 하나의 전기화학적 셀에서의 전류 밀도(즉, 도금/에칭 속도) 분포를 측정하는 것을 포함할 수 있다. 예를 들어, 상기 전류 분포를 측정하는 것은 도금/에칭 속도가 전류 밀도를 선형적으로 따르기 때문에, 상기 적어도 하나의 전기화학적 셀에서 형성되는 구조 층의 두께 분포를 측정하는 것을 포함할 수 있다. 더 부가적인 실시예에서, 마스터 전극의 상기 도전성 층의 기하구조 및 두께가 상기 적어도 하나의 전기화학적 셀에서 전류 밀도 분포를 측정한 후에 변경되고; 그 후에, 전류 분포의 후속 변경 및 측정이 상기 적어도 하나의 전기화학적 셀에서의 임의의 포인트들 간의 전류 밀도가 최소화될 때까지 수행되는 반복적인 방법이 사용된다. In one embodiment, selecting the geometry and specific conductivity of the conductive layer of the master electrode to match the resist plating rate of the seed layer includes measuring the potential distribution of the conductive layer and / or the seed layer. do. Moreover, one embodiment may include measuring the current density (ie, plating / etching rate) distribution in the at least one electrochemical cell. For example, measuring the current distribution can include measuring the thickness distribution of the structural layer formed in the at least one electrochemical cell because the plating / etching speed linearly follows the current density. In a further embodiment, the geometry and thickness of the conductive layer of the master electrode is changed after measuring the current density distribution in the at least one electrochemical cell; Thereafter, an iterative method is used in which subsequent alteration and measurement of the current distribution is performed until the current density between any points in the at least one electrochemical cell is minimized.

도20(a) 및 도20(b)에 도시된 바와 같이, 전기화학적 셀은 중앙의 마스터 전극의 제1 콘택 부 및 원형일 수 있는 페리미터를 따른 시드 층의 제2 콘택 부와 극 성 분포를 가질 수 있다. 이 경우에, 도전성 층들의 저항률은 특정 전도도와 지수 관계를 가질 것이다. 그러므로, 시드 층에 걸친 전위 분포는 도8(b)에 도시된 바와 같이 지수적일 것이다. 상대적으로 일정한 전류 밀도를 획득하기 위해서, 마스터 전극의 특정 전도도는 시드 층의 특정 전도도에 대략 5 내지 7배이어야 한다. 20 (a) and 20 (b), the electrochemical cell has a polar distribution with the first contact portion of the central master electrode and the second contact portion of the seed layer along the perimeter, which may be circular. It can have In this case, the resistivity of the conductive layers will have an exponential relationship with the specific conductivity. Therefore, the potential distribution across the seed layer will be exponential as shown in Figure 8 (b). In order to obtain a relatively constant current density, the specific conductivity of the master electrode should be approximately 5-7 times the specific conductivity of the seed layer.

다른 실시예에서, 전기화학적 셀은 직각의 마스터 전극의 일 측에서의 제1 콘택 부 및 직각의 시드 층의 반대 측에서의 제2 콘택 부와 선형 분포를 가질 수 있다. 이 경우에, 전위 분포는 선형일 것이다. 일 실시예에서, 마스터 전극의 특정 전도도는 전기화학적 셀에서 실질적으로 일정한 전류 밀도를 얻기 위하여 시드 층의 특정 전도도와 실질적으로 동일할 수 있다. In another embodiment, the electrochemical cell may have a linear distribution with the first contact portion on one side of the perpendicular master electrode and the second contact portion on the opposite side of the seed layer at right angles. In this case, the potential distribution will be linear. In one embodiment, the specific conductivity of the master electrode may be substantially the same as the specific conductivity of the seed layer to obtain a substantially constant current density in the electrochemical cell.

마스터 전극의 특정 전도도는 도7(h)에 나타낸 바와 같이, 팩터들의 수에 의해 영향을 받는다. 마스터 전극은 도전성/반도전성 재료의 디스크(1)를 포함할 수 있다. 디스크는 다른 재료의 여러 디스크 부재로부터 구성될 수 있다. 이러한 재료들은 함께 디스크의 특정 전도도를 형성한다. 예를 들어, 디스크는 저항률을 결정하는 소정의 레이트로 도핑된 실리콘과 같은 반도전성 재료로 구성될 수 있다. 도핑은 표면에 걸쳐 일정하거나 가변일 수 있다. 반도전성 재료에는 특정 전도도를 더 적응시키기 위하여 백금 또는 금과 같은 도전성 재료의 부가적인 층이 제공될 수 있다. 디스크는 일정한 두께 또는 가변적인 두께를 가질 수 있다.The specific conductivity of the master electrode is affected by the number of factors, as shown in Figure 7 (h). The master electrode may comprise a disk 1 of conductive / semiconductive material. The disc may be constructed from several disc members of different materials. These materials together form the specific conductivity of the disk. For example, the disk may be composed of a semiconductive material such as silicon doped at a predetermined rate to determine resistivity. Doping may be constant or variable across the surface. The semiconductive material may be provided with an additional layer of conductive material such as platinum or gold to further adapt the specific conductivity. The disk may have a constant thickness or a variable thickness.

도전성 및/또는 반도전성 디스크에는 도 7(h)에 도시된 바와 같이, 캐비티의 하부에만 위치되는 도전성 전극(4)이 제공된다. 이 재료는 종종 디스크에 비하여 얇기 때문에, 작은 정도까지만 특정 전도도에 기여할 것이다. 최종적으로, 사전증 착된 애노드 재료는 도전성 전극 층(4)의 상으로 배열될 수 있다. 또한, 이 애노드 재료는 두께 및 셀 밀도에 따라 어느 정도까지 특정 전도도에 기여할 것이다. 특정 전도도는 애노드 재료가 두꺼워지고 셀 밀도가 더 높아짐에 따라 높아진다. 일 실시예에서, 이러한 재료들은 상이한 전류 밀도를 유도하기 위해서, 전기화학적 셀의 다른 길이를 제공하도록 조정될 수 있다. 예를 들어, 캐비티의 높이가 25μm인 경우, 재료(4)는 하나의 캐비티에서 1μm의 높이, 및 또 다른 캐비티에서 20μm의 높이를 가짐으로써, 전기화학적 셀을 통해 통과하는 전류에 영향을 준다. 이것은 전기화학적 셀에서의 전해질의 전도도가 낮거나 또는 전류 밀도가 높아서 제한 전류에 근접할 때와 같이 전기화학적 에칭/도금 프로세스가 대량 수송 제한되는 경우에, 중요해진다. The conductive and / or semiconductive disk is provided with a conductive electrode 4 located only at the bottom of the cavity, as shown in FIG. 7 (h). Since this material is often thinner than the disk, it will only contribute a certain degree of conductivity to a small degree. Finally, the predeposited anode material can be arranged onto the conductive electrode layer 4. In addition, this anode material will contribute to a certain conductivity to some extent depending on thickness and cell density. The specific conductivity increases with thicker anode material and higher cell density. In one embodiment, these materials can be adjusted to provide different lengths of electrochemical cells to induce different current densities. For example, if the height of the cavity is 25 μm, the material 4 has a height of 1 μm in one cavity and 20 μm in another cavity, thereby affecting the current passing through the electrochemical cell. This is important when the electrochemical etching / plating process is limited in mass transport, such as when the conductivity of the electrolyte in an electrochemical cell is low or the current density is high to approach the limiting current.

도7(h)에 나타낸 바와 같이, 에칭/도금 동안 시드 층과 콘택하게 될 재료(31)는 시드 층의 특정 전도도를 증가시키는데 도움을 줌으로서, 전류 분포를 더 균일하게 한다.As shown in Fig. 7 (h), the material 31 which will be in contact with the seed layer during etching / plating helps to increase the specific conductivity of the seed layer, thereby making the current distribution more uniform.

모든 이러한 팩터들은 희망하는 최종 결과를 제공하기 위해서 조합되어 사용될 수 있다. 게다가, 상이한 전위가 마스터 전극의 다른 부분 및 전술된 바와 같은 기판으로 추가될 수 있다. All these factors can be used in combination to provide the desired final result. In addition, different potentials can be added to other portions of the master electrode and to the substrate as described above.

일부 실시예에서, 마스터 전극(8)을 제조하는 동안, 캐리어(1) 및 도전성 전극 층(4)의 도전성/반도전성 부분의 전기적 특정 컨덕턴스는 ECPR 에칭 및/또는 도금이 수행되는 기판(17) 상에서 시드 층(17)의 특정 컨덕턴스에 매칭될 수 있다. 캐리어(1) 및/또는 도전성 전극 층(4)의 특정 컨덕턴스는 보다 낮은 또는 보다 높 은 저항률을 갖는 재료를 각각 선택함으로써, 및/또는 캐리어(1) 및/또는 도전성 전극 층(4)을 각각 더 두껍게 또는 더 얇게 함으로써 감소하거나 증가한다. ECPR 에칭 및/또는 도금 동안 전류에 대한 총 저항률은 다음의 경로의 저항의 합에 의해 결정된다:In some embodiments, during fabrication of the master electrode 8, the electrical specific conductance of the conductive / semiconductive portions of the carrier 1 and the conductive electrode layer 4 may be the substrate 17 on which ECPR etching and / or plating is performed. Can be matched to a particular conductance of the seed layer 17. The specific conductances of the carrier 1 and / or the conductive electrode layer 4 are each selected by a material having a lower or higher resistivity, and / or the carrier 1 and / or the conductive electrode layer 4 respectively. Decrease or increase by making thicker or thinner. The total resistivity with respect to current during ECPR etching and / or plating is determined by the sum of the resistances of the following paths:

1. 캐리어(1)의 도전성/반도전성 부분,1.conductive / semiconductive part of the carrier 1,

2. 도전성 전극 층(4), 2. conductive electrode layer 4,

3. ECPR 에칭 및/또는 도금에서 형성된 전기화학적 셀(23), 및3. an electrochemical cell 23 formed in ECPR etching and / or plating, and

4. 기판의 시드 층(18) 4. Seed layer 18 of the substrate

편의상, 상기 캐리어(1)의 상기 도전성/반도전성 부분을 통한 경로의 저항은 R1이라 칭해지며; 상기 도전성 전극 층(4)을 통한 경로의 저항은 R4라 칭해지며; 상기 시드 층(18)을 통한 경로의 저항은 R18이라 칭해지며; ECPR 에칭 및/또는 도금 시에 형성된 상기 전기화학적 셀(23)을 통한 경로의 저항은 R23이라 칭해진다. For convenience, the resistance of the path through the conductive / semiconductive portion of the carrier (1) is called R 1 ; The resistance of the path through the conductive electrode layer 4 is called R 4 ; The resistance of the path through the seed layer 18 is called R 18 ; The resistance of the path through the electrochemical cell 23 formed during ECPR etching and / or plating is called R 23 .

일부 실시예에서, 마스터 전극(8)의 캐리어(1) 및 도전성 전극 층(4)은 ECPR 에칭 및/또는 도금 동안 공급된 전류가 어느 에어리어 내에서 자신이 전기화학적 셀(23)을 통과하는지에 관계없이, 캐리어(1), 도전성 전극 층(4) 및 시드 층(18)을 통과할 때 동일한 총 저항을 겪게 되는 것을 특징으로 한다. 일부 실시예에서, 이것은 외부 전원으로부터 캐리어(1)의 후방측의 중앙 및 기판(17) 상의 시드 층(18)의 페리미터로만 전기적 콘택을 제공함으로써 행해진다. 이 경우에, 캐리어(1)의 후방측의 중앙으로부터 상기 캐리어, 도전성 전극 층(4) 및 시드 층(18)을 통하여 페리미터의 전기적 콘택부로 통과하는 전류에 대한 총 전기 저항이 어느 에어리어에서 전기화학적 셀(23)을 통과하는지에 관계없이 동일한 경우, ECPR 에칭 및/또는 도금 동안 통과하는 전류 밀도는 시드 층 전기적 콘택에 대한 전기화학적 셀의 위치에 관계없이 동일할 것이다. 이로써, 전류 밀도와 선형적으로 비례하는 에칭 및/또는 도금 레이트는 위치에 관계없이 모든 전기화학적 셀(23)에서 동일할 것이다. 설명된 마스터 전극/시드 층 저항 매칭은 본래 종래의 전기증착/전기화학적 에칭 방법과 관련된 불균일한 반경방향 높이 분포를 발생시키는 반경방향에 따른 불균일한 에칭/도금 레이트의 문제를 감소시킨다; 상기 문제는 터미널 효과(terminal effect)로서 설명된다. In some embodiments, the carrier 1 and the conductive electrode layer 4 of the master electrode 8 are dependent upon which area the current supplied during ECPR etching and / or plating passes through the electrochemical cell 23 itself. Regardless, it is characterized by experiencing the same total resistance when passing through the carrier 1, the conductive electrode layer 4 and the seed layer 18. In some embodiments, this is done by providing electrical contact only from the external power source to the center of the back side of the carrier 1 and to the perimeter of the seed layer 18 on the substrate 17. In this case, the total electrical resistance to the current passing from the center on the rear side of the carrier 1 through the carrier, the conductive electrode layer 4 and the seed layer 18 to the electrical contacts of the perimeter is electrified in any area. If the same is true regardless of whether it passes through the chemical cell 23, the current density passing during ECPR etching and / or plating will be the same regardless of the position of the electrochemical cell relative to the seed layer electrical contact. As such, the etch and / or plating rate linearly proportional to the current density will be the same in all electrochemical cells 23 regardless of location. The master electrode / seed layer resistance matching described reduces the problem of non-uniform etch / plating rates along the radial direction resulting in non-uniform radial height distributions inherently associated with conventional electrodeposition / electrochemical etching methods; The problem is described as a terminal effect.

얇은 시드 층에서와 같은 일부 실시예에서, 캐리어(1) 및 도전성 전극 층(4)의 총 저항은 시드 층(18)의 저항보다 더 낮아서, ECPR 에칭 및/또는 도금을 수행할 때 마스터 전극 및 기판의 중앙에서보다 페리미터에 더 가깝게 위치되는 전기화학적 셀에서 전류 밀도가 더 높아지도록 한다. 두꺼운 시드 층에서와 같은 다른 실시예에서, 캐리어(1) 및 도전성 전극 층(4)의 총 저항은 시드 층(18)의 저항보다 더 높아서, ECPR 에칭 및/또는 ECPR 도금을 수행할 때 마스터 전극(8) 및 기판(18)의 중앙에서보다 페리미터에 더 가깝게 위치되는 전기화학적 셀(23)에서 전류 밀도가 더 낮아지도록 한다. In some embodiments, such as in the thin seed layer, the total resistance of the carrier 1 and the conductive electrode layer 4 is lower than the resistance of the seed layer 18, such that the master electrode and when performing ECPR etching and / or plating. This results in higher current densities in the electrochemical cells located closer to the perimeter than at the center of the substrate. In other embodiments, such as in thick seed layers, the total resistance of the carrier 1 and conductive electrode layer 4 is higher than the resistance of the seed layer 18, such that the master electrode when performing ECPR etching and / or ECPR plating. (8) and lower current density in the electrochemical cell 23 located closer to the perimeter than at the center of the substrate 18.

예를 들어, 중앙에서의 전기화학적 셀(23)로의, 그리고 중앙에서의 전기화학적 셀로부터의 경로의 저항(R')은 도12에 도시된 페리미터에서의 전기화학적 셀로의, 그리고 페리미터에서의 전기화학적 셀로부터의 경로의 저항(R")에 매칭될 수 있어서, For example, the resistance R 'of the path to and from the electrochemical cell 23 at the center and to the electrochemical cell at the center and to the electrochemical cell at the perimeter shown in FIG. Can be matched to the resistance R " of the path from the electrochemical cell of

1. R'=1/(1/R1'+1/R4')+R18'가 R"=1/(1/R1"+1/R4")+R18"와 동일한 경우, j'=j"이거나; 또는1.R '= 1 / (1 / R 1 ' + 1 / R 4 ') + R 18 ''equals R "= 1 / (1 / R 1 " + 1 / R 4 ") + R 18 " , j '= j "; or

2. R'=1/(1/R1'+1/R4')+R18'가 R"=1/(1/R1"+1/R4")+R18"보다 더 큰 경우, j'<j"이거나; 또는2. R '= 1 / (1 / R 1 ' + 1 / R 4 ') + R 18 ' is greater than R "= 1 / (1 / R 1 " + 1 / R 4 ") + R 18 " If j '<j "; or

3. R'=1/(1/R1'+1/R4')+R18'가 R"=1/(1/R1"+1/R4")+R18"보다 더 작은 경우, j'>j"이며, 여기서 j'는 중앙에서의 전기화학적 셀의 전류 밀도이며, j"는 페리미터에서의 전기화학적 셀의 전류 밀도이다. 3. R '= 1 / (1 / R 1 ' + 1 / R 4 ') + R 18 ' is less than R "= 1 / (1 / R 1 " + 1 / R 4 ") + R 18 " Where j '> j ", where j' is the current density of the electrochemical cell at the center and j" is the current density of the electrochemical cell at the perimeter.

저항(R1 및 R4 내지 R18)을 상이한 방식으로 매칭함으로써, 마스터 전극의 중앙으로부터 페리미터까지 반경 방향을 따른 ECPR 에칭 또는 도금된 구조의 특정한 높이 분포가 성취될 수 있다. By matching the resistors R 1 and R 4 to R 18 in different ways, a specific height distribution of the ECPR etched or plated structure along the radial direction from the center of the master electrode to the perimeter can be achieved.

일부 실시예에서, 캐리어(1)의 도전성 부분(예를 들어, 도전성/반도전성 디스크(2))는 도1(d)에 도시된 바와 같이 절연 재료 코팅(3)으로 인하여 전방측 상의 중앙에서의 도전성 전극 층(4)에만 접속된다. 이 경우에, 도전성 전극 층(4)의 저항률 및 두께만이 시드 층(18)과 매칭될 필요가 있다. In some embodiments, the conductive portion of the carrier 1 (e.g., conductive / semiconductive disk 2) is at the center on the front side due to the insulating material coating 3 as shown in Figure 1 (d). It is connected only to the conductive electrode layer 4 of. In this case, only the resistivity and thickness of the conductive electrode layer 4 need to match the seed layer 18.

일부 실시예에서, ECPR 에칭 또는 도금된 구조의 반경방향에 따른 높이 분포는 이전 또는 이후 프로세스 단계로부터 발생하는 상이한 높이 분포를 보상하는데 사용될 수 있다. 일 실시예에서, 마스터 내의 저항은 도13(a)에 도시된 오목 층(25)을 갖는 기판(17) 상으로 (예를 들어, PVD에 의해) 균일한 두께로 도포되는 시드 층(18)에 매칭되어, 1/R1+1/R4<1/R18이 되고, ECPR 에칭 또는 도금된 구조(24)가 오목 층을 보상하는 볼록 반경방향 높이 분포로 제조되어, 상기 ECPR 에칭 또는 도금된 구조(24)의 상부가 도13(b)에 도시된 바와 같이, 기판으로부터 동일한 높이(h)에서 끝난다. 또 다른 실시예에서, 마스터 내의 저항은 도14(a)에 도시된 볼록 층(26)을 갖는 기판(17) 상으로 (예를 들어, PVD에 의해) 균일한 두께로 도포되는 시드 층(18)에 매칭되어, 1/R1+1/R4>1/R18이 되고, ECPR 에칭 또는 도금된 구조(24)가 볼록 층을 보상하는 오목 반경방향 높이 분포로 제조되어, 상기 ECPR 에칭 또는 도금된 구조(24)의 상부가 도14(b)에 도시된 바와 같이, 기판으로부터 동일한 높이(h)에서 끝난다. In some embodiments, the radial height distribution of the ECPR etched or plated structure may be used to compensate for different height distributions resulting from previous or subsequent process steps. In one embodiment, the resistance in the master is seed layer 18 applied to a uniform thickness (e.g., by PVD) onto substrate 17 having concave layer 25 shown in Figure 13 (a). To 1 / R 1 + 1 / R 4 <1 / R 18 , and an ECPR etched or plated structure 24 is fabricated with a convex radial height distribution that compensates for the concave layer, such that the ECPR etched or plated The top of the structure 24 is finished at the same height h from the substrate, as shown in Figure 13 (b). In another embodiment, the resistance in the master is seed layer 18 that is applied to a uniform thickness (eg, by PVD) onto substrate 17 having convex layer 26 shown in FIG. 14 (a). ), Whereby 1 / R 1 + 1 / R 4 > 1 / R 18 , an ECPR etched or plated structure 24 is made with a concave radial height distribution that compensates for the convex layer, so that the ECPR etch or The top of the plated structure 24 ends at the same height h from the substrate, as shown in Figure 14 (b).

어떤 도금 또는 에칭 효과를 성취하기 위하여, 마스터 전극은 중심과 상이한 두께를 가지며/가지거나 중심과 상이한 재료를 갖는 디스크 및 전극 층과 함께 배열될 수 있고; 상기 상이한 재료는 상이한 전도도를 갖는다. 예를 들어, 중심으로부터의 반경방향 거리의 절반에서 상기 두께는 절반일 수 있거나, 또는 대안적으로, 저항률이 2배일 수 있다. In order to achieve any plating or etching effect, the master electrode can be arranged with disk and electrode layers having a thickness different from the center and / or with a material different from the center; The different materials have different conductivity. For example, at half of the radial distance from the center the thickness can be half, or alternatively, the resistivity can be doubled.

일부 실시예에서, 마스터 전극은 ECPR 에칭되거나 도금된 구조 층의 매우 균일한 높이 분포를 발생시키는 방법을 사용하여 배열된다. 그러나, 일부 다른 실시예에서, 캐리어 및/또는 도전성 전극 층의 적어도 일부는 상기 구조 층의 일부에서 균일하지 않은 패턴을 제공하기 위하여 절연 패턴 층의 캐비티 내에서 변경될 수 있다. 일 실시예에서, 도21(a)에 도시된 바와 같이, 마스터 전극(8)의 캐리어(1)는 절연 패턴 층(7)의 적어도 하나의 캐비티 내에 리세스를 가질 수 있고; 상기 리세스는 도전성 전극 층(4)으로 벽 상에서 코팅되며; 사전증착된 애노드 재료(28)는 상기 도전성 전극 층 상에 배열될 수 있다. 상기 리세스를 갖는 상기 캐비티 내부에서의 기판(17) 상의 ECPR 도금 동안, 도21(b)에 도시된 바와 같이, 절연 패턴 층(7)의 벽에 더 가깝게 위치된 에어리어는 더 높은 전류 밀도(도금 레이트)를 성취하여 ECPR 도금된 구조(24)의 높이가 더 높아지도록 한다. In some embodiments, the master electrodes are arranged using a method that produces a very uniform height distribution of the ECPR etched or plated structural layer. However, in some other embodiments, at least some of the carrier and / or conductive electrode layers may be modified in the cavity of the insulating pattern layer to provide a non-uniform pattern in some of the structural layers. In one embodiment, as shown in Fig. 21A, the carrier 1 of the master electrode 8 may have a recess in at least one cavity of the insulating pattern layer 7; The recess is coated on the wall with a conductive electrode layer (4); Predeposited anode material 28 may be arranged on the conductive electrode layer. During ECPR plating on the substrate 17 inside the cavity with the recess, as shown in Fig. 21 (b), an area located closer to the wall of the insulating pattern layer 7 has a higher current density ( Plating rate) to achieve a higher height of the ECPR plated structure 24.

또 다른 실시예에서, 도22(a)에 도시된 바와 같이, 캐리어(1) 및 도전성 전극 층(4)은 절연 패턴 층(7)의 적어도 하나의 캐비티에서 돌출 구조를 사용하고; 사전증착된 애노드 재료(28)가 상기 도전성 전극 층 상으로 배열된다. 돌출 구조를 갖는 상기 캐비티 내부에서의 기판(17) 상의 ECPR 도금 동안, 도22(b)에 도시된 바와 같이, 돌출 구조에 더 가깝게 위치된 기판 상의 에어리어는 더 높은 전류 밀도를 성취하여, ECPR 도금된 구조(24)의 높이가 더 높아지도록 한다. 일부 경우에, 도21(b) 및 도22(b)에서와 같이 균일하지 않은 높이를 갖는 구조 층을 생성하는 실시예는 인터록킹 범프 구조(interlocking bump structure), 땝남 볼 배치 파운데이션(solder ball placement foundation) 또는 기계적 정렬 구조/피듀셜(fiducial)과 같은 애플리케이션에 사용될 수 있다. In yet another embodiment, as shown in Fig. 22A, the carrier 1 and the conductive electrode layer 4 use the projecting structure in at least one cavity of the insulating pattern layer 7; Predeposited anode material 28 is arranged on the conductive electrode layer. During ECPR plating on the substrate 17 inside the cavity having the protruding structure, as shown in Fig. 22 (b), the area on the substrate located closer to the protruding structure achieves higher current density, so that the ECPR plating The height of the structure 24 is made higher. In some cases, embodiments that produce structural layers with non-uniform heights, such as in FIGS. 21 (b) and 22 (b), may be used for interlocking bump structures, solder ball placement. It can be used for applications such as foundation or mechanical alignment structure / fiducial.

상기의 본원에서, 여러 특징 및 방법 단계들이 상이한 조합 및 배열로 설명되었다. 그러나, 본 명세서를 판독한 당업자들에 의하여 다른 조합이 수행될 수 있고, 이와 같은 조합은 본 발명의 범위 내에 존재한다는 것이 강조된다. 더구나, 본 발명의 범위 내에서 여러 단계가 변경 및 변화될 수 있다. 본 발명은 첨부된 특허 청구항에 의해서만 제한된다. In the foregoing application, various features and method steps have been described in different combinations and arrangements. However, it is emphasized that other combinations may be performed by those skilled in the art having read this specification, and such combinations are within the scope of the present invention. Moreover, various steps may be changed and changed within the scope of the present invention. The invention is only limited by the appended patent claims.

Claims (53)

기판 상에 배열된 마스터 전극을 포함하는 시스템에 있어서: In a system comprising a master electrode arranged on a substrate: 상기 마스터 전극은 도전성 재료가 배열되는 다수의 캐비티가 제공되는 제1 표면을 가지는 적어도 부분적으로 절연 재료의 패턴 층을 포함하며, 상기 전극 도전성 재료는 적어도 하나의 전극 전류 공급 콘택에 전기적으로 접속되고; The master electrode comprises a patterned layer of at least partially insulating material having a first surface provided with a plurality of cavities in which the conductive material is arranged, the electrode conductive material being electrically connected to at least one electrode current supply contact; 상기 기판은 상기 제1 표면과 콘택하거나 인접하게 배열되는 상부면을 포함하고 상부에 배열된 도전성 재료의 구조 및/또는 도전성 재료를 가지며, 상기 기판 도전성 재료는 적어도 하나의 전류 공급 콘택에 전기적으로 접속되며; The substrate comprises a top surface arranged in contact with or adjacent to the first surface and having a structure and / or conductive material of conductive material arranged thereon, the substrate conductive material electrically connected to at least one current supply contact. Become; 다수의 전기화학적 셀은 상기 캐비티, 상기 기판 도전성 재료 및 상기 전극 도전성 재료에 의해 범위가 정해지도록 형성되고, 상기 셀은 전해질을 포함하며;A plurality of electrochemical cells are formed to be delimited by the cavity, the substrate conductive material and the electrode conductive material, the cells comprising an electrolyte; 상기 전극 도전성 재료와 상기 전극 전류 공급 콘택 사이의 전극 저항 및 상기 기판 도전성 재료와 상기 기판 전류 공급 콘택 사이의 기판 저항은 각각의 전기화학적 셀에서 소정의 전류 밀도를 제공하도록 적응되는 마스터 전극을 포함하는 시스템. The electrode resistance between the electrode conductive material and the electrode current supply contact and the substrate resistance between the substrate conductive material and the substrate current supply contact comprise a master electrode adapted to provide a predetermined current density in each electrochemical cell. system. 제1항에 있어서, The method of claim 1, 상기 전극 저항 및 상기 기판 저항은 각각은 재료의 저항률에 의해 분할된 재료의 두께로서 규정된 소정의 특정 전도도를 갖는 적어도 하나의 전기적 도전성 재료에 의해 형성되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템. Wherein the electrode resistance and the substrate resistance are each formed by at least one electrically conductive material having a certain specific conductivity defined as the thickness of the material divided by the resistivity of the material. 제2항에 있어서, The method of claim 2, 상기 특정 전도도는 상기 마스터 전극의 표면에 걸쳐 가변하도록 배열되는 것을 특징으로 하는 마스터 전극을 포함한 시스템.And the specific conductivity is arranged to vary over the surface of the master electrode. 제3항에 있어서, The method of claim 3, 상기 특정 전도도는 상기 재료의 두께를 변화시킴으로써 가변되도록 배열되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템. The specific conductivity is arranged to vary by varying the thickness of the material. 제3항 또는 제4항에 있어서, The method according to claim 3 or 4, 상기 특정 전도도는 상기 재료의 저항률을 변화시킴으로써 가변되도록 배열되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템. The specific conductivity is arranged to be varied by varying the resistivity of the material. 제5항에 있어서, The method of claim 5, 상기 재료는 상기 저항률을 제공하기 위하여 가변되도록 배열되는 도핑을 갖는 도핑된 반도체 재료인 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And the material is a doped semiconductor material having a doping arranged to vary to provide the resistivity. 전술한 항 중 어느 한 항에 있어서, The method according to any one of the preceding claims, 상기 전극 도전성 재료는 상기 제1 표면과 실질적으로 동일한 범위를 갖는 디스크를 포함하는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And wherein the electrode conductive material comprises a disk having a range substantially the same as the first surface. 제7항에 있어서, The method of claim 7, wherein 상기 디스크는 도전성 및/또는 반도전성 재료로 이루어지는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And the disk comprises a master electrode, wherein the disk is made of a conductive and / or semiconductive material. 전술한 항 중 항에 있어서, The method of claim 1, wherein 상기 전극 도전성 재료는 각각의 캐비티의 하부 내에 배열된 캐비티 도전성 재료를 포함하는 것을 특징으로 하는 마스터 전극을 포함하는 시스템. And wherein the electrode conductive material comprises a cavity conductive material arranged within the bottom of each cavity. 제9항에 있어서, The method of claim 9, 상기 캐비티 도전성 재료는 상기 캐비티의 하부에 배열되고 불활성 재료로 이루어진 재료인 것을 특징으로 하는 마스터 전극을 포함하는 시스템. And the cavity conductive material is a material arranged under the cavity and made of an inert material. 제10항에 있어서, The method of claim 10, 상기 캐비티 도전성 재료는 상기 캐비티 내에 사전증착되고 적어도 부분적으로 도금 프로세스 동안 소모되는 부가적인 재료인 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And the cavity conductive material is an additional material pre-deposited in the cavity and at least partially consumed during the plating process. 제9항 내지 제 11항 중 어느 항에 있어서, The method according to any one of claims 9 to 11, 상기 캐비티 도전성 재료는 상기 디스크와 전기적으로 콘택되는 것을 특징으 로 하는 마스터 전극을 포함하는 시스템.And the cavity conductive material is in electrical contact with the disk. 제9항 내지 제12항 중 어느 한 항에 있어서, The method according to any one of claims 9 to 12, 상기 디스크는 실질적으로 일정한 두께를 가지는 것을 특징으로 하는 마스터 전극을 포함하는 시스템. And the disk has a substantially constant thickness. 제13항에 있어서, The method of claim 13, 상기 디스크는 상이한 특정 전도도를 갖는 다수의 디스크 부재를 포함하고, 상기 디스크 부재는 서로의 상부에 배열되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And said disk comprises a plurality of disk members having different specific conductivity, said disk members being arranged on top of each other. 제7항 내지 제 14항 중 어느 한 항에 있어서, The method according to any one of claims 7 to 14, 상기 전극 공급 콘택은 상기 디스크의 중앙에 배열되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.The electrode supply contact is arranged in the center of the disk. 제7항 내지 제15항 중 어느 한 항에 있어서, The method according to any one of claims 7 to 15, 상기 전극 공급 콘택은 여러 이산 콘택을 포함하는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And the electrode supply contact comprises a number of discrete contacts. 제15항 또는 제 16항에 있어서, The method according to claim 15 or 16, 상기 이산 콘택은 상기 디스크의 중심으로부터 반경방향으로 배열된 적어도 하나의 링 콘택 또는 링 세그먼트 콘택을 포함하는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And the discrete contact comprises at least one ring contact or ring segment contact arranged radially from the center of the disk. 제16항 또는 제17항에 있어서, The method according to claim 16 or 17, 상기 각각의 이산 콘택에는 도금 또는 에칭 프로세스 동안 특정 전위가 제공되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템. And wherein each discrete contact is provided with a specific potential during the plating or etching process. 제7항 내지 제18항 중 어느 한 항에 있어서, The method according to any one of claims 7 to 18, 상기 디스크는 실질적으로 원형인 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And the disk is substantially circular. 제19항에 있어서, The method of claim 19, 상기 디스크 부재 중 적어도 하나의 두께는 디스크의 중앙까지의 거리에 따라 변화되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And wherein the thickness of at least one of the disk members varies with distance to the center of the disk. 전술한 항 중 어느 한 항에 있어서, The method according to any one of the preceding claims, 상기 기판 저항은 적어도 부분적으로 상기 기판 상부면의 적어도 일부 상에 배열된 시드 층에 의해 제공되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And the substrate resistance is at least partially provided by a seed layer arranged on at least a portion of the top surface of the substrate. 제21항에 있어서, The method of claim 21, 상기 기판 전극 콘택은 상기 기판 시드 층의 페리미터의 적어도 일부에 배열되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And the substrate electrode contact is arranged in at least a portion of the perimeter of the substrate seed layer. 제21항에 있어서, The method of claim 21, 상기 기판 전극 콘택은 상기 기판 시드 층의 페리미터를 따라 배열되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템. The substrate electrode contact is arranged along a perimeter of the substrate seed layer. 제21항 내지 제23항 중 어느 한 항에 있어서, The method according to any one of claims 21 to 23, wherein 상기 기판 전극 콘택은 여러 이산 콘택을 포함하는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.And the substrate electrode contact comprises a number of discrete contacts. 제24항에 있어서, The method of claim 24, 각각의 이산 콘택에는 도금 및 에칭 프로세스 동안 특정 전위가 공급되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템. Each discrete contact is supplied with a specific potential during the plating and etching process. 제21항 내지 25항 중 어느 한 항에 있어서, The method according to any one of claims 21 to 25, 상기 마스터 전극은 상기 시드 층에 전류를 제공하기 위해 상기 시드 층과 콘택하는 적어도 하나의 콘택 에어리어를 포함하는 것을 특징으로 하는 마스터 전 극을 포함하는 시스템.And the master electrode includes at least one contact area in contact with the seed layer to provide current to the seed layer. 제21항 내지 26항 중 어느 한 항에 있어서, The method according to any one of claims 21 to 26, 상기 패턴 층은 상기 에어리어에 걸쳐 상기 기판 도전성 재료의 특정 전도도를 증가시키기 위하여 도금 또는 에칭 프로세스 동안 상기 기판 도전성 재료와 콘택하는 상기 캐비티들 사이의 부분에서 상기 제1 표면 내에 배열된 도전성 재료의 적어도 하나의 에어리어를 포함하는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.The pattern layer is at least one of conductive material arranged within the first surface at a portion between the cavities that contact with the substrate conductive material during a plating or etching process to increase a specific conductivity of the substrate conductive material over the area A system comprising a master electrode, characterized in that it comprises an area of. 전술한 항 중 어느 한 항에 있어서, The method according to any one of the preceding claims, 상기 전극 도전성 재료의 표면 및/또는 상기 기판 도전성 재료의 표면에 걸친 전위차가 상당하여, 상기 표면들 사이에서 상기 전기화학적 셀의 전류 밀도 차가 2% 이상과 같이 1% 이상인 경우에, 적응이 수행되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템. If the potential difference across the surface of the electrode conductive material and / or the surface of the substrate conductive material is significant, adaptation is performed when the current density difference of the electrochemical cell between the surfaces is at least 1%, such as at least 2%. A system comprising a master electrode, characterized in that. 제28항에 있어서, The method of claim 28, 상기 적응은 상기 전극 도전성 재료의 특정 전도도가 평균적으로 상기 기판 도전성 재료의 특정 전도도의 0.5 내지 20 배 사이와 같이 0.1 내지 100 배 사이, 예를 들어, 1 내지 7 배와 같이 1 내지 10 사이가 되도록 하는 것을 특징으로 하는 마스터 전극을 포함하는 시스템. The adaptation is such that the specific conductivity of the electrode conductive material is on average between 0.1 and 100 times, such as between 0.5 and 20 times the specific conductivity of the substrate conductive material, for example between 1 and 10, such as 1 to 7 times. A system comprising a master electrode, characterized in that. 전술한 항 중 어느 한 항에 있어서, The method according to any one of the preceding claims, 각각의 캐비티에는 각각의 캐비티에 특정된 두께를 갖는 재료가 제공되는 것을 특징으로 하는 마스터 전극을 포함하는 시스템.Wherein each cavity is provided with a material having a thickness specified for each cavity. 기판 상에 배열되도록 의도된 마스터 전극에 있어서: In a master electrode intended to be arranged on a substrate: 상기 마스터 전극은 도전성 재료가 배열되는 다수의 캐비티가 제공되는 제1 표면을 가지는 적어도 부분적으로 절연 재료의 패턴 층을 포함하고, 상기 전극 도전성 재료는 적어도 하나의 전극 전류 공급 콘택에 전기적으로 접속되고; The master electrode comprises a patterned layer of at least partially insulating material having a first surface provided with a plurality of cavities in which the conductive material is arranged, the electrode conductive material being electrically connected to at least one electrode current supply contact; 다수의 전기화학적 셀은 상기 캐비티, 상기 전극 도전성 재료 및 기판에 의해 범위가 정해지도록 형성되게 되며; A plurality of electrochemical cells are formed to be delimited by the cavity, the electrode conductive material and the substrate; 상기 전극 도전성 재료와 상기 전극 전류 공급 콘택 사이의 전극 저항은 형성될 각각의 전기화학적 셀에서 소정의 전류를 제공하기 위하여 의도된 기판 도전성 재료와 관련되도록 적응되는 마스터 전극.The electrode resistance between the electrode conductive material and the electrode current supply contact is adapted to be associated with a substrate conductive material intended to provide a predetermined current in each electrochemical cell to be formed. 제31항에 있어서, The method of claim 31, wherein 상기 전극 저항 및 상기 기판 저항 각각은 재료의 저항률에 의해 분할되는 재료의 두께로서 규정되는 소정의 특정 전도도를 갖는 적어도 하나의 전기적 도전성 재료에 의해 형성되는 것을 특징으로 하는 마스터 전극.Wherein the electrode resistance and the substrate resistance are each formed by at least one electrically conductive material having a certain specific conductivity defined as the thickness of the material divided by the resistivity of the material. 제32항에 있어서, 33. The method of claim 32, 상기 특정 전도도는 상기 마스터 전극의 표면에 걸쳐 가변하도록 배열되는 것을 특징으로 하는 마스터 전극.And said specific conductivity is arranged to vary over the surface of said master electrode. 제33항에 있어서, The method of claim 33, wherein 상기 특정 전도도는 상기 재료의 두께를 변화시킴으로써 가변되도록 배열되는 것을 특징으로 하는 마스터 전극.The specific conductivity is arranged to be varied by varying the thickness of the material. 제33항 또는 34항에 있어서, The method of claim 33 or 34, 상기 특정 전도도는 상기 재료의 저항률을 변화시킴으로써 가변되도록 배열되는 것을 특징으로 하는 마스터 전극. And said specific conductivity is arranged to vary by varying the resistivity of said material. 제35항에 있어서, 36. The method of claim 35 wherein 상기 재료는 상기 가변 저항률을 제공하기 위하여 가변되도록 배열되는 도핑을 갖는 도핑된 반도체 재료인 것을 특징으로 하는 마스터 전극.And the material is a doped semiconductor material having a doping arranged to vary to provide the variable resistivity. 제31항 내지 36항 중 어느 한 항에 있어서, The method according to any one of claims 31 to 36, 상기 전극 도전성 재료는 상기 제1 표면과 실질적으로 동일한 범위를 갖는 디스크를 포함하는 것을 특징으로 하는 마스터 전극.And wherein said electrode conductive material comprises a disk having substantially the same range as said first surface. 제37항에 있어서, The method of claim 37, 상기 디스크는 도전성 및/또는 반도전성 재료로 이루어지는 것을 특징으로 하는 마스터 전극. And the disk is made of a conductive and / or semiconductive material. 제31항 내지 38항 중 어느 한 항에 있어서, The method according to any one of claims 31 to 38, 상기 전극 도전성 재료는 각각의 캐비티의 하부에 배열된 캐비티 도전성 재료를 포함하는 것을 특징으로 하는 마스터 전극.And the electrode conductive material comprises a cavity conductive material arranged under each cavity. 제39항에 있어서, The method of claim 39, 상기 캐비티 도전성 재료는 상기 캐비티의 하부에 배열되고 불활성 재료로 이루어지는 재료인 것을 특징으로 하는 마스터 전극.And the cavity conductive material is a material arranged under the cavity and made of an inert material. 제40항에 있어서, The method of claim 40, 상기 캐비티 도전성 재료는 상기 캐비티 내에 사전증착되고 도금 프로세스 동안 적어도 부분적으로 소모되는 부가적인 재료인 것을 특징으로 하는 마스터 전극.And the cavity conductive material is an additional material that is pre-deposited in the cavity and at least partially consumed during the plating process. 제39항 내지 41항 중 어느 한 항에 있어서, The method according to any one of claims 39 to 41, 상기 캐비티 도전성 재료는 상기 디스크와 전기적으로 콘택하는 것을 특징으로 하는 마스터 전극. And the cavity conductive material is in electrical contact with the disk. 제39항 내지 42항 중 어느 한 항에 있어서, The method according to any one of claims 39 to 42, 상기 디스크는 실질적으로 일정한 두께를 갖는 것을 특징으로 하는 마스터 전극.And the disk has a substantially constant thickness. 제43항에 있어서, The method of claim 43, 상기 디스크는 상이한 특정 전도도를 갖는 다수의 디스크 부재를 포함하고, 상기 디스크 부재는 서로의 상부에 배열되는 것을 특징으로 하는 마스터 전극. And said disk comprises a plurality of disk members having different specific conductivity, said disk members being arranged on top of each other. 제37항 내지 44항 중 어느 한 항에 있어서, The method according to any one of claims 37 to 44, 상기 전극 공급 콘택은 상기 디스크의 중앙에 배열되는 것을 특징으로 하는 마스터 전극.The electrode supply contact is arranged in the center of the disk. 제37항 내지 45항 중 어느 한 항에 있어서, The method according to any one of claims 37 to 45, 상기 전극 공급 콘택은 여러 이산 콘택을 포함하는 것을 특징으로 하는 마스터 전극. And wherein said electrode supply contact comprises several discrete contacts. 제45항 또는 46항에 있어서, 47. The method of claim 45 or 46, 상기 이산 콘택은 디스크의 중앙으로부터 반경방향으로 배열된 적어도 하나의 링 콘택 또는 링 세그먼트 콘택을 포함하는 것을 특징으로 하는 마스터 전극.And the discrete contact comprises at least one ring contact or ring segment contact arranged radially from the center of the disk. 제46항 또는 47항에 있어서, 48. The method of claim 46 or 47, 상기 이산 콘택에는 도금 또는 에칭 프로세스 동안 특정 전위가 제공되는 것을 특징으로 하는 마스터 전극.And wherein said discrete contact is provided with a specific potential during the plating or etching process. 제37항 내지 48항 중 어느 한 항에 있어서, 49. The compound of any one of claims 37-48, 상기 디스크는 실질적으로 원형인 것을 특징으로 하는 마스터 전극.And the disk is substantially circular. 제49항에 있어서, The method of claim 49, 상기 디스크 부재 중 적어도 하나의 두께는 디스크의 중심까지의 거리에 따라 변화하는 것을 특징으로 하는 마스터 전극. The thickness of at least one of the disk member is a master electrode, characterized in that varies with the distance to the center of the disk. 제31항 내지 50항 중 어느 한 항에 있어서, The method according to any one of claims 31 to 50, 각각의 캐비티에는 각각의 캐비티에 특정되는 두께를 갖는 재료가 제공되는 것을 특징으로 하는 마스터 전극.And wherein each cavity is provided with a material having a thickness specific to each cavity. 캐비티가 형성되는 절연 재료를 포함하는 패턴 층, 및 상기 캐비티의 하부를 형성하고 전원으로의 외부 접속을 위한 콘택 부를 가지는 도전성 전극 층을 갖는 마스터 전극의 캐비티 내에서의 재료의 사전증착 방법에 있어서: A method of pre-depositing a material in a cavity of a master electrode having a patterned layer comprising an insulating material on which a cavity is formed, and a conductive electrode layer forming a lower portion of the cavity and having contact portions for external connection to a power source: 서포트에 도전성 부재를 배열하는 단계; Arranging a conductive member on the support; 적어도 2개의 콘택 부에서 상기 도전성 전극 층 및 상기 콘택 부재 사이의 전기적 콘택을 달성하기 위하여 상기 콘택 부재 상에 상기 마스터 전극을 배열하는 단계; Arranging the master electrode on the contact member to achieve electrical contact between the conductive electrode layer and the contact member in at least two contact portions; 상기 마스터 전극 상에 상기 캐비티 내에 증착될 재료의 전기도금 애노드를 배열하는 단계로서, 상기 전기화학절 셀이 상기 캐비티, 상기 기판 도전성 전극 층 및 상기 전기도금 애노드에 의해 범위가 정해지도록 형성되고, 상기 셀이 전해질을 포함하는, 상기 전기도금 애노드 배열 단계; Arranging an electroplating anode of material to be deposited in the cavity on the master electrode, wherein the electrochemical cell is formed to be delimited by the cavity, the substrate conductive electrode layer and the electroplating anode, An electroplating anode arrangement, wherein the cell comprises an electrolyte; 상기 도전성 전극 층의 상부에서 캐비티 내에 상기 재료를 증착시키기 위하여, 상기 전기도금 애노드 및 상기 콘택 부재에 전원을 접속시켜서, 상기 전기화학절 셀을 통해 전류를 통과시켜 상기 애노드로부터 캐소드인 상기 도전성 전극 층으로 재료를 전달하도록 하는 단계를 포함하는 재료의 사전증착 방법. The conductive electrode layer being a cathode from the anode by connecting a power source to the electroplating anode and the contact member to deposit the material in the cavity on top of the conductive electrode layer and passing current through the electrochemical cell. Pre-depositing the material comprising the step of delivering the material to the product. 마스터 전극에 의해 기판의 에칭 또는 도금을 수행하는 방법으로서, 상기 마스터 전극은 도전성 재료가 배열되는 다수의 캐비티가 제공되는 제1 표면을 가지는 적어도 부분적으로 절연 재료의 패턴 층을 포함하며, 상기 전극 도전성 재료는 적어도 하나의 전극 전류 공급 콘택에 전기적으로 접속되는, 기판의 에칭 또는 도금 수행 방법에 있어서: A method of performing etching or plating of a substrate by a master electrode, the master electrode comprising a pattern layer of at least partially insulating material having a first surface provided with a plurality of cavities in which a conductive material is arranged, the electrode conductive A method of performing etching or plating of a substrate, wherein the material is electrically connected to at least one electrode current supply contact: 서포트 상에 상기 마스터 전극을 배열하는 단계; Arranging the master electrode on a support; 상기 캐비티에 전해질을 공급하는 단계; Supplying an electrolyte to the cavity; 상기 마스터 전극 상에 기판을 배열하는 단계로서, 상기 기판은 상부에 배열 된 도전성 재료 및/또는 도전성 재료의 구조를 갖는 상부면을 포함하고, 상기 기판은 도전성 재료는 적어도 하나의 전류 공급 콘택에 전기적으로 접속되며, 전기화학적 셀은 상기 캐비티, 상기 기판 도전성 전극 층 및 상기 전극 도전성 재료에 의해 범위가 정해지도록 형성되며, 상기 셀은 전해질을 포함하는, 기판 배열 단계; Arranging a substrate on the master electrode, the substrate comprising a top surface having a structure of conductive material and / or conductive material arranged thereon, wherein the substrate is electrically conductive to at least one current supply contact. And an electrochemical cell is defined to be delimited by the cavity, the substrate conductive electrode layer and the electrode conductive material, the cell comprising an electrolyte; 전원을 상기 전극 전류 공급 콘택 및 상기 기판 전류 공급 콘택에 접속시켜서, 상기 전기화학적 셀을 통해 전류를 통과시켜, 상기 마스터 전극 및 상기 기판 사이에 전류를 통과시키도록 하는 단계를 포함하며, Connecting a power source to the electrode current supply contact and the substrate current supply contact to pass a current through the electrochemical cell to allow a current to pass between the master electrode and the substrate, 상기 방법은 마스터 전극을 선택하는 단계를 더 포함하며, 마스터 전극의 특정 저항률은 기판 도전성 재료에 적응되는 기판의 에칭 또는 도금 수행 방법. The method further includes selecting a master electrode, wherein a specific resistivity of the master electrode is adapted to the substrate conductive material.
KR1020087014735A 2005-11-18 2006-11-20 Master electrode and method of forming it KR101334506B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
SE0502539 2005-11-18
SE0502538-2 2005-11-18
SE0502539-0 2005-11-18
SE0502538 2005-11-18
PCT/SE2006/001321 WO2007058605A1 (en) 2005-11-18 2006-11-20 Master electrode and method of forming it

Publications (2)

Publication Number Publication Date
KR20080100808A true KR20080100808A (en) 2008-11-19
KR101334506B1 KR101334506B1 (en) 2013-12-02

Family

ID=38048911

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020087014730A KR101424824B1 (en) 2005-11-18 2006-11-20 Method of forming a multilayer structure
KR1020087014735A KR101334506B1 (en) 2005-11-18 2006-11-20 Master electrode and method of forming it
KR1020087014733A KR101486587B1 (en) 2005-11-18 2006-11-20 Master electrode and method of forming the master electrode

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020087014730A KR101424824B1 (en) 2005-11-18 2006-11-20 Method of forming a multilayer structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020087014733A KR101486587B1 (en) 2005-11-18 2006-11-20 Master electrode and method of forming the master electrode

Country Status (7)

Country Link
US (12) US20090229856A1 (en)
EP (3) EP2049710A4 (en)
JP (3) JP2009516388A (en)
KR (3) KR101424824B1 (en)
CN (3) CN101360850B (en)
DK (2) DK1948852T3 (en)
WO (3) WO2007058603A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090129373A (en) * 2008-06-12 2009-12-16 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. Electrolytic tin plating solution and electrolytic tin plating method

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100044079A1 (en) * 1999-08-27 2010-02-25 Lex Kosowsky Metal Deposition
US7276801B2 (en) 2003-09-22 2007-10-02 Intel Corporation Designs and methods for conductive bumps
WO2007053543A2 (en) * 2005-10-28 2007-05-10 The Curators Of The University Of Missouri Shock wave and power generation using on-chip nanoenergetic material
JP2009516388A (en) 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー Method for forming a multilayer structure
JP5041214B2 (en) * 2007-06-15 2012-10-03 ソニー株式会社 Method for forming metal thin film and method for manufacturing electronic device
US7923645B1 (en) * 2007-06-20 2011-04-12 Amkor Technology, Inc. Metal etch stop fabrication method and structure
US7951697B1 (en) 2007-06-20 2011-05-31 Amkor Technology, Inc. Embedded die metal etch stop fabrication method and structure
US8753974B2 (en) * 2007-06-20 2014-06-17 Micron Technology, Inc. Charge dissipation of cavities
US7958626B1 (en) 2007-10-25 2011-06-14 Amkor Technology, Inc. Embedded passive component network substrate fabrication method
JP5379147B2 (en) * 2007-10-31 2013-12-25 本田技研工業株式会社 Layered fuel cell catalyst and current collector
JP4977587B2 (en) * 2007-12-06 2012-07-18 シャープ株式会社 Manufacturing method of solar cell
US8273653B2 (en) * 2008-06-06 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Microscopic structure packaging method and device with packaged microscopic structure
WO2009154173A1 (en) * 2008-06-17 2009-12-23 株式会社アルバック Method for manufacturing multistep substrate
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US8455357B2 (en) * 2008-10-10 2013-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of plating through wafer vias in a wafer for 3D packaging
JP4893760B2 (en) * 2009-01-27 2012-03-07 ソニー株式会社 Circuit board support structure and imaging apparatus
EP2218772A1 (en) * 2009-02-09 2010-08-18 Koninklijke Philips Electronics N.V. Cardiomyocytes-containing device and method for manufacturing the same
JP5556051B2 (en) * 2009-04-15 2014-07-23 住友ベークライト株式会社 Resin composition and semiconductor device using the same
NL2004545A (en) * 2009-06-09 2010-12-13 Asml Netherlands Bv Lithographic method and arrangement
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
JP5809055B2 (en) * 2009-07-01 2015-11-10 Jx日鉱日石金属株式会社 Electrolytic copper plating solution for embedding ULSI fine damascene wiring
CN101656198B (en) * 2009-07-21 2012-08-08 上海大学 Electroetching device and method of conductive oxide film
US8377816B2 (en) 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
US9190214B2 (en) * 2009-07-30 2015-11-17 Kemet Electronics Corporation Solid electrolytic capacitors with improved ESR stability
US8841766B2 (en) 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
DE102009036418B4 (en) * 2009-08-06 2011-06-22 Siemens Aktiengesellschaft, 80333 Waveguide, in particular in the case of the dielectric wall accelerator
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8598031B2 (en) 2009-09-28 2013-12-03 Globalfoundries Singapore Pte. Ltd. Reliable interconnect for semiconductor device
EP2496061A4 (en) * 2009-10-30 2014-01-08 Panasonic Corp Circuit board, and semiconductor device having component mounted on circuit board
US9332642B2 (en) 2009-10-30 2016-05-03 Panasonic Corporation Circuit board
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US9012766B2 (en) 2009-11-12 2015-04-21 Silevo, Inc. Aluminum grid as backside conductor on epitaxial silicon thin film solar cells
JP4768848B2 (en) * 2009-12-07 2011-09-07 株式会社東芝 Electroforming master and its manufacturing method
JP2011128185A (en) * 2009-12-15 2011-06-30 Shinano Kenshi Co Ltd Light scanning apparatus
CN102110866B (en) * 2009-12-24 2013-08-28 深南电路有限公司 Manufacturing process of waveguide slot
US10060180B2 (en) 2010-01-16 2018-08-28 Cardinal Cg Company Flash-treated indium tin oxide coatings, production methods, and insulating glass unit transparent conductive coating technology
US11155493B2 (en) 2010-01-16 2021-10-26 Cardinal Cg Company Alloy oxide overcoat indium tin oxide coatings, coated glazings, and production methods
US10000965B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductive coating technology
US9862640B2 (en) 2010-01-16 2018-01-09 Cardinal Cg Company Tin oxide overcoat indium tin oxide coatings, coated glazings, and production methods
US10000411B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductivity and low emissivity coating technology
US8828555B2 (en) 2010-01-28 2014-09-09 Japan Science And Technology Agency Method for forming patterned conductive film
US8610270B2 (en) 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8293344B2 (en) 2010-02-26 2012-10-23 Guardian Industries Corp. Articles including anticondensation coatings and/or methods of making the same
US8524337B2 (en) 2010-02-26 2013-09-03 Guardian Industries Corp. Heat treated coated article having glass substrate(s) and indium-tin-oxide (ITO) inclusive coating
US8304045B2 (en) 2010-02-26 2012-11-06 Guardian Industries Corp. Articles including anticondensation coatings and/or methods of making the same
US8815059B2 (en) 2010-08-31 2014-08-26 Guardian Industries Corp. System and/or method for heat treating conductive coatings using wavelength-tuned infrared radiation
US9194838B2 (en) 2010-03-03 2015-11-24 Osaka University Method and device for identifying nucleotide, and method and device for determining nucleotide sequence of polynucleotide
DE102010010348A1 (en) * 2010-03-05 2011-09-08 Albert-Ludwigs-Universität Freiburg Implantable device for detecting a vessel wall strain
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US20110272287A1 (en) * 2010-05-07 2011-11-10 International Business Machines Corporation Method for patterning magnetic films
KR101119251B1 (en) * 2010-06-07 2012-03-16 삼성전기주식회사 Touch panel
US9214576B2 (en) 2010-06-09 2015-12-15 Solarcity Corporation Transparent conducting oxide for photovoltaic devices
WO2011158698A1 (en) * 2010-06-15 2011-12-22 東京エレクトロン株式会社 Process for production of semiconductor device, and semiconductor device
US8722445B2 (en) 2010-06-25 2014-05-13 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
CN101856302B (en) * 2010-07-08 2012-08-22 上海交通大学 Asychronous multi-channel stimulation electric acupuncture needle and preparation method thereof
EP2593592B1 (en) * 2010-07-15 2018-05-16 Luxembourg Institute of Science and Technology (LIST) Separation of master electrode and substrate in ecpr
JP5837069B2 (en) * 2010-08-06 2015-12-24 プロメラス, エルエルシー Sacrificial polymer composition comprising a polycarbonate having repeating units derived from stereospecific polycyclic 2,3-diol monomers
US8546254B2 (en) * 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
JP5730521B2 (en) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ Heat treatment equipment
US9773928B2 (en) 2010-09-10 2017-09-26 Tesla, Inc. Solar cell with electroplated metal grid
US8127979B1 (en) * 2010-09-25 2012-03-06 Intel Corporation Electrolytic depositon and via filling in coreless substrate processing
US9800053B2 (en) 2010-10-08 2017-10-24 Tesla, Inc. Solar panels with integrated cell-level MPPT devices
US8329021B2 (en) * 2010-10-28 2012-12-11 Palmaz Scientific, Inc. Method for mass transfer of micro-patterns onto medical devices
US9018100B2 (en) * 2010-11-10 2015-04-28 Western Digital (Fremont), Llc Damascene process using PVD sputter carbon film as CMP stop layer for forming a magnetic recording head
US8791501B1 (en) 2010-12-03 2014-07-29 Amkor Technology, Inc. Integrated passive device structure and method
EP2463410B1 (en) * 2010-12-13 2018-07-04 Rohm and Haas Electronic Materials LLC Electrochemical etching of semiconductors
TW201227872A (en) * 2010-12-16 2012-07-01 Nat Applied Res Laboratories Metal wire structure and manufacturing method thereof
JP5644466B2 (en) * 2010-12-17 2014-12-24 富士電機株式会社 Manufacturing method of semiconductor device
EP2655699B1 (en) * 2010-12-23 2019-06-26 Luxembourg Institute of Science and Technology (LIST) An ecpr master electrode, and a method for providing such master electrode
EP2655700A1 (en) 2010-12-23 2013-10-30 Centre de Recherche Public - Gabriel Lippmann An ecpr master electrode and a method for providing such ecpr master electrode
DK2655698T3 (en) * 2010-12-23 2019-08-12 Luxembourg Institute Of Science And Tech ECPR MAIN ELECTRODE AND PROCEDURES FOR MANUFACTURING IT
WO2012084048A1 (en) 2010-12-23 2012-06-28 Replisaurus Group Sas A method for providing an ecpr master electrode
KR20140037044A (en) * 2011-01-13 2014-03-26 타마랙 사이언티픽 컴퍼니 인코포레이티드 Laser removal of conductive seed layers
CN103339291B (en) 2011-02-09 2015-07-08 大日本印刷株式会社 Stainless substrate with gold-plated layer, and method for forming partially gold-plated pattern on stainless substrate
CN102634829A (en) * 2011-02-10 2012-08-15 林宏明 Meshed-plate mould with patterns and method for forming patterns on a workpiece
CN102168295B (en) * 2011-02-15 2012-05-30 艾荻环境技术(上海)有限公司 Composite material coating having selective absorption function
EP2696934B1 (en) * 2011-04-15 2017-08-09 CorTec GmbH Neural electrode and method for fabricating the same
US9316649B2 (en) * 2011-05-10 2016-04-19 Panasonic Healthcare Holdings Co., Ltd. Biological sample measuring device and method for measuring biological sample using same
US9054256B2 (en) 2011-06-02 2015-06-09 Solarcity Corporation Tunneling-junction solar cell with copper grid for concentrated photovoltaic application
EP2533273B1 (en) 2011-06-07 2017-02-08 Luxembourg Institute of Science and Technology (LIST) An ECPR master electrode, and a method for providing such master electrode
KR20130006794A (en) * 2011-06-23 2013-01-18 삼성전자주식회사 Method of forming a fine pattern and method of fabricating a semiconductor device
CN102856277B (en) * 2011-06-29 2015-03-11 中芯国际集成电路制造(上海)有限公司 Graphene conductive plug and formation method thereof
US8921165B2 (en) * 2011-08-03 2014-12-30 Cavendish Kinetics, Inc. Elimination of silicon residues from MEMS cavity floor
TW201308616A (en) * 2011-08-03 2013-02-16 Motech Ind Inc Method of forming conductive pattern on substrate
CN102629035A (en) * 2011-09-29 2012-08-08 京东方科技集团股份有限公司 Thin film transistor array substrate and manufacture method thereof
JP2013093412A (en) * 2011-10-25 2013-05-16 Showa Denko Kk Light emitting diode, manufacturing method of light emitting diode, light emitting diode lamp, and lighting device
US9263611B2 (en) * 2011-11-17 2016-02-16 Solar Junction Corporation Method for etching multi-layer epitaxial material
JP5504298B2 (en) * 2012-02-22 2014-05-28 アオイ電子株式会社 Vibration power generation element and manufacturing method thereof
RU2497230C1 (en) * 2012-03-19 2013-10-27 Сергей Николаевич Максимовский Method of creation of multilayered nanostructure
JP5673592B2 (en) * 2012-04-10 2015-02-18 Tdk株式会社 Wiring board and manufacturing method thereof
US20130316180A1 (en) * 2012-05-07 2013-11-28 Case Western Reserve University Biocompatible Packaging Suitable for Long-term Implantation and Method of Formation
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
CN102766892B (en) * 2012-08-10 2015-04-29 中国科学院重庆绿色智能技术研究院 Micro-nano processing method and device
AU2013326971B2 (en) 2012-10-04 2016-06-30 Tesla, Inc. Photovoltaic devices with electroplated metal grids
US9865754B2 (en) 2012-10-10 2018-01-09 Tesla, Inc. Hole collectors for silicon photovoltaic cells
WO2014068614A1 (en) * 2012-10-30 2014-05-08 株式会社Leap Method for producing coil element using resin substrate and using electroforming
JP5294287B1 (en) * 2012-10-30 2013-09-18 株式会社Leap Coil element manufacturing method
FR2999805B1 (en) * 2012-12-17 2017-12-22 Commissariat Energie Atomique METHOD FOR PRODUCING AN INFRARED DETECTION DEVICE
US8846529B2 (en) 2013-01-10 2014-09-30 International Business Machines Corporation Electroless plating of cobalt alloys for on chip inductors
US10074755B2 (en) 2013-01-11 2018-09-11 Tesla, Inc. High efficiency solar panel
US9412884B2 (en) 2013-01-11 2016-08-09 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
US9219174B2 (en) 2013-01-11 2015-12-22 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
EP2757593B1 (en) * 2013-01-17 2018-10-17 ATOTECH Deutschland GmbH Plated electrical contacts for solar modules
KR101464860B1 (en) * 2013-02-06 2014-11-24 인천대학교 산학협력단 Metal seed layer leveler comprising allyl alcohol and method for constructing metal seed layer using the same
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8956975B2 (en) 2013-02-28 2015-02-17 International Business Machines Corporation Electroless plated material formed directly on metal
US20140252571A1 (en) * 2013-03-06 2014-09-11 Maxim Integrated Products, Inc. Wafer-level package mitigated undercut
US20140261661A1 (en) * 2013-03-13 2014-09-18 Gtat Corporation Free-standing metallic article with overplating
US8816492B1 (en) * 2013-03-13 2014-08-26 Qualtre, Inc. Method and apparatus for isolating MEMS devices from external stimuli
JP6198456B2 (en) * 2013-05-20 2017-09-20 東京エレクトロン株式会社 Substrate processing method and template
US9624595B2 (en) 2013-05-24 2017-04-18 Solarcity Corporation Electroplating apparatus with improved throughput
CN104233418A (en) * 2013-06-21 2014-12-24 镇江江城金属制品有限公司 Method for electroplating metal sign
CN105706274B (en) * 2013-07-03 2019-03-08 锡安能量公司 Ceramic/polymer matrix for the guard electrode in the electrochemical cell for including lithium rechargeable battery
US9126452B2 (en) * 2013-07-29 2015-09-08 Xerox Corporation Ultra-fine textured digital lithographic imaging plate and method of manufacture
JP5786906B2 (en) * 2013-08-02 2015-09-30 オムロン株式会社 Manufacturing method of electroformed parts
CN103441079B (en) * 2013-09-12 2015-10-28 江阴长电先进封装有限公司 A kind of wafer scale high-density wiring preparation method
CA2929929A1 (en) 2013-09-18 2015-03-26 Quantum Biosystems Inc. Biomolecule sequencing devices, systems and methods
DE102013219342A1 (en) * 2013-09-26 2015-03-26 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Process for structuring layers of oxidizable materials by means of oxidation and substrate with structured coating
US20150087144A1 (en) * 2013-09-26 2015-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method of manufacturing metal gate semiconductor device
JP2015077652A (en) 2013-10-16 2015-04-23 クオンタムバイオシステムズ株式会社 Nano-gap electrode and method for manufacturing same
CN103515353B (en) * 2013-10-18 2016-08-31 上海华力微电子有限公司 A kind of photoresist filled type metal interconnection structure and manufacture method thereof
JP5735093B1 (en) * 2013-12-24 2015-06-17 株式会社マテリアル・コンセプト Solar cell and manufacturing method thereof
US9791470B2 (en) * 2013-12-27 2017-10-17 Intel Corporation Magnet placement for integrated sensor packages
KR101566851B1 (en) 2013-12-31 2015-11-06 (재)한국나노기술원 synthetic mathod of self controlled MoS2 single layer by electroplating method and transistor using self controlled MoS2 single layer thereby
US10315399B2 (en) * 2013-12-31 2019-06-11 Entrotech, Inc. Methods for application of polymeric film and related assemblies
CN103767704B (en) * 2014-01-20 2015-08-26 上海交通大学 Dry electrode of a kind of standard for EEG measuring and preparation method thereof
JP6746062B2 (en) 2014-02-19 2020-08-26 シオン・パワー・コーポレーション Electrode protection using electrolyte-suppressed ionic conductors
US10490796B2 (en) 2014-02-19 2019-11-26 Sion Power Corporation Electrode protection using electrolyte-inhibiting ion conductor
EP2918707B1 (en) 2014-03-12 2019-05-22 Rolls-Royce North American Technologies, Inc. Anisotropic etching of metallic substrates
EP3128000B1 (en) * 2014-03-31 2018-05-16 Panasonic Intellectual Property Management Co., Ltd. Electrochemical measurement device
US10438811B1 (en) 2014-04-15 2019-10-08 Quantum Biosystems Inc. Methods for forming nano-gap electrodes for use in nanosensors
TWI543685B (en) * 2014-04-28 2016-07-21 旭德科技股份有限公司 Substrate structure and manufacturing method thereof
WO2015170782A1 (en) 2014-05-08 2015-11-12 Osaka University Devices, systems and methods for linearization of polymers
FR3022070B1 (en) * 2014-06-04 2016-06-24 Univ D'aix-Marseille METHOD FOR RANDOM TEXTURING OF A SEMICONDUCTOR SUBSTRATE
US10309012B2 (en) 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
CN105319241A (en) * 2014-07-04 2016-02-10 中国科学院苏州纳米技术与纳米仿生研究所 Flexible gas-sensitive sensor and making method thereof
CN104152979B (en) * 2014-09-04 2017-02-01 蒙家革 Electrolytic etching head, numerical-control electrolytic etching system and etching method
CN104287698B (en) * 2014-09-16 2016-04-06 苏州能斯达电子科技有限公司 Flexibility for cervical region pulse detection can attach sensor and preparation method thereof
WO2016049191A1 (en) 2014-09-23 2016-03-31 General Cable Technologies Corporation Electrodeposition mediums for formation of protective coatings electrochemically deposited on metal substrates
TWI561462B (en) * 2014-10-07 2016-12-11 Iner Aec Executive Yuan A method for forming dendritic silver with periodic structure as light-trapping layer
CN104388994B (en) * 2014-10-09 2017-10-24 中国电子科技集团公司第五十五研究所 Reduce the method for electrodeposited coating aliasing
US9899546B2 (en) 2014-12-05 2018-02-20 Tesla, Inc. Photovoltaic cells with electrodes adapted to house conductive paste
CN104538496B (en) * 2014-12-26 2018-01-12 新奥光伏能源有限公司 A kind of efficiently silicon heterogenous solar cell electroplated electrode preparation method
WO2016108077A1 (en) * 2014-12-31 2016-07-07 Essilor International (Compagnie Generale D'optique) Method of mirror coating an optical article and article thereby obtained
US9947822B2 (en) 2015-02-02 2018-04-17 Tesla, Inc. Bifacial photovoltaic module using heterojunction solar cells
US9617648B2 (en) * 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
JP2016207788A (en) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 Surface treatment method for upper electrode, plasma processing apparatus, and upper electrode
LU92716B1 (en) 2015-05-13 2017-03-02 Luxembourg Inst Science & Tech List Method of forming local nono/micro size structures of anodized metal
JP6437387B2 (en) * 2015-05-25 2018-12-12 東芝メモリ株式会社 Substrate flattening method
US11284521B2 (en) * 2015-06-30 2022-03-22 3M Innovative Properties, Company Electronic devices comprising a via and methods of forming such electronic devices
US9633971B2 (en) * 2015-07-10 2017-04-25 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
DE102015213259A1 (en) * 2015-07-15 2017-01-19 Schaeffler Technologies AG & Co. KG Plastic component and method for producing a plastic component
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US9842956B2 (en) 2015-12-21 2017-12-12 Tesla, Inc. System and method for mass-production of high-efficiency photovoltaic structures
DE102015226196A1 (en) * 2015-12-21 2017-06-22 Robert Bosch Gmbh Mobile functional device
JP6524261B2 (en) * 2015-12-28 2019-06-05 信越化学工業株式会社 Method of manufacturing laminate
US9496429B1 (en) 2015-12-30 2016-11-15 Solarcity Corporation System and method for tin plating metal electrodes
CN108701587B (en) * 2016-01-28 2023-04-21 东京毅力科创株式会社 Method for spin-on deposition of metal oxides
CN105789218A (en) * 2016-03-10 2016-07-20 京东方科技集团股份有限公司 Base plate and manufacturing method thereof and display device
US10115838B2 (en) 2016-04-19 2018-10-30 Tesla, Inc. Photovoltaic structures with interlocking busbars
CN105789479B (en) * 2016-04-22 2018-09-07 京东方科技集团股份有限公司 OLED and preparation method thereof and OLED display
CN109312384B (en) 2016-06-15 2022-12-30 伊士曼化工公司 Physical vapor deposition biosensor assembly
EP3266738B1 (en) * 2016-07-06 2019-03-06 The Swatch Group Research and Development Ltd. Method for manufacturing a part for a timepiece provided with a multi-level exterior element
GB2553154B (en) * 2016-08-22 2019-11-20 Cirrus Logic Int Semiconductor Ltd MEMS device
JP7096816B2 (en) 2016-09-16 2022-07-06 イーストマン ケミカル カンパニー Biosensor electrode manufactured by physical vapor deposition
US11630075B2 (en) 2016-09-16 2023-04-18 Eastman Chemical Company Biosensor electrodes prepared by physical vapor deposition
US10157792B2 (en) * 2016-10-27 2018-12-18 Nxp Usa, Inc. Through substrate via (TSV) and method therefor
KR102299950B1 (en) 2017-01-05 2021-09-08 주식회사 엘지에너지솔루션 Hydrophobic Battery Module using Surface Treatment and Method thereof
US20180207725A1 (en) * 2017-01-23 2018-07-26 The Chinese University Of Hong Kong System and method for fabricating 3d metal structure
WO2018135655A1 (en) * 2017-01-23 2018-07-26 日東電工株式会社 Wiring circuit board and method for producing same
US10570506B2 (en) * 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10739299B2 (en) * 2017-03-14 2020-08-11 Roche Sequencing Solutions, Inc. Nanopore well structures and methods
US11313040B2 (en) * 2017-03-24 2022-04-26 Embraco Indústria De Compressores E Soluçôes Em Refrigeraçâo Ltda. Plasma-assisted process of ceramization of polymer precursor on surface, surface comprising ceramic polymer
CN107177866B (en) * 2017-04-28 2019-03-05 大连理工大学 The method of micro- radio frequency T shape power splitter is prepared in metallic substrates
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN110770575A (en) 2017-06-22 2020-02-07 伊士曼化工公司 Physical vapor deposition electrode for electrochemical sensors
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
KR102492733B1 (en) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 Copper plasma etching method and manufacturing method of display panel
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111432920A (en) 2017-11-17 2020-07-17 应用材料公司 Condenser system for high pressure processing system
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN109066540A (en) * 2018-06-06 2018-12-21 荣马电器有限公司 A kind of safe power supply wiping bus
CN108668452A (en) * 2018-06-12 2018-10-16 江苏博敏电子有限公司 A kind of PCB fine-lines electrolytic etching recycles correlation technology with copper
TWI663396B (en) * 2018-06-29 2019-06-21 昇陽國際半導體股份有限公司 Working electrode of electrochemical sensor manufacturing method and product thereof
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
LU100919B1 (en) 2018-08-27 2020-02-27 Luxembourg Inst Science & Tech List Metal-CNT composite, production method and materials therefor
CN109461844B (en) * 2018-10-09 2020-02-18 深圳市华星光电技术有限公司 Method for manufacturing flexible substrate
CN109604126B (en) * 2018-10-31 2021-12-10 博罗县东明化工有限公司 Aluminum alloy spraying pretreatment method
US11028012B2 (en) 2018-10-31 2021-06-08 Cardinal Cg Company Low solar heat gain coatings, laminated glass assemblies, and methods of producing same
WO2020101180A1 (en) * 2018-11-13 2020-05-22 주식회사 애니캐스팅 Multi-array electrode having protruding electrode portions arranged thereon, method for manufacturing same, and method for manufacturing organic deposition mask by using multi-array electrode
KR102075064B1 (en) * 2018-11-13 2020-02-07 (주)애니캐스팅 Multi array electrode arrayed extrusion electrode and method for manufacturing the same
US10734338B2 (en) * 2018-11-23 2020-08-04 Nanya Technology Corporation Bonding pad, semiconductor structure, and method of manufacturing semiconductor structure
CN109742049A (en) * 2018-11-23 2019-05-10 苏州迈为科技股份有限公司 A kind of cell piece alignment method and laser aid
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN111326477B (en) * 2018-12-14 2022-12-09 中芯集成电路(宁波)有限公司 Electroplating method
CN109587945B (en) * 2018-12-26 2024-03-01 珠海超群电子科技有限公司 FPC board and manufacturing process thereof
TWI675201B (en) * 2019-01-23 2019-10-21 昇陽國際半導體股份有限公司 Working electrode of electrochemical sensor manufacturing method and product thereof
CN110629262B (en) * 2019-08-20 2020-11-27 北京大学 Preparation method of surface plasmon metamaterial
EP3786722A1 (en) * 2019-08-27 2021-03-03 Comadur S.A. Method for decorating a mechanical part
CN110510889B (en) * 2019-09-12 2021-11-16 上海理工大学 Titanium oxynitride film and preparation method thereof based on laser lift-off technology
CN110933540A (en) * 2019-12-09 2020-03-27 西安鼎蓝通信技术有限公司 Noise reduction sealing ring suitable for sound equipment and preparation method thereof
CN110938841A (en) * 2019-12-19 2020-03-31 新邵辰州锑业有限责任公司 Novel plate-shaped graphite anode
CN111063851B (en) * 2019-12-30 2022-02-18 江苏厚生新能源科技有限公司 Preparation method of pattern distributed gluing diaphragm
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210388521A1 (en) * 2020-06-15 2021-12-16 Arizona Board Of Regents On Behalf Of Arizona State University Localized electrochemical deposition
US20220235481A1 (en) * 2021-01-26 2022-07-28 Seagate Technology Llc Selective screen electroplating
DE102021109185A1 (en) 2021-04-13 2022-10-13 Gülnur Akbulut Brandes Device with a conductive coating for transporting electronic components and method for the production thereof
CN113735055A (en) * 2021-07-21 2021-12-03 绍兴中芯集成电路制造股份有限公司 MEMS device manufacturing method and MEMS device
IT202100022757A1 (en) 2021-09-02 2023-03-02 Metaly S R L DECORATION METHOD SURFACES TREATED WITH PVD COATING AND DECORATED SURFACES OBTAINED

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3745094A (en) * 1971-03-26 1973-07-10 Ibm Two resist method for printed circuit structure
JPS5456619U (en) * 1977-09-28 1979-04-19
US4158612A (en) * 1977-12-27 1979-06-19 The International Nickel Company, Inc. Polymeric mandrel for electroforming and method of electroforming
JPS62196392A (en) * 1986-02-25 1987-08-29 Nippon Steel Corp Power feeding method for stripe plating roll in transverse direction of steel strip
JPH0685464A (en) * 1992-09-02 1994-03-25 Hitachi Ltd Manufacture of multilayer interconnecting board
US5612153A (en) * 1995-04-13 1997-03-18 Valence Technology, Inc. Battery mask from radiation curable and thermoplastic materials
JP3444090B2 (en) * 1996-04-22 2003-09-08 日清紡績株式会社 Protective member for plasma processing equipment
JPH10256251A (en) * 1997-03-13 1998-09-25 Nippon Telegr & Teleph Corp <Ntt> Manufacture of semiconductor device
KR100397227B1 (en) * 1997-04-04 2003-09-13 유니버시티 오브 써던 캘리포니아 Electroplating article, method and apparatus for electrochemical fabrication
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
WO1999045179A1 (en) * 1998-03-05 1999-09-10 Obducat Ab Method of etching
JPH11284066A (en) * 1998-03-26 1999-10-15 Ricoh Co Ltd Semiconductor device and manufacture thereof
DE19935558B4 (en) * 1999-07-30 2010-11-25 Nawotec Gmbh Method for producing structures in a substrate in the nanometer range
US6355147B1 (en) * 1999-12-10 2002-03-12 Sandia Corporation Porous electrode apparatus for electrodeposition of detailed metal structures or microelectronic interconnections
KR20020092444A (en) * 2001-02-23 2002-12-11 가부시키 가이샤 에바라 세이사꾸쇼 Copper-plating solution, plating method and plating apparatus
SE523309E (en) * 2001-06-15 2009-10-26 Replisaurus Technologies Ab Method, electrode and apparatus for creating micro- and nanostructures in conductive materials by patterning with master electrode and electrolyte
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6593224B1 (en) * 2002-03-05 2003-07-15 Bridge Semiconductor Corporation Method of manufacturing a multilayer interconnect substrate
CN101724875A (en) * 2002-05-07 2010-06-09 南加州大学 Methods and apparatus for monitoring deposition quality during conformable contact mask plating operations
US7384530B2 (en) * 2002-05-07 2008-06-10 Microfabrica Inc. Methods for electrochemically fabricating multi-layer structures including regions incorporating maskless, patterned, multiple layer thickness depositions of selected materials
KR20050016867A (en) * 2002-05-07 2005-02-21 마이크로패브리카 인크. Electrochemically fabricated hermetically sealed micrstructures and methods of and apparatus for producing such structures
US6884692B2 (en) * 2002-08-29 2005-04-26 Micron Technology, Inc. Method for forming conductive material in opening and structures regarding same
US7229544B2 (en) * 2002-10-01 2007-06-12 University Of Southern California Multi-cell masks and methods and apparatus for using such masks to form three-dimensional structures
US20040099534A1 (en) * 2002-11-27 2004-05-27 James Powers Method and apparatus for electroplating a semiconductor wafer
US6875322B1 (en) * 2003-01-15 2005-04-05 Lam Research Corporation Electrochemical assisted CMP
JP3787630B2 (en) * 2003-02-14 2006-06-21 独立行政法人情報通信研究機構 Manufacturing method of nanogap electrode
US7189146B2 (en) * 2003-03-27 2007-03-13 Asm Nutool, Inc. Method for reduction of defects in wet processed layers
US20060249391A1 (en) * 2003-04-09 2006-11-09 Sungho Jin High resolution electrolytic lithography, apparatus therefor and resulting products
TWI232843B (en) * 2003-05-07 2005-05-21 Microfabrica Inc Electrochemical fabrication methods including use of surface treatments to reduce overplating and/or planarization during formation of multi-layer three-dimensional structures
TWI267567B (en) * 2003-05-07 2006-12-01 Microfabrica Inc Methods for electrochemically fabricating structures using adhered masks, incorporating dielectric sheets, and/or seed layers that are partially removed via planarization
TW200504928A (en) * 2003-06-20 2005-02-01 Matsushita Electric Ind Co Ltd Manufacturing method of semiconductor device
US20050215046A1 (en) * 2003-06-27 2005-09-29 Cohen Adam L Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US7573133B2 (en) * 2003-12-09 2009-08-11 Uri Cohen Interconnect structures and methods for their fabrication
JP2007516856A (en) * 2003-12-31 2007-06-28 マイクロファブリカ インク Method and apparatus for maintaining the parallelism of multiple layers and / or bringing the multiple layers to a desired thickness when electrochemically molding a structure
US7838868B2 (en) * 2005-01-20 2010-11-23 Nanosolar, Inc. Optoelectronic architecture having compound conducting substrate
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
JP2007081113A (en) * 2005-09-14 2007-03-29 Sony Corp Method for manufacturing semiconductor device
JP2009516388A (en) 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー Method for forming a multilayer structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090129373A (en) * 2008-06-12 2009-12-16 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. Electrolytic tin plating solution and electrolytic tin plating method

Also Published As

Publication number Publication date
EP2049710A4 (en) 2012-07-04
US8617362B2 (en) 2013-12-31
CN101360850A (en) 2009-02-04
EP1948852B1 (en) 2018-08-29
DK1948850T3 (en) 2019-07-29
CN101360851A (en) 2009-02-04
EP1948850A1 (en) 2008-07-30
US20090218233A1 (en) 2009-09-03
DK1948852T3 (en) 2019-01-02
EP1948850A4 (en) 2012-07-04
KR101334506B1 (en) 2013-12-02
WO2007058605A1 (en) 2007-05-24
US20090205967A1 (en) 2009-08-20
JP5249040B2 (en) 2013-07-31
CN101360850B (en) 2011-08-31
KR20080099233A (en) 2008-11-12
KR101424824B1 (en) 2014-08-01
JP2009516081A (en) 2009-04-16
US9441309B2 (en) 2016-09-13
CN101360851B (en) 2011-09-21
CN101360849A (en) 2009-02-04
US20090229857A1 (en) 2009-09-17
US20120279866A1 (en) 2012-11-08
JP2009516388A (en) 2009-04-16
US20140110265A1 (en) 2014-04-24
US20090229856A1 (en) 2009-09-17
US20090183992A1 (en) 2009-07-23
CN101360849B (en) 2013-05-15
KR20080100807A (en) 2008-11-19
EP1948852A4 (en) 2016-10-12
US20090229855A1 (en) 2009-09-17
EP1948852A1 (en) 2008-07-30
US20090071837A1 (en) 2009-03-19
WO2007058604A1 (en) 2007-05-24
US20120267241A1 (en) 2012-10-25
EP2049710A1 (en) 2009-04-22
US20120305390A1 (en) 2012-12-06
WO2007058603A1 (en) 2007-05-24
US20090229854A1 (en) 2009-09-17
EP1948850B1 (en) 2019-04-17
JP2009516080A (en) 2009-04-16
KR101486587B1 (en) 2015-01-26

Similar Documents

Publication Publication Date Title
KR101334506B1 (en) Master electrode and method of forming it
CN1685086B (en) Electropolishing and electroplating methods
US8012875B2 (en) Method and apparatus for workpiece surface modification for selective material deposition
KR100859899B1 (en) Electrochemical methods for polishing copper films on semiconductor substrates
JP2013524019A (en) Seed layer deposition in microscale structures
JP2006203139A (en) Manufacturing method of semiconductor device
US20070151859A1 (en) Method of forming copper interconnections in semiconductor devices
KR100731082B1 (en) Method for fabricating semiconductor device
KR100586074B1 (en) Method for manufacturing lifted copper plate
Krongelb et al. 11 Electrochemical processes in the fabrication of multichip modules
KR20050000968A (en) method for fabricating metal line

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171106

Year of fee payment: 5