KR20070075383A - Method of forming tungsten film - Google Patents

Method of forming tungsten film Download PDF

Info

Publication number
KR20070075383A
KR20070075383A KR1020070059454A KR20070059454A KR20070075383A KR 20070075383 A KR20070075383 A KR 20070075383A KR 1020070059454 A KR1020070059454 A KR 1020070059454A KR 20070059454 A KR20070059454 A KR 20070059454A KR 20070075383 A KR20070075383 A KR 20070075383A
Authority
KR
South Korea
Prior art keywords
gas
tungsten film
tungsten
forming
film
Prior art date
Application number
KR1020070059454A
Other languages
Korean (ko)
Other versions
KR100783845B1 (en
Inventor
호타카 이시즈카
고히치 사토
팡 쳉
마사오 요시오카
겐지 스즈키
야스타카 미조구치
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070075383A publication Critical patent/KR20070075383A/en
Application granted granted Critical
Publication of KR100783845B1 publication Critical patent/KR100783845B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D17/00Radial-flow pumps, e.g. centrifugal pumps; Helico-centrifugal pumps
    • F04D17/08Centrifugal pumps
    • F04D17/16Centrifugal pumps for displacing without appreciable compression
    • F04D17/168Pumps specially adapted to produce a vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

A tungsten film-forming method which can improve throughput and can improve filling property so that good filling property is obtained although diameter of contact hole is small is provided. In a method of forming a tungsten film on a treatment object in a treatment container capable of performing vacuum suction, the method comprises: an initial tungsten film-forming process(79) of forming an initial tungsten film by alternately and repeatedly performing a reduction gas supply process(70) of supplying reduction gas and a tungsten gas supply process(72) of supplying tungsten-containing gas with a purging process(74) being interposed between the reduction gas supply process and the tungsten gas supply process to performing vacuum suction while supplying an inert gas; a passivation tungsten film-forming process(84) of forming a passivation tungsten film by passing the reduction gas and the tungsten-containing gas through the treatment container; and a principal tungsten film-forming process(80) of forming a principal tungsten film by continuously passing the reduction gas and the tungsten-containing gas through the treatment container.

Description

텅스텐막의 형성 방법{METHOD OF FORMING TUNGSTEN FILM}Tungsten film formation method {METHOD OF FORMING TUNGSTEN FILM}

도 1은 본 발명에 따른 텅스텐막의 형성 방법을 실시하는 열처리 장치를 나타내는 단면 구성도이다.BRIEF DESCRIPTION OF THE DRAWINGS It is sectional drawing which shows the heat processing apparatus which performs the formation method of the tungsten film | membrane which concerns on this invention.

도 2는 각 가스의 공급 형태를 나타내는 도면이다.2 is a diagram illustrating a supply mode of each gas.

도 3은 성막 공정 전체를 통한 각 가스 유량의 일례와 공정 조건의 관계를 나타내는 흐름도이다.3 is a flowchart showing a relationship between an example of each gas flow rate and process conditions throughout the film forming process.

도 4는 반도체 웨이퍼의 표면에 퇴적된 텅스텐막의 일례를 나타내는 확대 단면도이다.4 is an enlarged cross-sectional view showing an example of a tungsten film deposited on the surface of a semiconductor wafer.

도 5는 처리 용기내에 있어서의 실란(SiH4)의 분압의 분포 상태를 나타내는 도면이다.5 is a diagram showing the distribution of the partial pressure of the silane (SiH 4), within the treatment vessel.

도 6은 실란의 파라미터(Torr·sec)와 1 사이클당 형성되는 막 두께의 관계를 나타내는 그래프이다. 6 is a graph showing the relationship between the parameter (Torr sec) of silane and the film thickness formed per cycle.

도 7은 WF6의 파라미터(Torr·sec)와 1 사이클당 형성되는 막 두께의 관계를 나타내는 그래프이다. 7 is a graph showing the relationship between the parameter (Torr sec) of WF 6 and the film thickness formed per cycle.

도 8은 가스 공급의 1 사이클당 형성되는 막 두께의 온도 의존성을 나타내는 그래프이다. 8 is a graph showing the temperature dependence of the film thickness formed per cycle of gas supply.

도 9는 WF6 가스의 파라미터(Torr·sec)와 1 셀당 볼케이노(volcano)의 발생 개수의 관계를 나타낸 그래프이다. FIG. 9 is a graph showing the relationship between the parameter Torr sec of the WF 6 gas and the number of occurrences of volcano per cell. FIG.

도 10은 텅스텐막의 저항치의 온도 의존성을 나타내는 그래프이다. 10 is a graph showing the temperature dependence of the resistance of the tungsten film.

도 11은 웨이퍼 표면의 F 농도(확산량) 프로파일을 나타내는 그래프이다. 11 is a graph showing an F concentration (diffusion) profile of the wafer surface.

도 12는 1 사이클당 성막 속도의 온도 의존성을 나타내는 도면이다.It is a figure which shows the temperature dependency of the film-forming speed | rate per 1 cycle.

도 13은 공정 온도 350℃에 있어서의 비저항 및 표면 조도의 막 두께 의존성을 나타내는 도면이다.It is a figure which shows the film thickness dependence of specific resistance and surface roughness in process temperature 350 degreeC.

도 14는 막 중의 F, Si, B의 농도를 나타내는 도면이다.14 is a diagram showing concentrations of F, Si, and B in the film.

도 15는 막 중의 텅스텐의 결정성에 대해서 검토했을 때의 X선 회절 결과를 나타내는 도면이다.FIG. 15 is a diagram showing an X-ray diffraction result when the crystallinity of tungsten in the film is examined.

도 16은 콘택트 홀의 매립 상태를 나타내는 도면 대용 사진이다.It is a drawing substitute photograph which shows the buried state of a contact hole.

도 17은 볼케이노와 보이드(void)가 발생된 매립 구멍을 나타내는 단면도이다. 17 is a cross-sectional view showing a buried hole in which a volcano and voids are generated.

도 18은 텅스텐에 의해 매립 구멍을 매립할 때의 공정의 일례를 나타내는 도면이다.It is a figure which shows an example of the process at the time of embedding a buried hole with tungsten.

도면의 주요 부분에 대한 부호의 설명Explanation of symbols for the main parts of the drawings

2 : 매립 구멍 4 : 차단층2: buried hole 4: blocking layer

20 : 열처리 장치 22 : 처리 용기20: heat treatment apparatus 22: processing vessel

24 : 샤워 헤드부 60 : 가열 램프24: shower head portion 60: heating lamp

70 : 환원 가스 공급 공정 72 : 텅스텐 가스 공급 공정70: reducing gas supply process 72: tungsten gas supply process

74 : 퍼징 공정 76 : 초기 텅스텐막74: purging process 76: initial tungsten film

78 : 주 텅스텐막 79 : 초기 텅스텐막 형성 공정78: main tungsten film 79: initial tungsten film forming process

80 : 주 텅스텐막 형성 공정 82 : 패시베이션 텅스텐막80: main tungsten film forming step 82: passivation tungsten film

84 : 패시베이션 텅스텐막 형성 공정 W : 반도체 웨이퍼(피처리체)84: passivation tungsten film forming step W: semiconductor wafer (object to be processed)

본 발명은 반도체 웨이퍼 등의 피처리체의 표면에 텅스텐막을 형성하는 방법에 관한 것으로, 특히 본 출원인의 이전 출원(일본 특허 출원 제2002-234273호)의 개량 발명으로서, 패시베이션 텅스텐막의 형성 공정을 개량한 텅스텐막의 형성 방법에 관한 것이다.The present invention relates to a method of forming a tungsten film on the surface of an object to be processed, such as a semiconductor wafer, and is an improved invention of the present applicant's previous application (Japanese Patent Application No. 2002-234273). A method of forming a tungsten film.

일반적으로, 반도체 집적 회로의 제조 공정에서는, 피처리체인 반도체 웨이퍼 표면에 배선 패턴을 형성하기 위해, 또는 배선 사이 등의 오목부 또는 콘택트용의 오목부를 설치하기 위해 W(텅스텐), WSi(텅스텐 실리사이드), Ti(티타늄), TiN(티타늄 니트라이드), TiSi(티타늄 실리사이드), Cu(구리), Ta2O5(탄탈륨 옥사이 드) 등의 금속, 또는 금속 화합물을 퇴적시켜서 박막을 형성하고 있다. 그리고, 상기한 각종 박막 중에서 비저항이 작고, 막 부착 온도도 작아도 되는 등의 이유로 텅스텐막이 많이 이용되고 있다. 이러한 종류의 텅스텐막을 형성하기 위해서는, 원료 가스로서 WF6(6불화 텅스텐)을 이용하며, 이것을 수소, 실란, 디클로로실란 등에 의해 환원시킴으로써 텅스텐막을 퇴적시키고 있다.Generally, in the manufacturing process of a semiconductor integrated circuit, W (tungsten) and WSi (tungsten silicide) for forming a wiring pattern on the surface of a semiconductor wafer as an object to be processed, or for providing recesses such as wirings or recesses for contacts. ), Ti (titanium), TiN (titanium nitride), TiSi (titanium silicide), Cu (copper), Ta 2 O 5 (tantalum oxide) and the like, or metal compounds are deposited to form a thin film. Among the various thin films described above, tungsten films are frequently used for reasons such as low specific resistance and low film deposition temperature. In order to form this kind of tungsten film, WF 6 (tungsten hexafluoride) is used as a source gas, and the tungsten film is deposited by reducing it with hydrogen, silane, dichlorosilane, or the like.

상기 텅스텐막을 형성하는 경우에는, 밀착성의 향상, 하층의 실리콘층과의 반응의 억제 등의 이유에서, 웨이퍼 표면에 Ti막, TiN막, 또는 양자의 적층막이 하지층이 되는 차단층으로서 얇으면서 균일하게 형성되어 있고, 이 차단층 상에 상기 텅스텐막을 퇴적시키게 된다.In the case of forming the tungsten film, it is thin and uniform as a blocking layer in which a Ti film, a TiN film, or a laminated film of both layers becomes a base layer on the wafer surface for reasons of improvement of adhesion and suppression of reaction with an underlying silicon layer. The tungsten film is deposited on the blocking layer.

여기에서 오목부 등의 매립을 실시하는 경우에는, 매립성을 양호하게 하기 위해 실란보다 환원성이 약한 수소 가스가 주로 사용되지만, 이 때 미반응된 WF6 가스에 의해 상기 차단층이 침투되어 차단층과 불소가 반응하여 부피적으로 팽창하여, 상방향으로 돌출 형상으로 튀어나온 볼케이노가 발생하거나, 또는 매립 구멍에 보이드가 발생하는 경우가 있다.In the case where the recesses and the like are buried here, hydrogen gas, which is less reducible than silane, is mainly used in order to improve the embedding properties, but at this time, unreacted WF 6 The barrier layer penetrates by gas, and the barrier layer reacts with fluorine to expand in volume, resulting in volcanoes protruding upwardly, or voids in the buried holes.

이를 도 17을 참조하여 설명한다. 도 17은 볼케이노 및 보이드가 발생한 매립 구멍을 나타내는 단면도이다. 반도체 웨이퍼(W)의 표면에 콘택트 홀 등의 매립 구멍(2)이 있고, 이 매립 구멍(2)의 내면을 포함하는 표면에, 예컨대 Ti/TiN막으로 이루어진 차단층(4)이 미리 형성되어 있다. 그리고, 이 상태에서 WF6 가스 및 H2 가스를 동시에 공급하여 텅스텐막(6)을 퇴적시켜 매립을 행하면, WF6 중의 불소가 차단층내로 확산되고, 특히 표면부의 차단층(4)의 Ti와 불소가 반응함으로써 매립 구멍(2)의 부근을 기점으로 하여 텅스텐막(6)이 돌기 형상으로 퇴적되어 그 돌기부의 선단부가 텅스텐막(6)의 응력에 의해 볼케이노(8)가 발생하거나, 또한, 매립 구멍(2)내에는 공동 형상의 보이드(10)가 발생하거나 한다.This will be described with reference to FIG. 17. It is sectional drawing which shows the buried hole which the volcano and void generate | occur | produced. A buried hole 2 such as a contact hole is provided on the surface of the semiconductor wafer W, and a blocking layer 4 made of, for example, a Ti / TiN film is previously formed on the surface including the inner surface of the buried hole 2. have. And in this state, WF 6 When the gas and the H 2 gas are supplied at the same time, the tungsten film 6 is deposited and buried, WF 6 Fluorine in the diffusion diffuses into the barrier layer, and in particular, Ti and fluorine in the barrier layer 4 at the surface portion react with each other, so that the tungsten film 6 is deposited in the shape of a protrusion starting from the vicinity of the buried hole 2 and the tip end of the protrusion. The volcano 8 is generated by the stress of the temporary tungsten film 6, and the void 10 of the cavity is generated in the buried hole 2.

그리고, 상기 볼케이노(8) 등의 발생을 방지하기 위해서, 처음에 수소 가스 대신에, 이보다 환원력이 강한 실란을 이용하여 얇은 두께, 예컨대 300 내지 500 Å 정도만큼 텅스텐막의 핵 부착층을 형성하고, 그 후 이 핵 부착층을 기점으로 하여 H2 가스 및 WF6 가스에 의해 주된 텅스텐막을 퇴적시키는 것도 행해졌지만, 이 경우에는, 하지막인 차단층(4)의 표면이 예컨대 유기 금속막이 형성된 표면 또는 산화된 표면 등에 의해 핵 부착층을 균일하게 할 수 없는 경우가 있었다.In order to prevent occurrence of the volcano 8 and the like, a nucleus adhesion layer of the tungsten film is formed by a thin thickness, for example, about 300 to 500 kPa, using a silane having stronger reducing power instead of hydrogen gas. H 2 gas and WF 6 Although the main tungsten film is deposited by gas, in this case, the surface of the blocking layer 4, which is the underlying film, cannot be made uniform in the nucleus adhesion layer due to, for example, the surface on which the organic metal film is formed or the oxidized surface. there was.

이에, 상기 핵 부착층을 형성하기에 앞서서, 실란만을 단독으로 소정 시간만큼 공급하여 이 일부가 분해될 정도의 저온, 예컨대 400℃ 정도에서 실란의 반응 중간체(SiHx:x<4)를 웨이퍼 표면에 흡착시키고, 이를 기점으로 하여 상기 핵 부착층을 성장시키는 것도 실시되고 있다. 도 18은 이와 같은 방법을 이용하여 텅스텐에 의해 매립 구멍을 매립할 때의 공정을 나타내는 도면이다.Therefore, prior to forming the nuclear adhesion layer, the reaction intermediate of the silane (SiHx: x <4) is applied to the wafer surface at a low temperature such that only a portion of the silane is supplied for a predetermined time to decompose a portion thereof, for example, about 400 ° C. It adsorb | sucks and grows the said nuclear adhesion layer based on this. FIG. 18 is a diagram showing a step of burying a buried hole with tungsten using such a method. FIG.

우선, 도 18a에 도시한 바와 같이, 매립 구멍(2)내의 내면을 포함하는 웨이퍼 표면 전체에 차단층(4)이 형성되어 있는 웨이퍼(W)에 대하여, 실란(SiH4)을 단독으로 공급하여 웨이퍼(W)의 표면에 상기 SiHx인 반응 중간체(12)를 부착시키는 이니시에이션(initiation) 처리를 실시한다(도 18a 및 도 18b). 그리고, 다음에 앞서 설명한 바와 같이, 소정 시간만큼 WF6 가스 및 SiH4 가스를 도 18c에 도시한 바와 같이 동시에 공급하여 상기 반응 중간체(12)를 기점으로 하여 텅스텐막을 퇴적시킴으로써 핵 부착층(14)을 형성한다(도 18d).First, as shown in FIG. 18A, silane SiH 4 is supplied alone to the wafer W in which the blocking layer 4 is formed on the entire wafer surface including the inner surface of the buried hole 2. An initiation process of attaching the SiH x phosphorus reaction intermediate 12 to the surface of the wafer W is performed (FIGS. 18A and 18B). Then, as described above, WF 6 for a predetermined time. A gas adhesion layer 14 is formed by simultaneously supplying gas and SiH 4 gas as shown in FIG. 18C and depositing a tungsten film with the reaction intermediate 12 as a starting point (FIG. 18D).

다음에, 도 18e에 도시한 바와 같이, WF6 가스와 H2 가스를 동시에 공급함으로써, 도 18f에 도시한 바와 같이 주요 부분이 되는 텅스텐막(16)을 퇴적시켜 매립 구멍을 매립한다.Next, as shown in FIG. 18E, WF 6 By simultaneously supplying gas and H 2 gas, a tungsten film 16 serving as a main part is deposited as shown in FIG. 18F to fill up the buried holes.

그런데, 상기 웨이퍼 표면에 형성되어 있는 차단층(4)에는 예컨대 Ti의 유기 화합물 공급원을 이용하여 형성하는 경우가 있다. 이 경우, 공급원 가스에 포함되는 탄소 성분이 차단층(4)에 도입되게 되고, 이것이 원인이 되어, 상기 이니시에이션 처리를 실시하더라도 탄소 성분을 포함하는 차단층의 노출된 표면에 의해, SiHx의 반응 중간체의 부착이 불균일해져 핵 부착층(14)이 불균일하게 형성되고, 핵 부착층(14) 자체의 스텝 커버리지(step coverage)가 나빠지고, 결과적으로 주 텅스텐막의 매립성이 나빠 보이드, 볼케이노 등이 형성된다는 문제가 있었다.By the way, the blocking layer 4 formed on the said wafer surface may be formed using the organic compound source of Ti, for example. In this case, the carbon component contained in the source gas is introduced into the barrier layer 4, and this causes the SiHx to be exposed by the exposed surface of the barrier layer containing the carbon component even if the initiation treatment is performed. Non-uniform adhesion of the reaction intermediate forms the non-uniform adhesion layer 14, resulting in poor step coverage of the nuclear adhesion layer 14 itself, resulting in poor embedding of the main tungsten film, voids, volcanoes and the like. There was a problem that it was formed.

또한, 상기 핵 부착층(14)의 두께가 주요한 텅스텐층(16)을 포함하는 전체의 텅스텐막의 두께에 대하여 차지하는 비율이 그다지 크지 않은 경우, 문제는 발생하지 않지만, 미세화에 의해 주 텅스텐막의 두께에 대한 이 핵 부착층(14)의 두께가 차지하는 비율이 무시할 수 없을 정도로 커지면, 상기 핵 부착층(14)의 스텝 커버 리지의 악화에 기인하여 무시할 수 없는 크기의 보이드가 발생되는 문제도 있었다.In addition, when the ratio of the thickness of the nucleus adhesion layer 14 to the thickness of the entire tungsten film including the main tungsten layer 16 is not very large, no problem occurs, but the micronized thickness of the main tungsten film is reduced. If the ratio of the thickness of the nucleus adhesion layer 14 to this becomes so large that it cannot be ignored, there was also a problem in that voids of an insignificant size are generated due to deterioration of the step coverage of the nucleus adhesion layer 14.

이상과 같은 문제는 반도체 제조의 미세화 및 박막화가 더욱 진행되어 매립 구멍의 내경이 예컨대 0.2μm 이하가 되면, 특히 심각한 문제가 되어 현재화(懸在化)되어 왔다.The above problems have become a serious problem especially when the miniaturization and thinning of semiconductor manufacturing are further progressed and the inner diameter of the buried holes is, for example, 0.2 μm or less, and has been developed.

본 발명은 이상과 같은 문제점에 착안하여, 이를 유효하게 해결하고자 창안된 것이다. 본 발명의 목적은 작업처리량을 향상시킬 수 있고, 게다가 매립성을 향상시켜서 예컨대 매립 구멍의 직경이 작더라도, 특성에 악영향을 줄 정도의 크기의 보이드의 발생 또는 볼케이노의 발생을 억제할 수 있어 매립 특성이 양호한 텅스텐막의 형성 방법을 제공하는 데에 있다.The present invention has been devised to solve the above problems and to effectively solve the above problems. An object of the present invention is to improve the throughput, and to improve the embedding properties, for example, even if the diameter of the buried holes is small, it is possible to suppress the occurrence of voids or volcanoes of a size that adversely affects the characteristics, so that the buried It is to provide a method of forming a tungsten film having good characteristics.

청구항 1에 기재된 발명은, 진공 흡인 가능하게 이루어진 처리 용기내에서 피처리체의 표면에 텅스텐막을 형성함에 있어서, 환원 가스를 공급하는 환원 가스 공급 공정 및 텅스텐-함유 가스를 공급하는 텅스텐 가스 공급 공정을, 상기 양 공정 사이에 불활성 가스를 공급하면서 진공 흡인하는 퍼징 공정을 개재시켜서 교대로 반복 실시하도록 하여 초기 텅스텐막을 형성하는 초기 텅스텐막 형성 공정, 상기 처리 용기내에 환원 가스 및 상기 텅스텐-함유 가스를 유동시킴으로써 패시베이션 텅스텐막을 형성하는 패시베이션 텅스텐막 형성 공정, 및 상기 처리 용기에 상기 환원 가스 및 상기 텅스텐-함유 가스를 계속적으로 유동시켜 주 텅스텐막을 형성하는 주 텅스텐막 형성 공정을 갖는 것을 특징으로 하는 텅스텐막 형성 방법이 다.The invention according to claim 1 further includes a reducing gas supply step of supplying a reducing gas and a tungsten gas supply step of supplying a tungsten-containing gas, in forming a tungsten film on a surface of a target object in a processing container configured to be vacuum suctioned. An initial tungsten film forming step of forming an initial tungsten film by alternately repeating a purge process in which vacuum is sucked while supplying an inert gas between the two processes, by flowing a reducing gas and the tungsten-containing gas into the processing vessel. A passivation tungsten film forming step of forming a passivation tungsten film; and a main tungsten film forming step of forming a main tungsten film by continuously flowing the reducing gas and the tungsten-containing gas into the processing container. to be.

이에 따라, 막 두께의 균일성이 높은 핵 부착층으로서의 초기 텅스텐막을 형성하고, 더욱 효율적으로 패시베이션 텅스텐막을 형성하고, 그 후에 주요한 텅스텐막을 퇴적시키도록 했기 때문에, 특히 매립 특성을 개선할 수 있고, 예컨대 매립 구멍의 직경이 작더라도, 특성에 악영향을 줄 정도의 크기의 보이드의 발생 또는 볼케이노의 발생을 억제할 수 있다. 또한, 상기 일련의 3 공정은 동일 처리 용기내에서 연속적으로 이루어지기 때문에, 예컨대 처리 용기를 변경함으로써 발생하는 인큐베이션(incubation) 기간이 없어져, 그 만큼 작업처리량을 향상시키는 것이 가능해진다. This makes it possible to form an initial tungsten film as a nucleus adhesion layer having a high uniformity in film thickness, to form a passivation tungsten film more efficiently, and then to deposit a major tungsten film, so that the embedding characteristics can be particularly improved, for example. Even if the diameter of the buried holes is small, it is possible to suppress the generation of voids or the generation of volcanoes that are large enough to adversely affect the characteristics. In addition, since the above three processes are continuously performed in the same processing container, the incubation period caused by changing the processing container, for example, is eliminated, and the throughput can be improved by that much.

이 경우, 예컨대 청구항 2에 기재된 바와 같이, 상기 패시베이션 텅스텐막 형성 공정에서는 상기 피처리체의 온도를 점차로 상승시킨다. In this case, for example, as described in claim 2, in the passivation tungsten film forming step, the temperature of the target object is gradually increased.

또한, 예컨대, 청구항 3에 기재된 바와 같이, 상기 초기 텅스텐막 형성 공정, 상기 패시베이션 텅스텐막 형성 공정 및 상기 주 텅스텐막 형성 공정의 사이에는 상기 피처리체의 온도를 실질적으로 동일하게 유지하고 있다.For example, as described in Claim 3, the temperature of the to-be-processed object is kept substantially the same between the initial tungsten film forming step, the passivation tungsten film forming step and the main tungsten film forming step.

이와 같이, 각 공정간에 있어서의 공정 온도를 대략 일정하게 유지함으로써 도중에 공정 온도를 승강시킬 필요가 없기 때문에, 그 만큼 작업처리량을 더욱 향상시키는 것이 가능해진다.In this way, it is not necessary to elevate the process temperature in the middle by keeping the process temperature between the processes substantially constant, so that the throughput can be further improved.

또한, 예컨대 청구항 4에 기재된 바와 같이, 상기 처리 용기내의 압력은 상기 초기 텅스텐막 형성 공정 및 상기 패시베이션 텅스텐막 형성 공정에서는 2666 Pa(20 Torr) 이하이며, 상기 주 텅스텐막 형성 공정에서는 2666Pa(20 Torr) 이상이 다.For example, as described in claim 4, the pressure in the processing container is 2666 Pa (20 Torr) or less in the initial tungsten film formation process and the passivation tungsten film formation process, and 2666 Pa (20 Torr) in the main tungsten film formation process. )

또한, 예컨대 청구항 5에 기재된 바와 같이, 상기 텅스텐-함유 가스는 WF6 가스 및 유기 텅스텐-공급원 가스 중 어느 하나이다.Further, as described for example in claim 5, the tungsten-containing gas is WF 6 Gas and organic tungsten-source gas.

또한, 예컨대 청구항 6에 기재된 바와 같이, 상기 환원 가스는 H2 가스, 실란(SiH4), 디실란(Si2H6), 디클로로실란(SiH2Cl2), 디보란(B2H6), 포스핀(PH3) 중 어느 하나로 이루어진다.Further, for example, as described in claim 6, the reducing gas is H 2 gas, silane (SiH 4 ), disilane (Si 2 H 6 ), dichlorosilane (SiH 2 Cl 2 ), diborane (B 2 H 6 ) , Phosphine (PH 3 ) made of any one.

또한, 예컨대 청구항 7에 기재된 바와 같이, 상기 텅스텐-함유 가스는 WF6 가스이며, 상기 환원 가스는 초기 텅스텐막 형성 공정에서는 SiH4 가스이고, 상기 패시베이션 텅스텐막 형성 공정 및 상기 주 텅스텐막 형성 공정에서는 H2 가스이다. Further, as described, for example, in the tungsten-containing gas, WF 6 Gas, and the reducing gas is SiH 4 gas in the initial tungsten film forming step, and H 2 gas in the passivation tungsten film forming step and the main tungsten film forming step.

이하에, 본 발명에 따른 텅스텐막 형성 방법의 한 실시예를 첨부 도면에 기초하여 상술한다.EMBODIMENT OF THE INVENTION Below, one Example of the tungsten film formation method which concerns on this invention is described in detail based on an accompanying drawing.

도 1은 본 발명에 따른 텅스텐막의 형성 방법을 실시하는 열처리 장치를 나타내는 단면 구성도, 도 2는 각 가스의 공급 형태를 나타내는 도면, 도 3은 성막 공정 전체를 통한 각 가스 유량의 일례와 공정 조건의 관계를 나타내는 흐름도, 도 4는 반도체 웨이퍼의 표면에 퇴적된 텅스텐막의 일례를 나타내는 확대 단면도이다. 1 is a cross-sectional configuration diagram showing a heat treatment apparatus for implementing a method for forming a tungsten film according to the present invention, FIG. 2 is a diagram showing a supply mode of each gas, and FIG. 3 is an example of each gas flow rate and process conditions throughout the film formation process. 4 is an enlarged cross-sectional view showing an example of a tungsten film deposited on the surface of a semiconductor wafer.

우선, 본 발명의 방법을 실시하는 열처리 장치에 대하여 설명하면, 이 열 처리장치(20)는, 예컨대 단면이 대략 원통 형상인 알루미늄제의 처리 용기(22)를 갖고 있다. 이 처리 용기(22)내의 천정부에는 유량 제어된 처리 가스로서 예컨대 각 종 성막 가스 또는 캐리어 가스 등을, 선택적으로 도입하기 위한 샤워 헤드부(24)가 O형 고리 등의 밀봉 부재(26)를 통해 설치되어 있고, 이 하면에 설치된 다수의 가스 분사구(28)로부터 처리 공간(S)을 향해서 성막 가스를 분사하도록 되어 있다. 또한, 이 샤워 헤드부(24)내에는 복수의 확산 구멍(25)을 갖는 1장, 또는 여러장의 확산판(27)을 설치하여, 여기에 도입된 가스의 확산을 촉진하도록 한 구조의 것을 사용할 수 있다.First, a heat treatment apparatus for carrying out the method of the present invention will be described. The heat treatment apparatus 20 includes, for example, a processing vessel 22 made of aluminum having a substantially cylindrical cross section. In the ceiling of this processing container 22, a shower head 24 for selectively introducing, for example, various types of film forming gas or carrier gas, as a flow-controlled processing gas is provided through a sealing member 26 such as an O-shaped ring. It is provided, and the film-forming gas is sprayed toward the process space S from many gas injection ports 28 provided in this lower surface. In the shower head portion 24, one or more diffusion plates 27 having a plurality of diffusion holes 25 are provided, and those having a structure in which diffusion of the gas introduced therein can be used. Can be.

이 처리 용기(22)내에는, 처리 용기 저부로부터 기립시킨 원통형의 리플렉터(reflector)(30) 상에, 예컨대 L자형의 3개의 유지 부재(32)(도 1에서는 2개만 기재)를 통해서 피처리체로서의 반도체 웨이퍼(W)를 탑재하기 위한 탑재대(34)가 설치되어 있다.In the processing container 22, the object to be processed is formed on a cylindrical reflector 30 standing up from the bottom of the processing container, for example, through three L-shaped holding members 32 (only two are described in FIG. 1). A mounting table 34 for mounting a semiconductor wafer W as a substrate is provided.

이 탑재대(34)의 하방에는 여러개, 예컨대 3개의 L자형의 리프터 핀(lifter pin)(36)(도시예에서는 2개만 기재)이 상방향으로 기립시켜 설치되어 있고, 이 리프터 핀(36)의 기부는 상기 리프터 핀(30)에 형성한 종방향 삽입 구멍(도시하지 않음)을 삽입하여, 고리 부재(38)에 공통으로 접속되어 있다. 그리고, 이 고리 부재(38)를 처리 용기 저부에 관통하여 설치된 푸쉬봉(40)에 의해 상하 운동시킴으로써, 상기 리프터 핀(36)을 탑재대(34)에 관통시켜 설치한 리프터 핀 구멍(42)에 삽입하여 웨이퍼(W)를 들어 올릴 수 있도록 되어 있다.Below the mounting table 34, several, for example, three L-shaped lifter pins 36 (only two are shown in the illustrated example) are provided to stand in the upward direction, and the lifter pins 36 are provided. The base of is inserted in the longitudinal insertion hole (not shown) formed in the lifter pin 30 and is commonly connected to the ring member 38. And the lifter pin hole 42 which penetrated the said lifter pin 36 through the mounting base 34 by moving this ring member 38 up and down by the push rod 40 provided through the process container bottom part is provided. The wafer W can be lifted by inserting it into the.

상기 푸쉬봉(40)의 용기 저부의 관통부에는, 처리 용기(22)에 있어서 내부의 기밀 상태를 유지하기 위해서 신축 가능한 벨로우즈(bellows)(44)가 개재되며, 이 푸쉬봉(40)의 하단은 액츄에이터(46)에 접속되어 있다.In the penetrating portion of the bottom of the container of the push rod 40, a bellows 44 that is stretchable is interposed in order to maintain an airtight state inside the processing container 22, and a lower end of the push rod 40 is provided. Is connected to the actuator 46.

또한, 처리 용기(22) 저부의 주연부에는 배기구(48)가 설치되고, 이 배기구(48)에는 도시하지 않은 진공 펌프에 접속된 배기 통로(50)가 접속되어 있어, 처리 용기(22)내를 소정의 진공도까지 진공 흡인할 수 있도록 되어 있다. 또한, 처리 용기(22)의 측벽에는 웨이퍼를 반출, 반입할 때에 개폐되는 게이트 밸브(52)가 설치된다.In addition, an exhaust port 48 is provided at the periphery of the bottom of the processing container 22, and an exhaust passage 50 connected to a vacuum pump (not shown) is connected to the exhaust port 48, and the inside of the processing container 22 is opened. The vacuum can be sucked to a predetermined degree of vacuum. Moreover, the gate valve 52 which opens and closes when carrying out and carrying in a wafer is provided in the side wall of the processing container 22. As shown in FIG.

도시하지는 않았지만, 처리 용기(22)내에는 압력을 측정하는 진공계(Capamanometor)가 설치되어 있고, 배기 통로(50)에는 처리 용기(22)내의 압력을 조정하는 압력 조절 밸브(Auto Pressure Control Valve)가 설치되어 있다.Although not shown, the processing vessel 22 is provided with a vacuum gauge (Capamanometor) for measuring the pressure, the exhaust passage 50 is a pressure control valve (Auto Pressure Control Valve) for adjusting the pressure in the processing vessel 22 It is installed.

또한, 탑재대(34) 바로 아래의 처리 용기 저부에는 석영 등의 열선 투과 재료로 이루어진 투과창(54)이 O형 고리 등의 밀봉 부재(56)를 통해서 기밀하게 설치되어 있고, 이 하방에는 투과창(54)을 둘러싸도록 박스형의 가열실(58)이 설치되어 있다. 이 가열실(58)내에는 가열 수단으로서 예컨대 복수의 가열 램프(60)가 반사경도 겸하는 회전대(62)에 부착되어 있고, 이 회전대(62)는 회전축을 통해서 가열실(58)의 저부에 설치한 회전 모터(64)에 의해 회전된다. 따라서, 이 가열 램프(60)로부터 방출된 열선은, 투과창(54)을 투과하여 얇은 탑재대(34)의 하면을 조사하여 이것을 가열하고, 또한 이 탑재대(34) 상의 웨이퍼(W)를 간접적으로 가열할 수 있도록 되어 있다. 이와 같이 가열 램프(60)를 이용함으로써, 웨이퍼(W)의 승온 속도를 매우 빠르게 할 수 있다.In addition, a transmission window 54 made of a heat-transmitting material such as quartz is provided at the bottom of the processing container immediately below the mounting table 34 through a sealing member 56 such as an O-type ring. A box-shaped heating chamber 58 is provided to surround the window 54. In the heating chamber 58, for example, a plurality of heating lamps 60 are attached to the swivel table 62, which also serves as a reflecting mirror, and the swivel table 62 is provided at the bottom of the heating chamber 58 through the rotating shaft. It is rotated by one rotary motor 64. Therefore, the hot wire emitted from this heating lamp 60 penetrates the transmission window 54, irradiates the lower surface of the thin mounting table 34, and heats it, and further, the wafer W on the mounting table 34 is heated. Indirect heating is possible. By using the heating lamp 60 in this way, the temperature increase rate of the wafer W can be made very fast.

다음에, 이상과 같이 구성된 장치를 이용하여 실시되는 본 발명 방법에 대하여 설명한다.Next, the method of this invention implemented using the apparatus comprised as mentioned above is demonstrated.

우선, 처리 용기(22)의 측벽에 설치한 게이트 밸브(52)를 열어 도시하지 않은 반송 아암(arm)에 의해 처리 용기(22)내에 웨이퍼(W)를 반입하고, 리프터 핀(36)을 밀어 올림으로써 웨이퍼(W)를 리프터 핀(36)측에 전달한다. 그리고, 리프터 핀(36)을, 푸쉬봉(40)을 내림으로써 하강시켜서 웨이퍼(W)를 탑재대(34) 상에 탑재한다. 이 웨이퍼(W)의 표면에는 매립 구멍(2)의 내면도 포함하여 전공정에서 이미 하지막으로서 Ti/TiN막과 같은 차단층(4)이 형성되어 있다(도 18a 참조).First, the gate valve 52 provided on the side wall of the processing container 22 is opened, the wafer W is loaded into the processing container 22 by a transfer arm (not shown), and the lifter pin 36 is pushed. The wafer W is transferred to the lifter pin 36 side by raising. The lifter pin 36 is lowered by lowering the push rod 40 to mount the wafer W on the mounting table 34. On the surface of the wafer W, a blocking layer 4 such as a Ti / TiN film is already formed as a base film in the previous step, including the inner surface of the buried hole 2 (see Fig. 18A).

다음에, 도시하지 않은 처리 가스원에서 처리 가스로서 소정의 성막 가스 또는 캐리어 가스 등을, 후술하는 바와 같은 가스 공급 형태로 샤워 헤드부(24)로 소정량씩 공급하고, 이것을 하면의 가스 분사 구멍(28)으로부터 처리 용기(22)내에 대략 균등하게 공급한다. 이와 동시에, 배기구(48)로부터 내부 분위기를 흡인 배기함으로써 처리 용기(22)내를 소정 압력으로 진공 흡인하면서, 또한 탑재대(34)의 하방에 위치하는 가열 수단의 각 가열 램프(60)를 회전시키면서 구동하여, 열 에너지를 방사한다.Next, a predetermined film forming gas, a carrier gas, or the like is supplied as a processing gas from the processing gas source (not shown) to the shower head 24 by a predetermined amount in a gas supply form as described later. It is supplied substantially evenly in the processing container 22 from 28. At the same time, by sucking and evacuating the internal atmosphere from the exhaust port 48, the respective heating lamps 60 of the heating means located under the mounting table 34 are rotated while vacuum sucking the inside of the processing container 22 at a predetermined pressure. It drives while radiating heat energy.

방사된 열선은 투과창(54)을 투과한 후, 탑재대(34)의 이면을 조사하여 이것을 가열한다. 이 탑재대(34)는 상술한 바와 같이 예컨대 1mm 정도로 매우 얇기 때문에 신속히 가열되며, 따라서 이 위에 탑재되어 있는 웨이퍼(W)를 신속하게 소정 온도까지 가열할 수 있다. 상기 공급된 성막 가스는 소정의 화학 반응을 발생시켜, 텅스텐막의 박막이 웨이퍼 표면의 전면에 퇴적하여 형성되게 된다.The radiated hot wire penetrates the transmission window 54, and then irradiates the back surface of the mounting table 34 to heat it. Since the mounting table 34 is very thin, for example, about 1 mm as described above, the mounting table 34 is rapidly heated, and therefore, the wafer W mounted thereon can be quickly heated to a predetermined temperature. The supplied film forming gas generates a predetermined chemical reaction so that a thin film of tungsten film is deposited on the entire surface of the wafer surface.

본 발명 방법에서는 성막 처리 전체는 도 2에 도시한 바와 같이 초기 텅스텐막 형성 공정(79), 패시베이션 텅스텐막 형성 공정(84) 및 주 텅스텐막 형성 공 정(80)에 의해 형성되어 있다. 여기에서, 도 2를 참조하여 성막 처리 전체에 걸친 각 가스의 공급 형태를 구체적으로 설명한다. In the method of the present invention, the entire film forming process is formed by an initial tungsten film forming process 79, a passivation tungsten film forming process 84, and a main tungsten film forming process 80 as shown in FIG. Here, with reference to FIG. 2, the supply form of each gas through the film-forming process is demonstrated concretely.

도 2에서는 3 종류의 가스 공급 형태가 나타나 있고, 각 형태에 있어서, 캐리어 가스로서 예컨대 Ar, N2 가스가, 유량을 일정하게 하거나, 또는 필요에 따라 유량을 변경하면서, 연속적으로 공급되고 있다. 또한, 마찬가지로, 처리 용기(22)내에도 일련의 공정 동안에 연속적으로 진공 흡인되고 있다.In FIG. 2, three types of gas supply modes are shown, and in each mode, Ar, N 2 gas, for example, is continuously supplied while the flow rate is fixed or the flow rate is changed as necessary. Similarly, the vacuum is sucked in the processing container 22 continuously during a series of processes.

여기에서는, 텅스텐-함유 가스로서는 WF6 가스를 이용하고, 환원 가스로서는 H2 가스, 또는 이 H2 가스보다 환원력이 강한 SiH4 가스를 이용하고 있다. 또한, 이하에 설명하는 초기 텅스텐막 형성 공정, 패시베이션 텅스텐막 형성 공정 및 주 텅스텐막 형성 공정의 각 공정은 이 동일 처리 용기(22)내에서 연속적으로 실시되게 된다.Here, as a tungsten-containing gas, WF 6 A gas is used, and as a reducing gas, H 2 gas or SiH 4 gas having stronger reducing power than this H 2 gas is used. In addition, each process of the initial tungsten film formation process, the passivation tungsten film formation process, and the main tungsten film formation process demonstrated below is performed in this same process container 22 continuously.

우선, 도 2a에 도시한 초기 텅스텐막 형성 공정의 가스 공급 형태는, 도 3에도 도시한 바와 같이 환원 가스인 SiH4 가스를 공급하는 환원 가스 공급 공정(70)과 텅스텐-함유 가스인 WF6 가스를 공급하는 텅스텐 가스 공급 공정(72)을, 이들 양 공정 사이에 불활성 가스로서의 캐리어 가스를 공급하면서 진공 흡인하는 퍼징 공정(74)을 개재시켜서, 교대로 여러번 반복 실시하도록 하여 초기 텅스텐막(76)(도 4참조)을 형성하고 있다. 즉, SiH4 가스의 공급 및 WF6 가스의 공급을 교대로 반복 실시하고, 이들 반복 공정 사이에 퍼징 공정(74)을 개재시킴으로써, 초기 텅스텐막 형성 공정을 실시한다. 그리고, 이 초기 텅스텐막 형성 공정의 마지막은 환원 가스 공급 공정(70)으로 종료하고 있다. 그리고, 처리 용기(22)내가 기판(웨이퍼) 표면에 SiH4 가스로 SiHx를 부착시킴으로써, 다음의 패시베이션막 형성 공정(84), 주 텅스텐막 형성 공정(80)에서 막이 효과적으로 형성되기 쉬워진다. 이 점은 도 2b 및 도 2c에 있어서도 동일하다.First, the gas supply form of the initial tungsten film formation process shown in FIG. 2A is a reducing gas supply process 70 for supplying SiH 4 gas, which is a reducing gas, and WF 6 , which is a tungsten-containing gas, as shown in FIG. 3. The initial tungsten film 76 is subjected to the tungsten gas supplying step 72 for supplying gas through a purging step 74 for vacuum suction while supplying a carrier gas as an inert gas between the two steps, and alternately repeated several times. ) (See Fig. 4). That is, supply of SiH 4 gas and WF 6 The supply of gas is repeatedly performed alternately, and the initial tungsten film formation process is performed by interposing the purging process 74 between these repeating processes. The end of this initial tungsten film forming step ends with the reducing gas supply step 70. By attaching SiHx to the surface of the substrate (wafer) with a SiH 4 gas inside the processing container 22, a film is easily formed in the next passivation film forming step 84 and the main tungsten film forming step 80. This point is the same also in FIG. 2B and FIG. 2C.

이렇게 하여, 초기 텅스텐막(76)을 형성했으면, 다음으로 환원 가스로서 이번에는 SiH4 가스 대신에 H2 가스를 이용하여, 본 발명이 특징으로 하는 패시베이션 텅스텐막(82)(도 4 참조)을 형성하는 패시베이션 텅스텐막 형성 공정(84)을 연속적으로 실시하고 있다. 한편, 여기에서도 불활성 가스, 예컨대 Ar, N2 가스 등을 계속해서 유동시키고 있다. 이 패시베이션 텅스텐막 형성 공정(84)에서는 주 텅스텐막 형성 공정(80)과 동일 가스종, 즉 WF6 가스와 H2 가스를 이용하고 있고, 단, WF6 가스를 유동시키기 전에 H2 가스를 유동시켜서, 그 유량을 일정하게 유지하는 동시에, 다음에, 텅스텐-함유 가스를 유동시켜서 그 유량을 조금씩 증가하도록 변화시키는 동시에, 처리 용기(22)내의 압력(공정 압력) 및 기판 온도를 점차로 상승시키고 있다(도 3 참조). 이 패시베이션막 형성 공정(84)의 기간(T5)은 예컨대 3 내지 90초이고, 바람직하게는 10 내지 60초이다. 이 경우, 처리 용기(22)내의 압력 및 기판 온도를 일정하게 유지할 수도 있다.After the initial tungsten film 76 is formed in this way, the passivation tungsten film 82 (see Fig. 4) is characterized by using H 2 gas instead of SiH 4 gas as the reducing gas. The passivation tungsten film formation process 84 to form is performed continuously. On the other hand, an inert gas such as Ar, N 2 gas, etc. is continuously flowing here as well. In this passivation tungsten film forming step 84, the same gas species as the main tungsten film forming step 80, that is, WF 6 Gas and H 2 gas are used, except WF 6 The H 2 gas is flowed before the gas is flowed to keep the flow rate constant, and then the tungsten-containing gas is flowed to change the flow rate in small increments, and the pressure in the processing vessel 22 (process Pressure) and substrate temperature are gradually raised (see FIG. 3). The period T5 of the passivation film forming step 84 is, for example, 3 to 90 seconds, preferably 10 to 60 seconds. In this case, the pressure in the processing container 22 and the substrate temperature can be kept constant.

구체적으로는, 도 3에 도시한 바와 같이, 상기 초기 텅스텐막 형성 공정(79) 의 단시간의 퍼징 공정(74)을 실시한 뒤에, 상기 WF6 가스를 처리 용기(22)내에 넣지 않고 배기 라인으로 유동시키고, 예컨대 1 내지 30초, 바람직하게는 3 내지 5초 정도 질량 유량계를 안정시키고, WF6 가스의 유량을 안정시킨다. 이 WF6 가스의 유량이 안정된 △t초 후에 WF6 가스를 처리 용기(22)내에 유동시켜서 WF6 가스의 유량을 서서히 증가시킨다. Specifically, as shown in FIG. 3, after the short time purging step 74 of the initial tungsten film forming step 79 is performed, the WF 6 The gas is allowed to flow into the exhaust line without being placed in the processing vessel 22, for example to stabilize the mass flow meter for 1 to 30 seconds, preferably 3 to 5 seconds, and WF 6 Stabilize the flow of gas. This WF 6 WF 6 after Δt seconds of stable gas flow Gas was flowed into the processing vessel 22 to provide WF 6 Slowly increase the flow of gas.

또한 H2 가스의 공급은 WF6 가스의 유량이 안정된 △t초 전에 처리 용기(22)내에 공급된다. 이 패시베이션 텅스텐막 형성 공정에 의해 상기 초기 텅스텐막상에 패시베이션 텅스텐막이 형성된다. In addition, the supply of H 2 gas is WF 6 The flow rate of the gas is supplied into the processing vessel 22 before the stable? T seconds. A passivation tungsten film is formed on the initial tungsten film by this passivation tungsten film forming step.

상기한 바와 같이, WF6 가스의 유량을 소량에서 서서히 증가시키는 이유는 매우 얇게 한 패시베이션막을 형성함으로써, 주 텅스텐막 형성 공정에서의 WF6 가스로부터의 손상을 억제하고, 보호막의 상기 초기 텅스텐막을 보강하는 것을 목적으로 하고 있기 때문이다. 이에 따라, 초기 텅스텐막 형성 공정(79)의 성막 시간을 짧게 함으로써 전체 성막 시간을 단축할 수 있어, 작업처리량의 향상을 꾀할 수 있다.As mentioned above, WF 6 The reason why the flow rate of the gas is gradually increased in a small amount is that WF 6 in the main tungsten film forming process is formed by forming a very thin passivation film. This is because it is intended to suppress damage from gas and to reinforce the initial tungsten film of the protective film. As a result, by shortening the film formation time of the initial tungsten film forming step 79, the overall film forming time can be shortened, and the throughput can be improved.

즉, 패시베이션 텅스텐막 형성은 H2 가스의 공급량을 소정 유량으로 공급하고, 상술한 바와 같이 WF6 가스는 소정 시간에 걸쳐서 주 텅스텐막 형성 공정(80)에서의 공급량까지 조금씩 증가시킴으로써, 하지층으로의 WF6(불소)의 손상을 최소로 하기 위해서는 WF6 가스의 공급량을 적게 할 필요가 있다. 그러나, 매립을 얻기 위해서는 WF6 가스는 많게 할 필요가 있다. 이것을 양립하기 위해서, 먼저 H2 가스를 공급하고, 그리고 얼마 후에 WF6 가스의 공급을 개시하여 그 공급량을 점차로 크게 하도록 하고 있다.That is, the passivation tungsten film formation supplies the supply amount of H 2 gas at a predetermined flow rate, and as described above, WF 6 In order to do damage to the WF 6 gas (fluorine) of the by gradually increases to supply in the main tungsten film forming step 80 for a predetermined time, the base layer to a minimum WF 6 It is necessary to reduce the amount of gas supplied. However, to get landfill WF 6 The gas needs to be a lot. To achieve this, first supply H 2 gas, and after some time WF 6 Supply of gas is started and the supply amount is made to increase gradually.

도 3에서는 이 패시베이션 텅스텐막 형성 공정(79)에 있어서의 공정 압력은 13330Pa 이하의 압력 범위에서, 예컨대 바람직하게는 1000Pa(7.5 Torr) 내지 10610Pa(80 Torr)까지 직선적으로 증가시키고 있고, 또한, 공정 온도는 300℃ 내지 450℃의 온도 범위에서, 예컨대 바람직하게는 350 내지 410℃까지 직선적으로 증가시키고 있다. 또한, 처리 시간은 10 내지 60초가 바람직하고, 승온, 승압의 조건에서는 20초 내지 40초가 보다 바람직하다. 또한, 온도를 일정하게 처리한 경우에는 기판의 온도 변화가 없기 때문에, 처리 시간은 10 내지 20초가 좋다. In FIG. 3, the process pressure in this passivation tungsten film formation process 79 is linearly increasing in the pressure range of 13330 Pa or less, for example, preferably 1000 Pa (7.5 Torr) to 10610 Pa (80 Torr), and also the process The temperature is linearly increased in the temperature range from 300 ° C to 450 ° C, for example preferably from 350 to 410 ° C. Moreover, 10 to 60 second is preferable and, as for processing time, 20 second-40 second are more preferable on conditions of a temperature rising and a pressure raising. In addition, when temperature is processed uniformly, since there is no temperature change of a board | substrate, 10-20 second of processing time is good.

다음에, 상기 패시베이션 텅스텐막 형성 공정(84)이 종료했다면, 그대로의 WF6 가스의 유량을 유동시키고, H2 가스의 유량을 감소시켜 각각 유동시키면서 주 텅스텐막 형성 공정(80)을 계속하여 실시한다. 한편, 여기에서도 캐리어 가스로서 불활성 가스, 예컨대 Ar, N2 가스 등을 계속해서 유동시키고 있다. 이렇게 해서 소정 시간만큼 주 텅스텐막 형성 공정(84)을 실시하여, 예컨대 매립 구멍(2)을 주 텅스텐막(78)에서 완전히 매립한다. 이 때의 공정 압력, 공정 온도는 패시베이션 텅스텐막 형성 공정이 종료된 시점에서 실질적으로 변동시키고 있지 않고, 각각 일정 하게 유지한다.Next, if the passivation tungsten film forming step 84 is completed, the main tungsten film forming step 80 is continued while flowing the flow rate of the WF 6 gas as it is, decreasing the flow rate of the H 2 gas, and flowing the respective ones. do. On the other hand, here again, an inert gas such as Ar, N 2 gas, etc. is continuously flowed as a carrier gas. In this way, the main tungsten film forming step 84 is performed for a predetermined time, for example, the buried holes 2 are completely filled in the main tungsten film 78. The process pressure and the process temperature at this time are not substantially changed at the end of the passivation tungsten film forming step, and are kept constant.

여기에서, 초기 텅스텐막 형성 공정에 있어서, 어떤 환원 가스 공급 공정(70)으로부터 다음의 환원 가스 공급 공정(70)까지의 기간을 1 사이클이라 하면, 도 2a의 경우에는 3 사이클 실시하고 있지만, 이 사이클수는 특별히 한정되지 않는다. Here, in the initial tungsten film forming step, if the period from one reducing gas supply step 70 to the next reducing gas supply step 70 is one cycle, three cycles are performed in the case of FIG. 2A. The number of cycles is not particularly limited.

또한, 각 환원 가스 공급 공정(70)의 기간(T1), 및 각 텅스텐 가스 공급 공정(72)의 기간(T2)은 각각 0.5 내지 30초이고, 바람직하게는 1.5 내지 10초이며, 또한, 퍼징 공정(74)의 기간(T3)은 0 내지 30초이고, 바람직하게는 0 내지 10초이다. 또한, 상기 퍼징 공정은 진공 흡인만을 실시하도록 할 수 있다. 바람직하게는, 환원 가스 공급 공정(70), 텅스텐 가스 공급 공정(72) 및 퍼징 공정(74)을 통해, 환원 가스, 텅스텐-함유 가스 및 불활성 가스의 전체 압력(Total Pressure)이 일정해 지도록 제어한다. 가스의 전체 압력을 일정하게 함으로써, 웨이퍼(피처리체)의 온도 또는 피복되는 가스의 흡착량을 일정하게 유지할 수 있기 때문이다. 상기 가스의 전체 압력의 제어는 처리 용기(22)에 부착된 진공계에 의해 처리 용기(22)내의 압력을 측정하고, 그 압력이 일정해지도록 배기 통로(50)에 부착된 압력 조절 밸브를 조정함으로써 실시한다.The period T1 of each reducing gas supply step 70 and the period T2 of each tungsten gas supply step 72 are 0.5 to 30 seconds, preferably 1.5 to 10 seconds, and further purging. The period T3 of step 74 is 0 to 30 seconds, preferably 0 to 10 seconds. In addition, the purging step may be performed only vacuum suction. Preferably, the reducing gas supply process 70, the tungsten gas supply process 72, and the purging process 74 control the total pressure of the reducing gas, the tungsten-containing gas, and the inert gas to be constant. do. This is because the temperature of the wafer (object to be processed) or the adsorption amount of the gas to be coated can be kept constant by making the total pressure of the gas constant. The control of the total pressure of the gas is performed by measuring the pressure in the processing vessel 22 by a vacuum gauge attached to the processing vessel 22 and adjusting the pressure regulating valve attached to the exhaust passage 50 so that the pressure is constant. Conduct.

여기에서, 퍼징 공정(74) 시간에 대하여 평가했기 때문에, 그 결과에 대해서 설명한다. 도 5는 처리 용기내에서의 실란(SiH4)의 분압의 분포 상태를 나타내는 도면이며, 도 5a는 샤워 헤드부(24)내에 확산판(27)을 설치하고 있는 경우를 나타 내며, 도 5b는 샤워 헤드부(24)내에 확산판을 설치하고 있지 않은 경우를 나타낸다. 도면 중, 횡축은 웨이퍼 중심으로부터의 반경 방향으로의 거리를 나타내고 있다. 여기에서는, SiH4의 공급 정지 직후, 수초(0 내지 3초)의 퍼징을 행했을 때의 웨이퍼 상의 잔류 SiH4의 분압을 측정하고 있다. Here, since it evaluated about the time of the purging process 74, the result is demonstrated. FIG. 5 is a diagram showing a distribution state of partial pressure of silane (SiH 4 ) in the processing container, and FIG. 5A shows a case where the diffusion plate 27 is provided in the shower head portion 24, and FIG. 5B is The case where the diffuser plate is not provided in the shower head part 24 is shown. In the figure, the horizontal axis represents the distance from the wafer center in the radial direction. In this case, the wafer and measure the partial pressure of the remaining SiH 4 on the line when the supply of purging immediately after the stop of the SiH 4, a few seconds (0-3 seconds).

도 5로부터 분명한 바와 같이, 샤워 헤드부내에 분산판을 설치한 쪽(도 5a)이 조금 빨리 낮은 분압이 되고, 도 5a에 도시한 경우에느, 대략 1.5초 정도의 퍼징 공정을 실시하는 것으로 SiH4의 분압을 1×10-1 Pa 정도까지 저하시킬 수 있고, 또한, 도 5b에 도시한 경우에는 대략 3초 정도의 퍼징 공정을 실시함으로써 SiH4의 분압을 1×10-1 Pa 정도까지 저하시킬 수 있음이 판명된다. 한편, 샤워 헤드부의 가스 분사구(28)를 가늘게 함으로써도 동일한 효과(확산판과 동일한 효과)를 얻을 수 있다.As is apparent from Fig. 5, when the dispersion plate is provided in the shower head portion (Fig. 5A), the partial pressure is lowered a little earlier, and in the case shown in Fig. 5A, a purge step of about 1.5 seconds is performed. The partial pressure of 4 can be reduced to about 1 × 10 −1 Pa, and when shown in FIG. 5B, the partial pressure of SiH 4 is reduced to about 1 × 10 −1 Pa by performing a purging step of about 3 seconds. It turns out that it can. On the other hand, the same effect (same effect as a diffusion plate) can be obtained also by thinning the gas injection port 28 of a shower head part.

따라서, 샤워 헤드부의 구조에 관계없이, 적어도 3초 정도의 퍼징 공정을 실시하면, 잔류 실란의 분압을 제로로 하여 기상 반응에 의한 영향을 무시하는 것이 가능해진다. Therefore, irrespective of the structure of the shower head portion, if the purging step is performed for at least 3 seconds, the partial pressure of the residual silane can be zero, and the influence of the gas phase reaction can be ignored.

또한, 도 2로 되돌아가, 여기에서의 SiH4 가스 또는 WF6 가스의 유량은 비교적 소량으로 하여 이들의 분압비를 작게 한다. 또한, 공정 온도도 예컨대 200 내지 500℃에서 바람직하게는 250 내지 450℃로 낮게 설정한다. 또한, 초기 텅스텐막의 1 사이클의 막 두께는 1 내지 50Å이고, 바람직하게는 3 내지 20Å이 형성된 다.2, the SiH 4 gas or WF 6 here The flow rate of the gas is made relatively small so that the partial pressure ratio thereof is reduced. In addition, the process temperature is set low, for example, at 200 to 500 ° C, preferably at 250 to 450 ° C. Moreover, the film thickness of one cycle of an initial tungsten film is 1-50 microseconds, Preferably 3-20 microseconds is formed.

또한, 주 텅스텐막 형성 공정(80)의 시간은 형성해야 할 막 두께에 의존한다. 여기에서는 WF6 가스의 유량, H2 가스의 유량을 함께 많이 하면서, 공정 압력, 공정 온도도 약간 올려 성막 속도를 크게 설정한다. In addition, the time of the main tungsten film forming process 80 depends on the film thickness to be formed. WF 6 here While increasing the flow rate of the gas and the flow rate of the H 2 gas, the process pressure and the process temperature are also slightly raised to set the film formation rate large.

이에 따라, 웨이퍼(W)의 표면에는 초기 텅스텐막(76)이 비교적 균일하면서 양호하게 부착되어 퇴적되게 된다. 이 초기 텅스텐막(76)은 도 18c 중의 핵 부착층(14)으로서 기능하는 것으로, 따라서, 이 위에 주 텅스텐막(78)을 매립성이 양호한 상태로 퇴적시키는 것이 가능해진다.As a result, the initial tungsten film 76 is relatively uniformly attached to the surface of the wafer W and is deposited well. This initial tungsten film 76 functions as the nucleus adhesion layer 14 in Fig. 18C, and thus the main tungsten film 78 can be deposited thereon in a good embedding state.

또한, 본 발명이 특징으로 하는 패시베이션 텅스텐막 형성 공정(84)에서는, WF6 가스를 조금씩 증가시키도록 하여 변화시키면서 공정 압력도 조금씩 증가시키도록 하여 패시베이션 텅스텐막(82)(도 4 참조)을 형성하도록 하고 있기 때문에, 초기 텅스텐막(76)의 차단성을 보강하는 역할을 가져, 초기 텅스텐막(76)을 매우 얇게 할 수 있다. 또한, 고저항인 초기 텅스텐막(76)의 효과도 작게 하는 효과도 기대할 수 있다. In the passivation tungsten film forming step 84 of the present invention, the passivation tungsten film 82 (see FIG. 4) is formed by increasing the process pressure little by little while increasing the WF 6 gas gradually. Since this serves to reinforce the blocking property of the initial tungsten film 76, the initial tungsten film 76 can be made very thin. In addition, the effect of decreasing the effect of the initial stage tungsten film 76 of high resistance can also be expected.

이와 같은 이유로, 이 패시베이션 텅스텐막이, 소위 WF6에 대한 패시베이션막, 또는 차단막으로서 기능하고, 이에 따라 주 텅스텐막을 형성할 때의 WF6의 F의 확산에 의한 Ti막으로의 손상을 억제하여, 한층 더 매립 특성을 개선할 수 있게 된다.For this reason, this passivation tungsten film functions as a so-called passivation film for WF 6 , or a blocking film, thereby suppressing damage to the Ti film due to diffusion of F of WF 6 when forming the main tungsten film, and further, It is possible to further improve the landfill characteristics.

즉, 이 패시베이션 텅스텐막(82)의 막질 특성(차단성)이 향상되어, 예컨대 불소 원자가 이 하층으로 확산하는 것을 큰 폭으로 억제할 수 있다.That is, the film quality (blocking property) of the passivation tungsten film 82 is improved, and for example, the diffusion of fluorine atoms into this lower layer can be greatly suppressed.

또한, 초기 텅스텐막 형성 공정(79), 패시베이션 텅스텐막 형성 공정(84) 및 주 텅스텐막 형성 공정(82)의 3 공정을 모두 동일한 처리 용기(22)내에서 연속적으로 실시할 수 있기 때문에, 반도체 웨이퍼의 반송 시간을 없애고, 또한 주 텅스텐막 형성 공정(80)의 처음의 인큐베이션 기간도 없앨 수 있기 때문에, 그 만큼 작업처리량을 향상시킬 수 있다.In addition, since all three processes of the initial tungsten film formation process 79, the passivation tungsten film formation process 84, and the main tungsten film formation process 82 can be performed continuously in the same process container 22, a semiconductor Since the conveyance time of a wafer can be eliminated and the initial incubation period of the main tungsten film formation process 80 can also be eliminated, the throughput can be improved by that much.

또한, 도 2b에 도시한 가스 공급 형태는 상기 도 2a에 도시한 가스 공급 형태 중에서, 반복되는 환원 가스 공급 공정 중의 처음의 환원 가스 공급 공정(70A)에 있어서, 환원 가스의 분압(Torr)과 공급 시간(sec)의 곱으로 이루어진 파라미터를, 다른 환원 가스 공급 공정(70)의 상기 파라미터(Torr·sec)보다 커지도록 설정하고 있다. 여기에서는, 이 SiH4 가스의 유량을 바꾸지 않고 처음의 환원 가스 공급 공정(70A)의 기간(T4)을 길게, 예컨대 1 내지 120초, 바람직하게는 15 내지 90초 실시함으로써 파라미터(Torr·sec)값을 크게 하고 있다.In addition, in the gas supply form shown in FIG. 2B, the partial pressure Torr and supply of the reducing gas are supplied in the first reduction gas supply process 70A during the repeated reduction gas supply process among the gas supply forms shown in FIG. 2A. The parameter which consists of the product of time (sec) is set so that it may become larger than the said parameter (Torrsec) of the other reducing gas supply process 70. As shown in FIG. Here, the parameter (Torrsec) is performed by lengthening the period T4 of the first reducing gas supplying step 70A without changing the flow rate of the SiH 4 gas, for example, 1 to 120 seconds, preferably 15 to 90 seconds. The value is increasing.

이와 같이, 처음의 SiH4 가스의 공급 공정만을 예컨대 길게 함으로써, 앞서 도 14b를 참조하여 설명한 바와 같이, 웨이퍼(W)의 표면에 대하여 이니시에이션 처리가 이루어짐으로써, 이 표면에 SiHx인 반응 중간체가 부착되게 된다. 따라서, 이 위에 퇴적되게 되는 상기 초기 텅스텐막(76)이 성장하기 쉬워지고, 이상 성장도 억제되어 막 두께를 균일하게 형성하는 것이 가능해진다. 여기에서, 도 2b의 가스 공급 형태에 있어서의 각 공정 조건에 대하여 설명한다. 한편, 도 2a, 도 2c에 나 타내는 경우도 대응 부분은 동일 공정 조건이다.Thus, by only lengthening the initial SiH 4 gas supply step, for example, as described above with reference to FIG. 14B, an initiation treatment is performed on the surface of the wafer W, whereby the reaction intermediate which is SiHx is formed on the surface. Will be attached. Therefore, the initial tungsten film 76 deposited on this easily grows, abnormal growth is also suppressed, and the film thickness can be formed uniformly. Here, each process condition in the gas supply form of FIG. 2B is demonstrated. In addition, in the case shown in FIG. 2A and FIG. 2C, a corresponding part is the same process conditions.

최초의 환원 가스 공급 공정(70A)에 있어서의 가스비, SiH4/캐리어 가스= 100 내지 1000 sccm/1000 내지 10000 sccm이며, 공정 압력은 20 내지 100 Torr(2666 내지 13330 Pa), 공정 시간(T4)은 5 내지 90초이다. 이 때의 공정 온도에 대하여 상한치는 볼케이노의 발생을 회피하거나, 스텝 커버리지의 향상을 고려하여 200 내지 500℃이고, 바람직하게는 250 내지 450℃이다.Gas ratio in the first reducing gas supply process 70A, SiH 4 / carrier gas = 100 to 1000 sccm / 1000 to 10000 sccm, process pressure 20 to 100 Torr (2666 to 13330 Pa), process time (T4) Is 5 to 90 seconds. The upper limit with respect to the process temperature at this time is 200 to 500 ° C, preferably 250 to 450 ° C, in order to avoid the generation of volcano or to improve the step coverage.

또한, 이 때의 SiH4 가스의 분압과 공급 시간의 곱의 파라미터(Torr·sec)에 대하여 볼케이노의 발생을 회피하기 위해서 10 내지 300(Torr·sec), 바람직하게는 30 내지 200(Torr·sec)이다. In addition, 10 to 300 (Torr · sec) in order to avoid the occurrence of volcano respect to parameters (Torr · sec) at this time of the SiH 4 the product of the gas partial pressure and supply time, preferably from 30 to 200 (Torr · sec )to be.

초기 텅스텐 형성 공정에 있어서, 2회째 이후의 환원 가스 공급 공정(70)에 있어서의 가스비, SiH4/캐리어 가스= 50 내지 500sccm/2000 내지 12000sccm, 기간(T1)은 1 내지 15초이며, 공정 압력은 1 내지 20 Torr(133.3 내지 2666 Pa), 공정 온도는 200 내지 500℃이고, 바람직하게는 250 내지 450℃이다. 이 처리조건으로 SiHx를 부착시킨다.In the initial tungsten forming step, the gas ratio in the second and subsequent reducing gas supply step 70, SiH 4 / carrier gas = 50 to 500 sccm / 2000 to 12000 sccm, and the period T1 are 1 to 15 seconds, and the process pressure Silver is 1 to 20 Torr (133.3 to 2666 Pa), the process temperature is 200 to 500 ° C, preferably 250 to 450 ° C. SiHx is attached under this treatment condition.

또한, 텅스텐 가스 공급 공정(72)에 있어서의 가스비, WF6/캐리어 가스= 5 내지 300 sccm/200 내지 12000 sccm, 기간(T2)은 1 내지 15초이며, 공정 압력은 1 내지 20 Torr(133.3 내지 2666 Pa), 공정 온도는 200 내지 500℃이고, 바람직하게는 250 내지 450℃이다. 이 처리 조건으로 제 2 텅스텐막이 형성된다. 이렇게 하여, 환원 가스 공급과 텅스텐 가스 공급 공정을 교대로 반복하여 초기 텅스텐막이 형성된다.Further, the gas ratio in the tungsten gas supplying process 72, WF 6 / carrier gas = 5 to 300 sccm / 200 to 12000 sccm, the period T2 is 1 to 15 seconds, and the process pressure is 1 to 20 Torr (133.3). To 2666 Pa), the process temperature is 200 to 500 ° C, preferably 250 to 450 ° C. Under this treatment condition, a second tungsten film is formed. In this way, the initial tungsten film is formed by alternately repeating the reducing gas supply and the tungsten gas supply processes.

여기에서, 환원 가스 공급 공정(70) 및 텅스텐 가스 공급 공정(72)에 대하여 세부적으로 설명하면, 도 6은 대략 280℃에서의 실란의 파라미터(Torr·sec)와 1 사이클당 형성되는 막 두께의 관계를 나타내는 그래프이며, 파라미터가 0.2 이상에서는 막 두께는 대략 포화되어 있는 데 반하여, 0.2 보다도 작으면 막 두께는 파라미터의 크기에 의존하지만, 전체적으로 소정 두께의 초기 텅스텐막(76)을 형성하기 위해서는, 1 사이클로 형성할 수 있는 막 두께를 안정화시키는 범위이면, 파라미터를 0.1 내지 10, 바람직하게는 0.2 내지 5로 설정함으로써, 다양한 공정 조건의 범위에서 막 두께는 포화되어 안정화시킬 수 있다.Here, the reduction gas supply step 70 and the tungsten gas supply step 72 will be described in detail. FIG. 6 shows the parameters of the silane at about 280 ° C. (Torr · sec) and the film thickness formed per cycle. It is a graph showing the relationship. When the parameter is 0.2 or more, the film thickness is substantially saturated, whereas when the parameter is smaller than 0.2, the film thickness depends on the size of the parameter, but in order to form the initial tungsten film 76 having a predetermined thickness as a whole, By setting the parameter to 0.1 to 10, preferably 0.2 to 5 as long as the film thickness can be formed in one cycle, the film thickness can be saturated and stabilized in a range of process conditions.

도 7은 대략 280℃에서의 WF6의 파라미터(Torr·sec)와 1 사이클당 형성되는 막 두께의 관계를 나타내는 그래프이며, 파라미터가 0.04 이상에서는 막 두께가 대략 포화되어 있는 데 반해, 0.04보다 작으면 막 두께는 파라미터의 크기에 의존하지만, 상기한 바와 같이, 1 사이클로 형성되는 막 두께를 안정화시키기 위해서는 파라미터를 0.01 내지 10, 바람직하게는 0.04 내지 5로 설정한다.FIG. 7 is a graph showing the relationship between the parameter (Torr sec) of WF 6 at about 280 ° C. and the film thickness formed per cycle. When the parameter is 0.04 or more, the film thickness is approximately saturated, but is smaller than 0.04. If the film thickness depends on the size of the parameter, as described above, in order to stabilize the film thickness formed in one cycle, the parameter is set to 0.01 to 10, preferably 0.04 to 5.

또한, 도 8은 가스 공급 1 사이클당 형성되는 막 두께의 온도 의존성을 나타내는 그래프이다. 여기에서는, SiH4와 WF6을 교대로 90회(90 사이클) 공급했을 경우의 1 사이클당의 막 두께를 나타내고 있다. 또한, 횡축에는 실제의 웨이퍼 온도를 취하고 있다.8 is a graph showing the temperature dependence of the film thickness formed per one gas supply cycle. Here, the film thickness per cycle when SiH 4 and WF 6 are alternately supplied 90 times (90 cycles) is shown. The actual axis temperature is taken as the horizontal axis.

이 그래프에서 분명한 바와 같이, 웨이퍼 온도가 100℃ 이하에서는 W막이 퇴 적되지 않고, 200 내지 300℃까지는 W막의 성막 속도는 온도의 상승과 함께 느슨히 증가하며, 그 후, 300℃ 이상에서는 온도의 상승과 함께, 성막 속도는 급격히 증가하고 있음이 판명된다. 따라서, 웨이퍼 온도(공정 온도보다 약간 낮다)는 막 두께의 관점에서는 100℃ 이상으로 설정하는 것이 좋음을 알 수 있다.As is clear from this graph, the W film is not deposited at the wafer temperature of 100 ° C. or lower, and the deposition rate of the W film increases loosely with increasing temperature until 200 to 300 ° C., and then at 300 ° C. or higher, With the increase, it turns out that the film formation speed is rapidly increasing. Therefore, it can be seen that the wafer temperature (slightly lower than the process temperature) is preferably set to 100 ° C or higher from the viewpoint of the film thickness.

또한, 도 9는 WF6 가스의 파라미터(Torr·sec)와 1셀당 볼케이노 발생 개수의 관계를 나타낸 그래프이다. 여기에서 1셀이란 약 5만개의 콘택트 홀이 포함되는 집합체를 말한다. 이 그래프에 따르면, 파라미터가 0.5 이하에서는 볼케이노의 발생은 제로이지만, 0.5보다 커지면, 발생하는 볼케이노의 수가 대략 비례적으로 증가하는데, 다양한 공정 조건의 범위에서 WF6 가스의 파라미터는 0.01 내지 0.6이고, 바람직하게는 0.04 내지 0.5이다. 이 경우, 볼케이노의 발생을 억제하기 위한 초기 텅스텐막(76)의 두께는 매립 구멍(2)의 내경에도 좌우되지만, 예컨대 10 내지 200Å 정도이며, 바람직하게는 20 내지 150Å 정도이다.In addition, Figure 9 is WF 6 It is a graph showing the relationship between the gas parameter (Torrsec) and the number of volcanoes generated per cell. Here, one cell refers to an aggregate containing about 50,000 contact holes. According to this graph, the generation of volcanoes is zero when the parameter is less than 0.5, but when it is greater than 0.5, the number of generated volcanoes increases approximately proportionally, WF 6 over a range of process conditions. The parameter of the gas is 0.01 to 0.6, preferably 0.04 to 0.5. In this case, the thickness of the initial tungsten film 76 for suppressing the generation of volcano depends on the inner diameter of the buried hole 2, for example, about 10 to 200 kPa, preferably about 20 to 150 kPa.

다음에, 패시베이션 텅스텐막 형성 공정(84)에 있어서는, 가스비, WF6/H2/캐리어 가스=10 내지 500 sccm/500 내지 6000 sccm/2000 내지 12000 sccm이며, 공정 압력은 상술한 바와 같이 1 Torr(133.3 Pa) 내지 100 Torr(13330 Pa)까지 변화시키고 있고, 공정 온도는 200 내지 500Å이고, 바람직하게는 250 내지 450℃, 도 2의 경우에는 350 내지 390℃까지 대략 직선적으로 변화시키고, 공정 시간(T5)은 1 내지 90초이고, 바람직하게는 3 내지 60초이다. 볼케이노의 발생을 회피함에 있어서, 패시베이션 텅스텐막은 WF6에 대한 패시베이션막, 또는 차단막으로서 기능하며, 이에 따라, 주 텅스텐막을 형성할 때의 WF6의 F의 확산에 의한 TiN막으로의 손상을 억제하여, 한층 더 매립 특성을 개선하는 것이 가능해진다.Next, in the passivation tungsten film forming step 84, the gas ratio is WF 6 / H 2 / carrier gas = 10 to 500 sccm / 500 to 6000 sccm / 2000 to 12000 sccm, and the process pressure is 1 Torr as described above. (133.3 Pa) to 100 Torr (13330 Pa), and the process temperature is 200 to 500 Pa, preferably 250 to 450 ° C, in the case of Figure 2 is changed approximately linearly to 350 to 390 ° C, process time (T5) is 1 to 90 seconds, preferably 3 to 60 seconds. In avoiding the generation of volcano, the passivation tungsten film functions as a passivation film or a blocking film for WF 6 , thereby suppressing damage to the TiN film due to diffusion of F of WF 6 when forming the main tungsten film. It is possible to further improve the embedding characteristics.

또한, 패시베이션 텅스텐막(82)의 두께는 매립 구멍(2)의 내경에도 좌우되지만, 주 텅스텐막 형성 공정에 있어서의 하지막으로의 손상을 억제하고, 또한 매립성을 향상시키는 동시에 어느 정도 이상의 스텝 커버리지를 얻기 위해 10 내지 500Å 정도, 바람직하게는 200 내지 400Å 정도의 범위내로 설정하는 것이 좋다. In addition, although the thickness of the passivation tungsten film 82 is dependent also on the inner diameter of the buried hole 2, the damage to the underlying film in the main tungsten film formation process is suppressed, and also the embedding property is improved and at least a certain step is achieved. In order to obtain coverage, it is preferable to set within the range of about 10 to 500 mW, preferably about 200 to 400 mW.

또한, 이 패시베이션 텅스텐막 형성 공정(84)에서는 전공정의 초기 텅스텐막 형성 공정과 비교하여, 공정 압력과 공정 온도 중, 적어도 어느 한 쪽을 실질적으로 동일하게 설정한다. 이에 따라, 양 공정간의 이행을 원활하면서 단시간에 실시할 수 있다.In the passivation tungsten film forming step 84, at least one of the process pressure and the process temperature is set substantially the same as compared with the initial tungsten film forming step in the previous step. As a result, the transition between the two steps can be performed smoothly and in a short time.

또한, 주 텅스텐막 형성 공정(80)에 있어서는, 매립성을 향상시키면서 어느 정도 이상의 스텝 커버리지 및 성막 속도를 얻기 위해 가스비, WF6/H2/캐리어 가스=50 내지 500 sccm/500 내지 6000 sccm/2000 내지 8000 sccm이며, 공정 압력은 상술한 바와 같이 10 내지 100 Torr(133.3 내지 13330 Pa), 공정 온도는 300 내지 500℃이며, 바람직하게는 350 내지 450℃이다. 이 성막 조건으로 주 텅스텐막이 형성된다. In the main tungsten film forming step 80, the gas ratio, WF 6 / H 2 / carrier gas = 50 to 500 sccm / 500 to 6000 sccm / 2000 to 8000 sccm, the process pressure is 10 to 100 Torr (133.3 to 13330 Pa) as described above, the process temperature is 300 to 500 ℃, preferably 350 to 450 ℃. Under this film forming condition, a main tungsten film is formed.

상기 실시예에서는 환원 가스로서 수소와 실란을 사용했지만, 이 대신에 디실란(Si2H6), 디클로로실란(SiH2C12), 디보란(B2H6), 포스핀(PH3) 등을 이용하여, 이들을 적절히 조합시킬 수 있다. 이 경우, 주 텅스텐막 형성 공정(80)보다도 초기 텅스텐막 형성 공정에 있어서, 보다 환원력이 큰 가스를 사용하는 것이 좋다.In the above embodiment, hydrogen and silane were used as reducing gases, but instead disilane (Si 2 H 6 ), dichlorosilane (SiH 2 C1 2 ), diborane (B 2 H 6 ), phosphine (PH 3 ) These can be combined suitably using these etc. In this case, it is preferable to use a gas having a greater reducing power in the initial tungsten film forming step than the main tungsten film forming step 80.

또한, 상기 초기 텅스텐막 형성 공정, 패시베이션 텅스텐막 형성 공정 및 주 텅스텐막 형성 공정에 있어서, 동일한 환원 가스를 사용할 수 있다.In the initial tungsten film forming step, the passivation tungsten film forming step, and the main tungsten film forming step, the same reducing gas can be used.

또한, 여기에서는 초기 텅스텐막 형성 공정에 SiH4를 사용했지만, 이 대신에 플라즈마를 이용하여, 또는 자외선을 이용하여 발생시킨 H2 라디칼(활성종)을 사용할 수 있다.In addition, where it can be used, but use of SiH 4 in the initial tungsten film forming step, using the plasma in the place of, or ultraviolet H 2 radicals (active species) were generated using the.

또한, 텅스텐-함유 가스로서는 WF6 가스에 한정되지 않고, 유기계의 텅스텐-공급원 가스를 사용할 수 있다.In addition, as a tungsten-containing gas, WF 6 The organic tungsten-source gas can be used without being limited to the gas.

또한, WF6 가스의 분압에 대해서는 하한치는 스텝 커버리지를 어느 정도 높게 하기 위해서 0.4 Torr(53 Pa) 정도이며, 상한치는 볼케이노의 발생을 회피하기 위해서 공정 압력이 40 Torr 이하일 때에는 2.0 Torr(266 Pa) 정도이다. 또한, WF6/H2의 가스비에 대해서는, 스텝 커버리지를 어느 정도 높게 하고, 볼케이노를 회피하는 데에 있어서 0.01 내지 1이고, 바람직하게는 0.1 내지 0.5이다. 또한, 주 텅스텐막 형성 공정(80)에 있어서 WF6 가스의 유량을 다양하게 변경하고 공정 압력에 대해서 다양하게 변경하여 처리한 결과, 가스량을 많게 할 수록 작업처리량이 향상되었는데, 70 내지 80 Torr 근방에서 작업처리량의 향상은 대략 정지해 버렸다. 따라서, 공정 압력은 대략 70 Torr 이상인 것이 바람직하다. In addition, WF 6 As for the partial pressure of gas, the lower limit is about 0.4 Torr (53 Pa) to increase the step coverage to some extent, and the upper limit is about 2.0 Torr (266 Pa) when the process pressure is 40 Torr or less in order to avoid the generation of volcano. In addition, as for the gas ratio of WF 6 / H 2, and 0.01 to 1 according to to raise the step coverage to some extent, and avoid the Volcano, preferably 0.1 to 0.5. Further, in the main tungsten film formation step 80, WF 6 As a result of various changes in the flow rate of the gas and various changes in the process pressure, the throughput increased as the amount of gas increased, but the improvement in throughput was stopped substantially at around 70 to 80 Torr. Therefore, the process pressure is preferably about 70 Torr or more.

도 10은 텅스텐막의 저항치의 온도 의존성을 나타내는 그래프이다. 도면 중, a는 종래의 CVD법(공정 온도 ≒ 400℃)에 의한 텅스텐막을 나타내며, b는 공정 온도 280℃의 본 발명 방법에 의한 텅스텐막을 나타내며, c는 공정 온도 380℃의 본 발명 방법에 의한 텅스텐막을 나타낸다. 10 is a graph showing the temperature dependence of the resistance of the tungsten film. In the figure, a represents a tungsten film by a conventional CVD method (process temperature ≒ 400 ° C.), b represents a tungsten film according to the method of the present invention at a process temperature of 280 ° C., and c represents the method of the present invention at a process temperature of 380 ° C. Tungsten film is shown.

이 그래프로부터 분명한 바와 같이, 본 발명 방법에 의한 막(b, c)은 종래의 CVD법으로 형성된 막(a)에 비해 약 2 내지 4배 높은 저항치를 가짐을 알 수 있다. 이는 본 발명의 방법에 의해 형성된 막(b, c)의 결정자의 크기가 종래 방법의 경우보다 2 내지 4배 작기 때문이라 생각된다. 또한, 본 발명 방법에 의해 형성된 막(b,c)에서도 보다 높은 온도에서 형성된 막일수록 높은 저항치를 가짐을 알 수 있다. 이는 고온에서 형성된 막일수록 고농도의 Si를 함유하기 때문이라 생각된다.As is clear from this graph, it can be seen that the films b and c according to the method of the present invention have a resistance value of about 2 to 4 times higher than that of the film a formed by the conventional CVD method. This is considered to be because the size of the crystallites of the films (b, c) formed by the method of the present invention is 2 to 4 times smaller than that of the conventional method. In addition, it can be seen that even in the films (b, c) formed by the method of the present invention, the film formed at a higher temperature has a higher resistance value. This is considered to be because the film formed at a high temperature contains higher concentration of Si.

그리고, 마지막으로 웨이퍼 표면에서 확산된 F(불소) 농도의 평가를 했기 때문에, 그 평가 결과에 대해서 설명한다.And finally, since the F (fluorine) concentration which spread on the wafer surface was evaluated, the evaluation result is demonstrated.

도 11은 환원 가스로서 SiH4, Si2H6, B2H6을 이용하여 웨이퍼 표면의 F 농도(확산량) 프로파일을 나타내는 그래프이다. 여기에서는 W막(텅스텐막)보다 하방을 향해서, TiN막, Ti막, SiO2막이 순차적으로 형성되어 있는 웨이퍼를 이용하고 있다.11 is a graph showing the F concentration (diffusion) profile of the wafer surface using SiH 4 , Si 2 H 6 , and B 2 H 6 as reducing gases. Here, a wafer in which the TiN film, the Ti film, and the SiO 2 film are sequentially formed is used downward from the W film (tungsten film).

이 그래프로부터 분명한 바와 같이, 본 발명 방법의 W막 중의 F 농도는 1× 1017 atms/cc이며, 종래의 CVD법에 의한 W막 중의 F 농도는 3×1017 atms/cc로서, 본 발명의 W막 중의 F의 확산량이 대략 1/3 정도로 억제되어 있고, 이에 따라, 높은 차단성을 가짐을 확인할 수 있었다.As apparent from this graph, the F concentration in the W film of the method of the present invention is 1 × 10 17 atms / cc, and the F concentration in the W film by the conventional CVD method is 3 × 10 17 atms / cc. It was confirmed that the diffusion amount of F in the W film was suppressed to about 1/3, thereby having a high barrier property.

<패시베이션 텅스텐막 형성 공정(PA 공정이라고도 칭함)에서 주 텅스텐막 형성 공정(MA 공정이라고도 칭함)으로의 이행의 형태> <Formation of the transition from the passivation tungsten film forming step (also called PA process) to the main tungsten film forming step (also called MA process)>

앞서 설명한 본 발명 방법에 있어서, 패시베이션 텅스텐막 형성 공정에 대해서 "온도 변화 있음"의 경우와, "온도 변화 없음"(온도 일정)의 경우를 검토하였다. 이 때의 공정 조건은 이하와 같다. In the method of the present invention described above, the case of "with temperature change" and the case of "without temperature change" (temperature constant) were examined for the passivation tungsten film forming step. The process conditions at this time are as follows.

[온도 변화 있음][Temperature change]

· 공정 온도: PA 공정의 당초 350℃에서 MA 공정의 390℃까지 승온(도 3 참조).Process temperature: from 350 ° C. initially in the PA process to 390 ° C. in the MA process (see FIG. 3).

· 공정 압력: 7.5 Torr부터 80 Torr까지 승압Process Pressure: Step up from 7.5 Torr to 80 Torr

· 승온 시간(승압 시간): 30초(온도 안정에 어느 정도의 시간을 요하기 때문에)Temperature rise time (pressure-up time): 30 seconds (because some time is required for temperature stability)

· WF6 가스의 유량: 60 sccm부터 350 sccm까지 증가WF 6 Gas flow rate: from 60 sccm to 350 sccm

[온도 변화 없음][No temperature change]

· 공정 온도: PA 공정-MA 공정에 걸쳐서 410℃로 일정하게 유지.Process temperature: constant at 410 ° C. throughout PA process-MA process.

· 승압 시간 : 15초Boosting time: 15 seconds

· 공정 압력, WF6 가스의 유량은 "온도 변화 있음"의 경우와 동일.Process pressure, WF 6 The flow rate of gas is the same as in the case of "with temperature change".

한편, 초기 텅스텐막 형성 공정 및 다른 공정 조건에 대해서는 각각 동일해 지도록 설정하고 있다.On the other hand, the initial tungsten film forming step and other process conditions are set to be the same.

상기 평가 결과, PA 공정에서 공정 온도를 높게 하고, 410℃로 유지한 "온도 변화 없음"의 경우에는 작업처리량이 높고 양호했다.As a result of the evaluation, in the case of "no temperature change" in which the process temperature was increased in the PA process and maintained at 410 ° C, the throughput was high and satisfactory.

이에 반해, PA 공정에서 공정 온도를 350℃에서 390℃로 점차로 변화시킨 " 온도 변화 있음"의 경우에는 상기와 반대로 매립 특성은 양호하였다.On the contrary, in the case of "with temperature change" in which the process temperature was gradually changed from 350 ° C to 390 ° C in the PA process, the buried property was good in contrast to the above.

다음으로 초기 텅스텐막 형성 공정에 있어서, 환원 가스로서, SiH4, Si2H6, B2H6을 이용한 경우에 대해서 설명한다.In the following the initial tungsten film forming step, as a reducing gas, SiH 4, a description will be given of the case of using the Si 2 H 6, B 2 H 6.

도 2a에 도시한 바와 같은 본 발명 방법을 이용하여 실제로 SiH4, Si2H6, B2H6을 이용하여 매립을 실시하고, 매립 구멍 중의 보이드의 발생 상황, 및 매립 특성의 평가를 이하에 적는다. 또한, 이 때의 매립 구멍의 내경은 0.09μm를 이용했다.Using the method of the present invention as shown in Fig. 2A, the filling is actually carried out using SiH 4 , Si 2 H 6 , and B 2 H 6 , and the occurrence of voids in the filling hole and evaluation of the embedding characteristics are described below. Write it down. In addition, 0.09 micrometer was used for the internal diameter of the buried hole at this time.

초기 텅스텐막 형성 공정에 있어서의 각 가스의 공급 형태 또는 공정 조건은 도 2b에 도시한 흐름도를 이용하였다. 한편, 실란, 디실란, 디보란의 각 가스의 유량은 각각 동일해지도록 설정하여 실시하였다.The supply mode or process condition of each gas in the initial tungsten film formation process used the flowchart shown in FIG. 2B. In addition, it carried out by setting so that the flow volume of each gas of silane, disilane, and diborane might become the same, respectively.

도 12는 1 사이클당 성막 속도의 온도 의존성을 나타낸다. 각 가스 모두 온도 상승에 따라 성막 속도가 증가함을 확인할 수 있었다. 또한, 디실란, 디보란, 실란의 순으로 보다 저온에서 성막이 개시되는 점, 1 사이클당의 성막 속도는 디실란, 디보란, 실란의 순으로 커지는 점이 판명되었다.12 shows the temperature dependence of the deposition rate per cycle. It was confirmed that the deposition rate of each gas increased with increasing temperature. Further, it was found that film formation was started at lower temperatures in order of disilane, diborane and silane, and that the film formation rate per cycle increased in the order of disilane, diborane and silane.

다음으로 도 13은 공정 온도 320℃에서의 비저항 및 표면 조도의 막 두께 의존성을 검토한 결과를 나타낸다. 도 13a는 비저항의 막 두께 의존성을 나타내고, 도 13b는 표면 조도의 막 두께 의존성을 나타낸다.Next, FIG. 13 shows the result of examining the film thickness dependence of specific resistance and surface roughness in process temperature of 320 degreeC. FIG. 13A shows the film thickness dependency of specific resistance, and FIG. 13B shows the film thickness dependency of surface roughness.

비저항은 디실란, 실란, 디보란의 순으로 높은 값을 나타내고 있음이 판명되었다. 그리고, 각각 막 두께가 두꺼울수록 비저항이 작아지고 있음이 확인되었다. 특히 디실란은 다른 2개의 가스보다 비저항이 급격히 작아지고 있다.It was found that the specific resistance showed high values in the order of disilane, silane and diborane. And it turned out that the specific resistance becomes small, respectively, as film thickness is thick. In particular, disilane has a significantly lower specific resistance than the other two gases.

또한, 표면 조도는 디보란, 실란, 디실란의 순으로 커지고 있고, 모두 막 두께가 두꺼워짐에 따라, 표면 조도도 커지고 있다. 단, 디실란에 대해서는 특이한 변화를 나타내고 있고, 막 두께가 80Å의 근방에 있어서 표면 조도는 한번 급격히 증가한 후에 급격히 감소하는 돌기 형상의 특성이 나타나고 있다. Moreover, surface roughness becomes large in order of diborane, a silane, and disilane, and as both film thickness becomes thick, surface roughness also becomes large. However, the disilane showed a unique change, and in the vicinity of the film thickness of 80 kPa, the surface roughness increased rapidly once and then showed the characteristic of the protrusion shape which decreases rapidly.

다음으로 도 14는 막 중의 F, Si, B의 농도를 검토한 결과를 나타낸다. 도 14a는 F 농도를 나타내며, 도 14b는 Si, B의 농도를 나타낸다. 도 14중, W는 텅스텐막을 나타내며, TiN은 하지의 티타늄 니트라이드막을 나타낸다. 한편, 양막의 경계는 실제로는 양 재료가 융합되어 불명확하지만, 도시예에서는 편의상 구획을 나타내고 있다.Next, FIG. 14 shows the results of examining the concentrations of F, Si, and B in the film. 14A shows the F concentration, and FIG. 14B shows the Si and B concentrations. In Fig. 14, W represents a tungsten film, and TiN represents an underlying titanium nitride film. On the other hand, the boundary of the amnion is in fact unclear due to the fusion of both materials.

도 14a에 나타낸 F 농도에 대해서는 하지층의 TiN막 중에 확산되어 있는 F량은 디보란이 가장 작고, 높은 차단성을 갖고 있음을 알 수 있다.Regarding the F concentration shown in FIG. 14A, it can be seen that the amount of F diffused in the TiN film of the underlying layer is the smallest in diborane and has high barrier properties.

도 14(B)에 도시한 바와 같이, W막 중의 Si, B 농도는 디보란, 실란, 디실란의 순으로 높아지고 있고, 계산상 각각 약 10%, 1%, 1% 이하의 B 또는 Si를 함유하고 있다. 특히, 디보란의 경우에는 막 중에 포함되는 B량이 고농도임이 판명되었다.As shown in Fig. 14B, the concentrations of Si and B in the W film are increased in the order of diborane, silane, and disilane, and the calculated amounts of B or Si of about 10%, 1%, and 1% or less are respectively calculated. It contains. In particular, in the case of diborane, the amount of B contained in the film | membrane turned out to be high concentration.

다음으로 도 15는 막 중의 텅스텐의 결정성에 대해서 검토한 결과를 나타낸다. 이 검토에서는 X선 회절 장치를 이용하였다. 디실란의 경우에는, α-W, β-W의 큐빅 텅스텐만이 관측되어 결정성이 높음을 확인 할 수 있었다.Next, FIG. 15 shows the result of examining the crystallinity of tungsten in the film. In this study, an X-ray diffraction apparatus was used. In the case of disilane, only cubic tungsten of (alpha) -W and (beta) -W was observed, and it confirmed that crystallinity was high.

이에 반하여, 실란, 디보란의 경우에는 회절선의 폭이 넓고, 결정성이 낮으 며, 특히 디보란의 경우에는, 보다 무정형 상태의 정도가 높음을 확인할 수 있었다. On the other hand, in the case of silane and diborane, the diffraction line width was wide and the crystallinity was low. In particular, in the case of diborane, the degree of amorphous state was higher.

다음으로 도 16은 구멍 직경이 0.09μm, A/R= 12의 콘택트 홀에 대하여 매립을 실시한 결과를 나타낸다. 도 16은 콘택트 홀의 매립 상태를 나타내는 도면 대용 사진이다. Next, FIG. 16 shows the result of embedding in contact holes having a hole diameter of 0.09 μm and A / R = 12. It is a drawing substitute photograph which shows the buried state of a contact hole.

도 16으로부터 디보란, 실란에 대해서는 양호한 매립성을 확인할 수 있지만, 디실란에 대해서는 보이드가 발생하여 매립성이 불충분함을 확인할 수 있었다.16 shows good embedding properties for diborane and silane, but it was confirmed that voids were generated for disilane and insufficient embedding properties.

상기 결과, Si2H6의 경우에는 성막 속도가 빠르고, 결정자 크기가 큰 등의 여러 특성은 종래 방법의 환원 가스와 WF6 가스를 동시에 내는 CVD와 마찬가지로 섬 형상으로 핵이 형성되는 성장 양식과 동일하다. 도 13에서 도시된 비저항의 감소, 표면 조도가 한번 증가한 후에 감소한 현상은 섬 형상으로 형성된 핵이 성장하고, 이것이 연속적인 막이 되었기 때문에 일어난 것이라 생각된다. 이 형성된 핵이 우선적으로 성장하기 위해서 막이 불균일해 져서, 매립성 악화의 원인이 되고 있다.As a result, in the case of Si 2 H 6 , various characteristics such as a fast film formation rate and a large crystallite size were obtained by reducing gas and WF 6 of the conventional method. Similar to CVD, which simultaneously delivers gases, it is the same growth pattern in which nuclei are formed in island shapes. The decrease in specific resistance shown in FIG. 13 and the decrease in surface roughness after one increase are thought to have occurred because the nucleus formed in an island shape grows and this becomes a continuous film. In order for this formed nucleus to grow preferentially, the film becomes uneven, which causes deterioration in embedding.

한편, B2H6의 경우에는 저항치가 높고, 매립성은 이음매도 없어 가장 양호하다. 이는 10% 정도의 고농도인 B의 함유 및 그 결과, 결정자 크기가 작고, 또는 비정질이 혼입되는 것으로 설명된다. 결정자 크기가 작기 때문에, 균일하고 치밀한 막이 된다. 이 때문에 하지 TiN의 F 확산량이 가장 적어, 높은 차단성을 가지고 있다.On the other hand, in the case of B 2 H 6 , the resistance is high, and the embedding property is the best since there is no seam. This is explained by the high concentration of B on the order of 10% and, consequently, low crystallite size or incorporation of amorphous materials. Since the crystallite size is small, a uniform and dense film is obtained. For this reason, the F diffusion amount of the base TiN is the smallest and has high barrier property.

또한, SiH4의 경우에는, 그 특성은 Si2H6과 B2H6의 중간에 위치하지만, 막의 성장 양식은 B2H6와 같이, 결정자 크기는 작고 치밀한 막이 된다. 구멍 직경 0.09μm, A/R= 12의 미세화된 콘택트 홀에 있어서도 충분한 매립성을 확보하면서, B2H6보다 낮은 저항으로 억제되며, 막의 밀착성도 확보되었다. 따라서, 매립 구멍중의 보이드의 발생 상황 및 매립 특성으로부터 총체적으로 판단하여 Si2H6, B2H6, SiH4의 순으로 양호한 결과가 되었다. 이번의 콘택트 홀의 구멍 직경 0.09μm을 이용하여 양호한 매립 특성을 얻을 수 있어, 향후 차세대의 0.13μm 이하의 미세 홀에 유효하다.In the case of SiH 4 , the properties are located in the middle between Si 2 H 6 and B 2 H 6 , but the growth mode of the film is small and has a small crystallite size as in B 2 H 6 . Even in contact holes with a pore diameter of 0.09 μm and A / R = 12, sufficient embedding was ensured, while being suppressed by a resistance lower than B 2 H 6 , and adhesion of the membrane was also secured. Therefore, the total judgment from the occurrence situation and filling characteristics of the void in the filled hole was in order of favorable results of Si 2 H 6, B 2 H 6, SiH 4. By using the hole diameter of 0.09 μm of the contact hole, good embedding characteristics can be obtained, and it is effective for fine holes of 0.13 μm or less in the future.

한편, 본 실시예에서는 피처리체로서 반도체 웨이퍼를 예로 들어 설명했지만, 여기에 한정되지 않고, LCD 기판, 유리 기판 등에도 적용할 수 있음은 물론이다. In the present embodiment, a semiconductor wafer is described as an object to be processed as an example. However, the present invention is not limited thereto and can be applied to an LCD substrate, a glass substrate, and the like.

이상 설명한 바와 같이, 본 발명의 텅스텐막의 형성 방법에 따르면, 다음과 같이 우수한 작용 효과를 발휘할 수 있다. As described above, according to the method for forming the tungsten film of the present invention, it is possible to exhibit excellent effects as follows.

청구항 1, 2, 4 내지 7에 관한 발명에 따르면, 막 두께 균일성이 높은 핵 부착층으로서의 초기 텅스텐막을 형성하고, 또한 효율적으로 패시베이션 텅스텐막을 형성하고, 그 후에 주된 텅스텐막을 퇴적시키도록 했기 때문에, 특히 매립 특성을 개선할 수 있고, 예컨대 매립 구멍의 직경이 작더라도 특성에 악영향을 줄 정도의 크기의 보이드의 발생 또는 볼케이노의 발생을 억제할 수 있다. 또한, 상기 일련 의 3 공정은 동일 처리 용기내에서 연속적으로 이루어지기 때문에, 예컨대 처리 용기를 변경함으로써 발생하는 인큐베이션 기간이 없어져서, 그 만큼 작업처리량을 향상시킬 수 있다. According to the invention of Claims 1, 2, 4-7, since the initial tungsten film as a nucleus adhesion layer with high film thickness uniformity was formed, the passivation tungsten film was formed efficiently, and the main tungsten film was deposited after that, In particular, the buried characteristics can be improved, and for example, even if the diameter of the buried holes is small, it is possible to suppress the occurrence of voids or the generation of volcanoes having a size that adversely affects the characteristics. In addition, since the series of three processes are continuously performed in the same processing container, the incubation period caused by changing the processing container, for example, is eliminated, and the throughput can be improved accordingly.

청구항 3에 관한 발명에 따르면, 각 공정간에 있어서의 공정 온도를 대략 일정하게 유지함으로써 도중에 공정 온도를 승온시킬 필요가 없기 때문에, 그 만큼 작업처리량을 더욱 향상시킬 수 있다. According to the invention according to claim 3, since the process temperature does not need to be raised in the middle by keeping the process temperature between the respective steps substantially constant, the throughput can be further improved.

Claims (12)

진공 흡인 가능하게 이루어진 처리 용기내의 피처리체상에 텅스텐막을 형성하는 방법에 있어서,A method of forming a tungsten film on a target object in a processing container made of vacuum suction, the method comprising: 상기 처리 용기내에 WF6 가스 및 B2H6 가스를 교대로 공급하고, 상기 피처리체상에 제 1 텅스텐막을 형성하는 공정,Alternately supplying a WF 6 gas and a B 2 H 6 gas into the processing container to form a first tungsten film on the target object; 상기 처리 용기내에 WF6 가스 및 H2 가스를 공급하고, 상기 피처리체상에 제 2 텅스텐막을 형성하는 공정, 및Supplying a WF 6 gas and a H 2 gas into the processing container, and forming a second tungsten film on the object to be processed, and 상기 처리 용기내에 WF6 가스 및 H2 가스를 공급하고, 상기 피처리체상에 제 3 텅스텐막을 형성하는 공정을 구비하고;Supplying a WF 6 gas and a H 2 gas into the processing container, and forming a third tungsten film on the object to be processed; 상기 제 2 텅스텐막 형성 공정이, 상기 WF6 가스의 유량을 증가시키면서 처리 용기내의 압력을 서서히 증가시키는 것을 특징으로 하는 방법.And the second tungsten film forming step gradually increases the pressure in the processing vessel while increasing the flow rate of the WF 6 gas. 진공 흡인 가능하게 이루어진 처리 용기내의 피처리체상에 텅스텐막을 형성하는 방법에 있어서,A method of forming a tungsten film on a target object in a processing container made of vacuum suction, the method comprising: 상기 처리 용기내에 WF6 가스 및 B2H6 가스를 교대로 공급하고, 상기 피처리체상에 제 1 텅스텐막을 형성하는 공정,Alternately supplying a WF 6 gas and a B 2 H 6 gas into the processing container to form a first tungsten film on the target object; 상기 처리 용기내에 WF6 가스 및 H2 가스를 공급하고, 상기 피처리체상에 제 2 텅스 텐막을 형성하는 공정, 및Supplying a WF 6 gas and a H 2 gas into the processing container, and forming a second tungsten film on the object to be processed, and 상기 처리 용기내에 WF6 가스 및 H2 가스를 공급하고, 상기 피처리체상에 제 3 텅스텐막을 형성하는 공정을 구비하고;Supplying a WF 6 gas and a H 2 gas into the processing container, and forming a third tungsten film on the object to be processed; 상기 제 2 텅스텐막 형성 공정이 상기 처리 용기내의 압력을 2666Pa 이하로 하여 수행되고, 상기 제 3 텅스텐막 형성 공정은 상기 처리 용기내의 압력을 2666Pa 이상으로 하여 수행되는 것을 특징으로 하는 방법.Wherein said second tungsten film forming process is performed with a pressure in said processing vessel at 2666 Pa or less, and said third tungsten film forming process is performed with a pressure in said processing vessel at 2666 Pa or more. 진공 흡인 가능하게 이루어진 처리 용기내의 피처리체상에 텅스텐막을 형성하는 방법에 있어서,A method of forming a tungsten film on a target object in a processing container made of vacuum suction, the method comprising: 상기 처리 용기내에 WF6 가스 및 B2H6 가스를 교대로 공급하고, 상기 피처리체상에 제 1 텅스텐막을 형성하는 공정,Alternately supplying a WF 6 gas and a B 2 H 6 gas into the processing container to form a first tungsten film on the target object; 상기 처리 용기내에 WF6 가스 및 H2 가스를 공급하고, 상기 피처리체상에 제 2 텅스텐막을 형성하는 공정, 및Supplying a WF 6 gas and a H 2 gas into the processing container, and forming a second tungsten film on the object to be processed, and 상기 처리 용기내에 WF6 가스 및 H2 가스를 공급하고, 상기 피처리체상에 제 3 텅스텐막을 형성하는 공정을 구비하고; Supplying a WF 6 gas and a H 2 gas into the processing container, and forming a third tungsten film on the object to be processed; 상기 제 2 텅스텐막 형성 공정이, 상기 WF6 가스의 유량을 조금씩 증가하도록 변화시키며 공급하는 것을 특징으로 하는 방법.And the second tungsten film forming step is supplied while changing the flow rate of the WF 6 gas little by little. 진공 흡인 가능하게 이루어진 처리 용기내의 피처리체상에 텅스텐막을 형성하는 방법에 있어서,A method of forming a tungsten film on a target object in a processing container made of vacuum suction, the method comprising: 상기 처리 용기내에 WF6 가스 및 B2H6 가스를 교대로 공급하고, 상기 피처리체상에 제 1 텅스텐막을 형성하는 공정,Alternately supplying a WF 6 gas and a B 2 H 6 gas into the processing container to form a first tungsten film on the target object; 상기 처리 용기내에 WF6 가스 및 H2 가스를 공급하고, 상기 피처리체상에 제 2 텅스텐막을 형성하는 공정, 및Supplying a WF 6 gas and a H 2 gas into the processing container, and forming a second tungsten film on the object to be processed, and 상기 처리 용기내에 WF6 가스 및 H2 가스를 공급하고, 상기 피처리체상에 제 3 텅스텐막을 형성하는 공정을 구비하고; Supplying a WF 6 gas and a H 2 gas into the processing container, and forming a third tungsten film on the object to be processed; 상기 제 2 텅스텐막 형성 공정이, 상기 WF6 가스를 공급하기에 앞서 H2 가스를 공급하는 것을 특징으로 하는 방법.And the second tungsten film forming step supplies H 2 gas prior to supplying the WF 6 gas. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 제 1 텅스텐막을 형성하기 전에, 상기 처리 용기내에 환원 가스를 공급하고, 상기 피처리체에 환원 가스를 흡착시키는 공정을 추가로 포함하는 것을 특징으로 하는 방법. And before the forming of the first tungsten film, supplying a reducing gas into the processing container and adsorbing the reducing gas to the object to be processed. 제 5 항에 있어서,The method of claim 5, 상기 환원 가스가 디보란(B2H6), 실란(SiH4) 또는 디실란(Si2H6)으로부터 선택되는 것을 특징으로 하는 방법.The reducing gas is selected from diborane (B 2 H 6 ), silane (SiH 4 ) or disilane (Si 2 H 6 ). 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 제 2 텅스텐막 형성 공정에서, 상기 피처리체의 온도를 점차로 상승시키도록 한 것을 특징으로 하는 방법. And in the second tungsten film forming step, the temperature of the object is gradually raised. 제 2 항 또는 제 4 항에 있어서,The method according to claim 2 or 4, 상기 제 2 텅스텐막 형성 공정에서, WF6 가스를 서서히 증가시켜서 공급하는 것을 특징으로 하는 방법.And in the second tungsten film forming step, the WF 6 gas is gradually increased and supplied. 제 2 항 또는 제 4 항에 있어서,The method according to claim 2 or 4, 상기 제 2 텅스텐막 형성 공정에서, 상기 처리 용기내의 압력을 서서히 증가시키는 것을 특징으로 하는 방법.And in the second tungsten film forming step, the pressure in the processing vessel is gradually increased. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 3, 상기 제 2 텅스텐막 형성 공정에서, WF6 가스보다 먼저 H2 가스를 공급하는 것을 특징으로 하는 방법.And in the second tungsten film forming step, H 2 gas is supplied before WF 6 gas. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 제 2 텅스텐막 형성 공정에서, 상기 제 1 텅스텐막 형성 공정에 있어서의 공정 압력 및 온도 중 어느 하나 이상을 동일하게 설정하는 것을 특징으로 하는 방법.In the second tungsten film forming step, at least one of the process pressure and the temperature in the first tungsten film forming step is set to be the same. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 제 1 텅스텐막 형성 공정, 상기 제 2 텅스텐막 형성 공정 및 상기 제 3 텅스텐막 형성 공정 사이에, 상기 피처리체의 온도를 동일하게 유지하는 것을 특징으로 하는 방법.The temperature of the said to-be-processed object is kept the same between the said 1st tungsten film formation process, the said 2nd tungsten film formation process, and the said 3rd tungsten film formation process.
KR1020070059454A 2003-03-07 2007-06-18 Method of forming tungsten film KR100783845B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003062443A JP3956049B2 (en) 2003-03-07 2003-03-07 Method for forming tungsten film
JPJP-P-2003-00062443 2003-03-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020040015267A Division KR100785534B1 (en) 2003-03-07 2004-03-06 Method of forming tungsten film

Publications (2)

Publication Number Publication Date
KR20070075383A true KR20070075383A (en) 2007-07-18
KR100783845B1 KR100783845B1 (en) 2007-12-10

Family

ID=33124364

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020040015267A KR100785534B1 (en) 2003-03-07 2004-03-06 Method of forming tungsten film
KR1020070059454A KR100783845B1 (en) 2003-03-07 2007-06-18 Method of forming tungsten film

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020040015267A KR100785534B1 (en) 2003-03-07 2004-03-06 Method of forming tungsten film

Country Status (3)

Country Link
JP (1) JP3956049B2 (en)
KR (2) KR100785534B1 (en)
TW (1) TW200421465A (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018217834A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10529585B2 (en) 2017-06-02 2020-01-07 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10636669B2 (en) 2018-01-24 2020-04-28 Applied Materials, Inc. Seam healing using high pressure anneal
US10636677B2 (en) 2017-08-18 2020-04-28 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10685830B2 (en) 2017-11-17 2020-06-16 Applied Materials, Inc. Condenser system for high pressure processing system
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
US10957533B2 (en) 2018-10-30 2021-03-23 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US10998200B2 (en) 2018-03-09 2021-05-04 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US11227797B2 (en) 2018-11-16 2022-01-18 Applied Materials, Inc. Film deposition using enhanced diffusion process
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP4945937B2 (en) 2005-07-01 2012-06-06 東京エレクトロン株式会社 Tungsten film forming method, film forming apparatus, and storage medium
JP2007046134A (en) * 2005-08-11 2007-02-22 Tokyo Electron Ltd Method for forming metallic film, and recording medium with program recorded therein
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
WO2008015912A1 (en) 2006-07-31 2008-02-07 Tokyo Electron Limited Substrate processing apparatus, program, recording medium and conditioning necessity determining method
JP2008244298A (en) * 2007-03-28 2008-10-09 Tokyo Electron Ltd Film forming method of metal film, forming method of multilayer wiring structure, manufacturing method of semiconductor device, and film forming apparatus
JP5547380B2 (en) * 2008-04-30 2014-07-09 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR20110075915A (en) * 2009-12-29 2011-07-06 주식회사 아토 Method of depositing thin film
JP5959991B2 (en) * 2011-11-25 2016-08-02 東京エレクトロン株式会社 Method for forming tungsten film
CN113862634A (en) 2012-03-27 2021-12-31 诺发系统公司 Tungsten feature fill
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
JP6336866B2 (en) * 2013-10-23 2018-06-06 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
WO2015080058A1 (en) 2013-11-27 2015-06-04 東京エレクトロン株式会社 Method for forming tungsten film
JP5950892B2 (en) 2013-11-29 2016-07-13 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (en) * 2013-12-27 2019-09-21 美商蘭姆研究公司 Tungsten nucleation process to enable low resistivity tungsten feature fill
JP6437324B2 (en) 2014-03-25 2018-12-12 東京エレクトロン株式会社 Method for forming tungsten film and method for manufacturing semiconductor device
JP6147913B2 (en) * 2014-03-28 2017-06-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6391355B2 (en) 2014-08-11 2018-09-19 東京エレクトロン株式会社 Method for forming tungsten film
JP6706903B2 (en) 2015-01-30 2020-06-10 東京エレクトロン株式会社 Method for forming tungsten film
US9536745B2 (en) 2015-01-30 2017-01-03 Tokyo Electron Limited Tungsten film forming method
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
JP6416679B2 (en) 2015-03-27 2018-10-31 東京エレクトロン株式会社 Method for forming tungsten film
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
JP6478813B2 (en) 2015-05-28 2019-03-06 東京エレクトロン株式会社 Method for forming metal film
JP6541438B2 (en) 2015-05-28 2019-07-10 東京エレクトロン株式会社 Method of reducing stress of metal film and method of forming metal film
CN107924829B (en) 2015-09-30 2021-07-23 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI720106B (en) 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd tungsten containing hardmask films and methods of making
JP6710089B2 (en) 2016-04-04 2020-06-17 東京エレクトロン株式会社 Method for forming tungsten film
US20190161853A1 (en) 2016-07-26 2019-05-30 Tokyo Electron Limited Method for forming tungsten film
JP6865602B2 (en) * 2017-02-22 2021-04-28 東京エレクトロン株式会社 Film formation method
CN111095488A (en) 2017-08-14 2020-05-01 朗姆研究公司 Metal filling process for three-dimensional vertical NAND word lines
KR20200140391A (en) 2018-05-03 2020-12-15 램 리써치 코포레이션 Method of depositing tungsten and other metals on 3D NAND structures

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JP3415207B2 (en) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 Metal thin film formation method by chemical vapor deposition
JPH06275624A (en) * 1993-03-19 1994-09-30 Miyagi Oki Denki Kk Forming method of conducting layer
JPH0794425A (en) * 1993-09-24 1995-04-07 Toshiba Corp Method and device for forming metallic thin film
US6271129B1 (en) * 1997-12-03 2001-08-07 Applied Materials, Inc. Method for forming a gap filling refractory metal layer having reduced stress
JP3070577B2 (en) * 1998-05-15 2000-07-31 日本電気株式会社 Method for manufacturing semiconductor device
TW451305B (en) * 1999-01-13 2001-08-21 Tokyo Electron Ltd Method of forming tungsten layers and laminate structure of tungsten layers
JP3628570B2 (en) * 1999-12-08 2005-03-16 旭化成マイクロシステム株式会社 Method for forming tungsten thin film and method for manufacturing semiconductor device
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
JP2002151435A (en) * 2000-10-31 2002-05-24 Applied Materials Inc Method of forming conductive section
WO2003016588A1 (en) * 2001-08-14 2003-02-27 Tokyo Electron Limited Method of forming tungsten film
JP4032872B2 (en) * 2001-08-14 2008-01-16 東京エレクトロン株式会社 Method for forming tungsten film
JP4103461B2 (en) * 2001-08-24 2008-06-18 東京エレクトロン株式会社 Deposition method

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529603B2 (en) 2017-03-10 2020-01-07 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018217834A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10529585B2 (en) 2017-06-02 2020-01-07 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11018032B2 (en) 2017-08-18 2021-05-25 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10636677B2 (en) 2017-08-18 2020-04-28 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11469113B2 (en) 2017-08-18 2022-10-11 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US11756803B2 (en) 2017-11-11 2023-09-12 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US10685830B2 (en) 2017-11-17 2020-06-16 Applied Materials, Inc. Condenser system for high pressure processing system
US10636669B2 (en) 2018-01-24 2020-04-28 Applied Materials, Inc. Seam healing using high pressure anneal
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10998200B2 (en) 2018-03-09 2021-05-04 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11110383B2 (en) 2018-08-06 2021-09-07 Applied Materials, Inc. Gas abatement apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10957533B2 (en) 2018-10-30 2021-03-23 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11227797B2 (en) 2018-11-16 2022-01-18 Applied Materials, Inc. Film deposition using enhanced diffusion process
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Also Published As

Publication number Publication date
KR100783845B1 (en) 2007-12-10
TWI312172B (en) 2009-07-11
JP3956049B2 (en) 2007-08-08
KR100785534B1 (en) 2007-12-12
TW200421465A (en) 2004-10-16
KR20040079331A (en) 2004-09-14
JP2004273764A (en) 2004-09-30

Similar Documents

Publication Publication Date Title
KR100783845B1 (en) Method of forming tungsten film
JP4032872B2 (en) Method for forming tungsten film
JP5019430B2 (en) A method of forming a metal layer using an intermittent precursor gas flow process.
KR101263856B1 (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
US6303480B1 (en) Silicon layer to improve plug filling by CVD
US6919273B1 (en) Method for forming TiSiN film, diffusion preventive film comprising TiSiN film, semiconductor device and its production method, and apparatus for forming TiSiN film
KR100688652B1 (en) Method of forming tungsten film
KR20150111302A (en) Tungsten film forming method, semiconductor device manufacturing method, and storage medium
WO2007018003A1 (en) Method of forming metallic film and program-storing recording medium
JP3580159B2 (en) Method of forming tungsten film
JP2004514289A (en) Method for forming metal wiring and semiconductor manufacturing apparatus for forming metal wiring
WO2007102333A1 (en) Methods of depositing ruthenium film and memory medium readable by computer
KR101217980B1 (en) Method for depositing tungsten layers using sequential flow deposition
JP3381774B2 (en) Method of forming CVD-Ti film
JP6723128B2 (en) Nickel wiring manufacturing method
US6387445B1 (en) Tungsten layer forming method and laminate structure of tungsten layer
KR102388169B1 (en) METHOD OF FORMING RuSi FILM AND FILM-FORMING APPARATUS
US6573180B2 (en) PECVD method of forming a tungsten silicide layer on a polysilicon layer
KR100521702B1 (en) A method of film-forming of tungsten
JP2006303536A (en) Barrier metal layer and its forming method
KR20070058439A (en) Low-pressure deposition of ruthenium and rhenium metal layers from metal-carbonyl precursors

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121121

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131118

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141120

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181119

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20191118

Year of fee payment: 13