KR20010031055A - 자동화된 기판 처리 장치 및 방법 - Google Patents

자동화된 기판 처리 장치 및 방법 Download PDF

Info

Publication number
KR20010031055A
KR20010031055A KR1020007003884A KR20007003884A KR20010031055A KR 20010031055 A KR20010031055 A KR 20010031055A KR 1020007003884 A KR1020007003884 A KR 1020007003884A KR 20007003884 A KR20007003884 A KR 20007003884A KR 20010031055 A KR20010031055 A KR 20010031055A
Authority
KR
South Korea
Prior art keywords
substrate
vacuum chamber
alignment
support
misaligned
Prior art date
Application number
KR1020007003884A
Other languages
English (en)
Other versions
KR100638407B1 (ko
Inventor
리차드 에른스트 데마레이
마코토 이나가와
라비 물라푸디
할란 엘. 할세이
마이클 티. 스타르
Original Assignee
노르만 엘. 터너
어플라이드 고마쯔 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노르만 엘. 터너, 어플라이드 고마쯔 테크놀로지, 인코포레이티드 filed Critical 노르만 엘. 터너
Publication of KR20010031055A publication Critical patent/KR20010031055A/ko
Application granted granted Critical
Publication of KR100638407B1 publication Critical patent/KR100638407B1/ko

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B11/00Automatic controllers
    • G05B11/01Automatic controllers electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41815Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/401Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for measuring, e.g. calibration and initialisation, measuring workpiece for machining purposes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/402Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for positioning, e.g. centring a tool relative to a hole in the workpiece, additional detection means to correct position
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Human Computer Interaction (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 기판(11)을 처리하는 자동화된 장치 및 방법에 관한 것이다. 본 발명에 따른 자동화된 기판 처리 장치는 진공 챔버와, 진공 챔버 내에 위치되고 처리 공정 동안 기판을 지지하도록 구성되고 배열된 기판 지지물과, 그리고 기판이 장치 내의 물리적 환경의 변화에 근거하여 진공 챔버 내로 전달될 때 기판이 오정렬되었는지의 여부를 검출하도록 구성되고 배열된 기판 정렬 검출기를 포함한다. 기판 정렬 검출기는 기판 지지물에 접속된 진동 검출기를 포함한다. 기판은 진공 챔버 내로 전달된다. 기판이 진공 챔버 내로 전달될 때 기판의 위치가 기록된다. 기판 지지물에 대한 기판의 오정렬이 검출된다. 기판이 처리된다. 처리된 기판은 진공 챔버로부터 하역된다. 처리된 기판이 진공 챔버로부터 하역될 때 처리된 기판의 위치가 기록된다. 기판의 오정렬은 기록된 기판의 위치가에 근거하여 보정된다.

Description

자동화된 기판 처리 장치 및 방법 {AUTOMATED SUBSTRATE PROCESSING SYSTEMS AND METHODS}
자동화된 기판 처리 장치는 일반적으로 처리 공정 동안 기판을 지지하기 위한지지 기구를 갖춘 진공 챔버와, 로봇 장치와 같은 자동화된 전달 기구를 포함하고 있다. 작동시에, 전달 기구는 기판을 진공 챔버 내로 적재하고 진공 챔버 밖으로 하역한다. 시간이 지난 후에, 기판은 처리 시스템에 대해 오정렬될 수도 있다. 현저한 기판 오정렬은 특별한 기판 상에 형성될 수 있는 디바이스의 수를 감소시킬 수도 있으며, 또는 진공 챔버 내에서 기판이 파괴될 수도 있다. 기판이 진공 챔버 내에서 파괴될 때, 진공 챔버는 개방되고 대기압에 노출되어야 하며, 또한 챔버는 정화되어야 하고 처리 공정에 적절한 준대기압으로 감압되어야 한다. 이러한 과정을 완료하는데 소요되는 시간은 24시간이며, 이는 기판을 처리하는데 사용되는 시스템의 휴지 시간을 현저하게 증가시킨다.
본 발명은 자동화된 기판 처리 장치 및 방법에 관한 것이다.
도 1a은 적재 챔버, 전달 챔버, 및 다수의 처리 챔버를 포함하는 기판 처리 시스템의 개략적인 상면도이다.
도 1b는 도 1a의 기판 처리 시스템을 통해 기판이 이동하는 일예를 도시한 블록 다이아그램이다.
도 1c는 기판 처리 시스템 내에서 기판을 처리하는 방법의 일예에 대한 흐름도이다.
도 2a는 다수의 기판 카셋트 및 자동화된 대기 전달 아암을 포함하는 도 1a에 도시된 적재 챔버의 개략적인 정면도이다.
도 2b는 도 2a에 도시된 자동화된 대기 전달 아암의 전달 헤드의 개략적인 상면도이다.
도 3은 자동식 진공 전달 아암을 포함하는 도 1a에 도시된 전달 챔버의 개략적인 정면도이다.
도 4a는 기판 정렬기 및 기판 지지물을 포함하는 기판 처리 챔버의 개략적인 측단면도이다.
도 4b는 도 4a의 처리 챔버 내로 전달될 기판의 개략적인 측면도이다.
도 4c는 도 4a에 도시된 기판 정렬기의 개략적인 상면도이다.
도 4d는 도 3에 도시된 자동식 진공 전달 아암으로부터 기판을 하역하도록 위치된 도 4a에 도시된 기판 정렬기 및 기판 지지물의 개략적인 측면도이다.
도 4e는 기판이 처리될 때 도 4a에 도시된 기판 정렬기 및 기판 지지물의 위치를 도시한 도면이다.
도 5는 진동 검출기 및 노이즈 필터를 포함하는 기판 정렬 검출기의 개략도이다.
도 6a는 기판이 진공 챔버 내로 적재될 때 도 5에 도시된 진동 검출기에 의해 발생된 신호의 플로트이다.
도 6b는 도 5에 도시된 노이즈 필터에 의해 여과된 후의 도 6a의 신호의 플로트이다.
도 7a 및 도 7b는 기판 처리 방법의 흐름도이다.
도 8a 및 도 8b는 도 1a의 기판 처리 시스템을 재보정하는 방법의 흐름도이다.
도 9는 기판 처리 챔버 내에서 사용되는 선택적인 기판 정렬 검출기 및 기판 정렬기의 개략적인 하부도이다.
도 10a는 기판 처리 챔버, 기판 전달 챔버, 및 기판이 오정렬되었는지의 여부를 결정하기 위한 선택적인 정렬 검출기 배열의 개략적인 측면도이다.
도 10b는 기판 처리 방법의 흐름도이다.
본 발명의 일양태에 따르면, 본 발명은 진공 챔버와, 진공 챔버 내에서 처리 공정 동안 기판을 지지하도록 구성되고 배열된 기판 지지물과, 그리고 기판이 시스템 내의 물리적 환경의 변화에 기인하여 진공 챔버 내로 전달될 때 기판이 오정렬되었는지의 여부를 검출하도록 구성되고 배열된 기판 정렬 검출기를 포함하는 기판 처리 시스템을 구현한다.
실시예는 다음과 같은 하나 이상의 특징을 포함한다.
기판 정렬 검출기는 기판 지지물에 연결된 진동 검출기를 포함하고 있다. 이러한 진동 검출기는 기판의 위치를 검출하기 위한 가속도계 또는 광센서를 포함하고 있다. 기판 정렬 검출기는 기판의 오정렬 크기를 나타내는 신호를 발생시키도록 구성되어 있다. 모니터는 기판 정렬 검출기에 연결될 수도 있으며, 기판 정렬 검출기에 의해 발생된 신호가 임계값을 초과하는 경우 경보를 발하도록 구성되어 있다. 기판이 오정렬되었는지의 여부를 결정하고 시스템을 재보정하기 위해 제어기가 제공될 수도 있다.
기판 지지물에 대해 기판을 정렬시키기 위한 하나 이상의 정렬 핀을 갖춘 기판 정렬기가 제공된다. 기판 정렬기는 진공 챔버 내에 위치된 기판을 향하는 방향 및 기판으로부터 멀어지는 방향으로 이동할 수도 있으며, 하나 이상의 정렬 핀은 기판 지지물에 대해 오정렬된 기판과 접촉하여 오정렬된 기판을 정렬 위치로 안내하도록 위치되어 있다. 기판 정렬기는 기판 지지물 위로 기판을 지지하기 위한 하나 이상의 리프트 핀을 포함하고 있다. 기판이 기판 정렬기의 하나 이상의 정렬 핀과 접촉하였는지의 여부를 결정하기 위해 진동 검출기가 제공된다. 기판 정렬 검출기는 하나 이상의 정렬 핀이 기판과 접촉하였는지의 여부를 결정하도록 구성되어 있다. 기판 정렬 검출기는 기판 정렬기에 대해 비동일선상에 위치된 3개의 진동 검출기를 포함하고 있다.
본 발명의 다른 양태에 따르면, 본 발명은 처리 공정 동안 기판을 지지하기 위한 기판 지지물을 갖춘 진공 챔버 내로 기판을 전달하는 단계와, 진공 챔버 내에서의 진동을 검출함으로써 기판이 기판 지지물에 대해 오정렬되었는지의 여부를 결정하는 단계를 포함하는 기판 처리 방법을 구현한다.
실시예들은 다음과 같은 하나 이상의 특징을 포함할 수도 있다. 기판은 진공 챔버 내에서 처리되고 진공 챔버로부터 하역된다. 검출된 진폭이 임계값을 초과하는 경우 기판이 기판 지지물에 대해 오정렬되었음을 결정할 수 있다. 기판이 기판 지지물에 대해 오정렬되었음을 결정한 후에 시스템은 재보정된다. 시스템은, 기판이 진공 챔버 내로 전달될 때 처리되지 않는 기판의 위치를 기록하는 단계와, 기판이 진공 챔버로부터 하역될 때 처리된 기판의 위치를 기록하는 단계와, 그리고 기록된 기판의 위치차에 근거하여 기판의 오정렬을 보정하는 단계에 의해 보정된다. 이러한 시스템은 기판 오정렬의 크기(R) 및 방향(Φ)을 결정하는 단계와, 기판이 크기(R) 및 방향(Φ)에 근거하여 진공 챔버 내로 전달될 때 기판의 오정렬을 보정하는 단계에 의해 재보정된다.
본 발명의 또다른 양태에 따르면, 본 발명은 처리 공정 동안 기판을 지지하기 위한 기판 지지물을 갖춘 진공 챔버 내로 기판을 전달하는 단계와, 기판이 진공 챔버 내로 전달될 때의 기판을 위치를 기록하는 단계와, 기판이 기판 지지물에 대해 오정렬되었는지의 여부를 검출하는 단계와, 기판을 처리하는 단계와, 처리된 기판을 진공 챔버로부터 하역하는 단계와, 기판이 진공 챔버로부터 하역될 때 처리된 기판의 위치를 기록하는 단계와, 그리고 기록된 기판의 위치차에 근거하여 기판의 오정렬을 보정하는 단계를 포함하는 기판 처리 방법을 구현한다.
본 발명은 다음과 같은 장점을 갖는다. 본 발명은 기판이 오정렬될 때를 검출함으로써 기판 파괴율을 현저하게 감소시킬 수 있다. 이는 기판이 처리될 수 있는 시간을 증가시키며, 산출율 및 공정 수율을 증가시킨다. 또한, 본 발명은 시스템이 개방되고 대기 상태에 노출되어야 하는 횟수를 감소시킴으로써 기판 공정의 질을 현저하게 개선시킬 수 있다.
본 발명의 다른 특징 및 장점들은 이하의 도면 및 청구범위를 포함하는 상세한 설명으로부터 명백해질 것이다.
도 1a를 참조하면, 기판(11)을 처리하기 위한 시스템(10)은 대기 카셋트 적재 스테이션(12), 두 개의 로드록 챔버(14,16), 5개의 기판 처리 챔버(18∼26)(즉, 물리 기상 증착 챔버, 화학 기상 증착 챔버, 예열 챔버, 및 에칭 챔버), 및 전달 챔버(27)를 포함하고 있다. 대기 카셋트 적재 스테이션(12)은 자동화된 대기 전달 아암(36) 및 처리된 기판과 처리되지 기판을 수용하는 4개의 기판 카셋트(28∼34)를 포함하고 있다. 전달 챔버(27)는 기판을 로드록 챔버(14,16) 안팎으로 전달하기 위한 자동식 진공 전달 챔버(38) 및 처리 챔버(18∼26)를 포함하고 있다. 작동시에, 대기 카셋트 적재 스테이션(12)은 대기압 하에 있으며, 각각의 처리 챔버(18∼26)는 준대기압으로 유지된다. 로드록 챔버(14,16)는 기판이 대기 카셋트 적재 스테이션(12)으로 또는 스테이션(12)으로부터 전달될 때에는 대기압 하에 놓이며, 또한, 기판이 전달 챔버(27)로 또는 전달 챔버(27)로부터 전달될 때에는 준대기압 하에 놓이게 된다. 대기 전달 아암(36)은 대기 카셋트 적재 스테이션(12) 내의 선형 트랙을 따라 전후방으로 미끄러질 수 있다. 대기 전달 아암(36)은 또한 기판(11)을 로드록 챔버 내로 정교하게 위치시키기 위해 3개의 피봇 축선을 중심으로 회전될 수 있는 3개의 아암 세그먼트를 갖춘 전달 헤드(37)를 포함하고 있다. 전달 헤드(37)는 또한 도 2a에서 이중 헤드 화살표로 나타낸 바와 같이 상하로 이동될 수 있다. 대기 전달 아암(36)의 위치 및 방위는 마이크로프로세서 기초 제어기(35)에 의해 기록되고 제어된다.
도 1b 및 도 1c를 참조하면, 액정 디스플레이(LCD) 제조 공정에서 사용될 수도 있는 일실시예에서, 유리 기판은 다음과 같이 시스템(10) 내에서 처리될 수도 있다. 대기 전달 아암(36)이 대기 카셋트 적재 스테이션(12)으로부터 로드록 챔버(14)로 전달된다(단계 40). 로드록 챔버가 약 10-5토르의 압력으로 감압된다(단계 41). 제 1처리 챔버(22)가 예열된다(단계 43). 진공 전달 아암(38)이 로드록 챔버(14)로부터 기판을 하역하고(단계 42), 처리 챔버(22)로 기판을 전달한다(단계 44). 처리 챔버(22)가 약 10-8토르의 압력으로 감압하고 약 200 내지 400℃의 초기 공정 온도로 예열한다(단계 46). 진공 전달 아암(38)이 기판을 처리 챔버(22)로부터 하역하고(단계 47), 최종 공정을 위해 챔버(20)와 같은 다른 처리 챔버로 기판을 전달한다(단계 48). 처리 챔버(22)는 약 10-8토르의 압력으로 감압되고, 기판은 티타늄층, 알루미늄층, 크롬층, 타탈늄층, 인듐-틴-옥사이드(ITO)층이 증착됨으로써 처리된다(단계 49). 기판은 필요하다면 하나 이상의 다른 처리 챔버 내에서 처리될 수도 있다(단계50). 기판이 최종적으로 처리된 후에, 진공 처리 아암938)은 처리 챔버(20)로부터 기판을 하역하고(단계 51), 기판을 로드록 챔버(14)로 전달한다(단계 52). 로드록 챔버(14)는 대기압으로 일정하게 유지된다(단계 53). 대기 전달 아암(36)은 이후 로드록 챔버(14)로부터 대기 카셋트 적재 스테이션(12) 내의 카셋트로 기판을 전달한다(단계 54).
매번 기판이 하나의 챔버로부터 다른 챔버로 자동적으로 전달될 때, 기판은 처리 챔버 내의 구성 요소에 대해 오정렬될 수도 있다. 일반적으로, 기판이 처리 시스템(10)을 통해 전달될 때 정렬 에러가 축적된다. 만일 오정렬의 크기가 너무 큰 경우에는, 처리된 기판의 질이 현저하게 저하되거나, 기판이 파괴될 수도 있다. 기판의 현저한 오정렬을 방지하기 위해, 재보정되어야 하는 처리 시스템(10)은 기판이 처리 시스템(10)의 구성 요소에 대해 현저하게 오정렬되었는지의 여부를 결정하기 위한 하나 이상의 기판 정렬 검출기를 포함하고 있다. 정렬 검출기는 또한 오정렬의 방향 및 크기에 대한 정보를 제공하며, 이러한 정보는 시스템을 자동적으로 재보정하도록 사용될 수 있다.
도 1a, 도 2a, 및 도 2b를 참조하면, 대기 카셋트 적재 스테이션(12)은 처리 시스템(10)의 하나 이상의 구성 요소에 대해 기판의 정렬을 모니터링하기 위한 다수의 기판 정렬 검출기를 포함하고 있다. 정렬 검출기(60,62)는 각각 로드록 챔버(14,16)에 인접하게 위치되어 있다. 도 2a에 도시된 바와 같이, 정렬 검출기(60)는 광원(64)(즉, 레이저)을 발생시키기 위한 광비임(66) 및 광검출기(68)(즉, 포토다이오드)를 포함하고 있다. 대기 전달 아암(36)이 카셋트(30)로부터 로드록 챔버(14)를 향해 기판(11)을 이송할 때, 기판(11)의 리딩 에지(70)는 광비임(66)의 경로를 가로지를 것이며, 광비임(66)을 정렬 검출기(60)를 향해 반사시킨다. 대기 전달 아암(36)의 위치 및 기판(11)의 크기는 이미 공지되어 있다. 기판(11)과 대기 전달 아암(36)이 적절하게 정렬되는 경우, 기판(11)의 리딩 에지(70)가 비임(66)의 경로를 가로지를 때의 기준 시간을 결정하는데 사용된다. 정렬 검출기(60)는 기판(11)이 포토다이오드(68)에 의해 검출되는 시간에 근거하여 기판이 오정렬되었는지의 여부를 결정하는데 사용된다. 비임(66)이 미리 결정된 기준 시간 전에 검출된다면, 기판(11)은 대기 전달 아암(36)으로부터 멀어지는 방향으로 미리 결정된 위치에 대해 변위되는 경향이 있다. 비임(66)이 미리 결정된 기준 시간 후에 검출된다면, 기판(11)은 대기 전달 아암(36)을 향하는 방향으로 미리 결정된 정렬 위치에 대해 변위되는 경향이 있다.
도 2b에 도시된 바와 같이, 대기 전달 아암(36)의 전달 헤드(37)는 기판(11)을 지지하기 위한 두 개의 얇은 지지 블레이드(72,74)을 포함하고 있다. 전달 헤드(37)는 또한 지지 블레이드(72,74)의 말단부에 장착된 두 개의 정렬 검출기(76,78)를 포함하고 있다. 정렬 검출기(76,78)는 검출기(60,62)(도 1a)와 유사한 구성을 가지며, 이들 각각은 광비임을 발생시키기 위한 광원(즉, 레이저) 및 기판(11)의 바닥면으로부터 반사되는 광비임을 검출하기 위한 광검출기(즉, 포토다이오드)를 포함하고 있다. 정렬 검출기(76,78)는 기판(11)이 전달 헤드(37)에 대해 회전식으로 오정렬되는 경우를 결정하기 위해 사용된다. 만일 제 1정렬 검출기의 광검출기가 반사광을 검출하고 제 2정렬 검출기의 광검출기는 어떠한 반사광도 검출하지 못하는 경우, 기판(11)은 제 1정렬 검출기의 방향으로 회전하는 경향이 있다. 예컨대, 도 2b에 도시된 바와 같이, 기판(11)은 정렬 검출기(76)의 방향에서 적절하게 정렬된 위치에 대해 회전하며, 결과적으로 기판(11)은 정렬 검출기(76)에 의해 발생된 광비임의 경로를 가로지르지만, 정렬 검출기(78)에 의해 발생된 광비임의 경로를 가로지르지는 않는다. 정렬 검출기(76,78)은 또한 기판(11)이 전달 헤드(37)에 대해 오정렬되는 방향을 결정하는데 사용된다. 예컨대, 기판(11)이 정렬 검출기(76,78)에 의해 발생된 광비임의 경로를 가로지른다면, 기판(11)은 대기 전달 아암(36)을 향하는 방향에서 적절하게 정렬된 위치로부터 변위되는 경향이 있다.
이하게 상게하게 기술된 바와 같이, 제어기(35)(도 1a)는 정렬 검출기(60,62;76,78)로부터 기판(11)의 위치에 관한 정보를 수신하고, 이러한 정보를 대기 전달 아암(36)을 재보정하기 위해 사용하는데, 이에 의해 기판(11)의 오정렬이 보정된다. 이러한 정렬 검출기의 배열을 사용함으로써, 대기 전달 아암(36)이 약 ±0.5mm의 정확도로 600mm×720mm의 크기를 갖는 유리 기판을 로드록 챔버(14) 내로 전달되는 것이 관측되었다.
도 3을 참조하면, 진공 전달 아암(38)은 전달 챔버(27)의 바닥에 대해 밀폐된 베이스(80)를 가지고, 각각의 축(84, 85, 86, 87)에 대해 피봇함으로써, 이중 화살표(83)로 지시되듯이 연장 및 수축 가능한 한 쌍의 아암(81, 82)을 포함한다. 기판(11)은 2개의 지지 블레이드(90, 92)를 포함하는 지지 헤드(88) 상에 지지된다. 진공 전달 아암(38)은 또한 축선(94)에 대해 회전 가능하다.
도 4a 및 4b를 참조하면, 일실시예로서, 처리 챔버(20)가, 티타늄, 알루미늄, 크롬, 탄탈, 산화인듐주석(ITO) 등으로 형성된 타겟(100)과, 자석 어셈블리(104) 및 자석 스케닝 메카니즘(106)을 포함하는 자석 챔버(102)와, 기판 포트(110)(예컨대, 슬릿 밸브)를 통하여 기판(11)을 수용하는 처리 챔버(108)를 포함하는 물리기상증착(PVD) 스퍼터링 챔버(sputtering chamber)이다. 상기 타겟 어셈블리는 냉매로 충진될 수 있다. 대안으로서, 상기 자석 챔버가 기판 챔버(108) 내부 압력과 실질적으로 동일한 압력으로 감압될 수 있다. 자석 스케닝 메카니즘(106)은 상기 스퍼터링 과정을 보조하고, 타겟(100)의 불균일한 부식을 방지하기 위해 자석 어셈블리(104)를 앞뒤로 스캔한다. 처리 챔버(108)는 핀 플레이트(116), 다수개의 정렬 핀(118) 및 상기 서셉터(112) 내의 구멍을 통해 연장되는 다수개의 리프트 핀(120)을 포함하는 기판 정렬기(114)와 서셉터(스퍼터링 받침대)(112)를 포함한다. 쉐도우 프레임(122)은 상기 기판(11)의 후면상 또는 그 가장자리상에 스퍼터 물질이 증착되는 것을 방지하기 위해 처리과정 도중 상기 기판의 가장자리를 감싼다. 정렬 검출기(124, 126)는, 이하에서 설명될 바와 같이, 기판(11)이 쉐도우 프레임(122)에 대해 오정렬될 가능성이 있는지를 결정하는데 사용된다. 관련 내용에 대해서는 참고문헌인 미국특허 제5,352,294호를 참조하라.
도 4c를 참조하면, 정렬 핀(118)은 기판(11)의 외측 가장자리 바로 윗쪽 위치에서 핀 플레이트(116)에 설치된다. 일실시예에서, 상기 정렬 핀은 기판(11)이 적절히 정렬되었을 때, 기판(11) 가장자리와 정렬 핀 간의 간격이 약 0.02 인치가 되도록 배치된다. 바람직하게는, 포트(110)로부터 가장 멀리 떨어진 핀 플레이트(116)의 단부에 근접하여 위치한 4개의 정렬 핀이 포트(110)에 가장 가까이 위치한 4개의 정렬 핀보다 길어서, 기판(11)이 처리 챔버(108)로 전달되어 들어가거나 나올 때 짧은 정렬 핀의 상단이 쉽게 클리어링될 수 있게 한다. 기판(11)의 외측 가장자리에 대응하는 위치에 8개의 리프트 핀(120)이 핀 플레이트(116)에 설치되고, 기판(11)의 중앙부를 지지하기 위해 핀 플레이트(116)의 중심에 근접하여 2개의 리프트 핀(120)이 설치된다. 일실시예에서는, 상기 짧은 정렬 핀이 핀 플레이트(116) 위로 약 2.83 인치 연장되고, 긴 정렬 핀이 핀 플레이트(116) 위로 약 3.03 인치 연장되며, 정렬 핀 전체 세트는 약 10。 테이퍼진 첨단 영역(tip region)을 갖는다(도 4b). 상기 정렬 핀은 스테인레스 강과 같은 비교적 단단한 물질로 이루어지는 것이 바람직하고, 상기 리프트 핀은 알루미늄과 같은 비교적 보다 연한 물질로 이루어지는 것이 바람직하다.
도 4b, 4d 및 4e를 참조하면, 작동 과정으로서, 진공 전달 아암(38)의 상부 세그먼트(86)가 기판(11)을 포트(110)를 통해 처리 챔버(108)로 전달한다(도 4a). 기판(11)이 서셉터(susceptor)(112) 위로 위치하고(도 4b), 서셉터(112)와 핀 플레이트(fin plate)(116)는 기판(11)을 향해 함께 이동한다(도 4d). 기판(11)이 적절히 정렬되면(기판 위치(130)), 기판(11)은 정렬 핀(118)과 접촉하지 않고 리프트 핀(120)에 의해 진공 전달 아암(38)의 상부 세그먼트(86) 위로 지지된다. 만약 상기 기판(11)이 약간 오정렬되면(기판 위치(132)), 상기 기판(11)의 가장자리가 하나 또는 그 이상의 정렬 핀(118)과 접촉하게 되고, 상기 리프트 핀(120) 상의 위치를 향하여 상기 정렬 핀의 첨단 영역의 테이퍼진 측면을 미끄러져 내린다. 만약 상기 기판(11)이 심하게 오정렬되면(기판 위치(134)), 기판(11)이 하나 또는 그 이상의 정렬 핀(118)의 상단에 걸리게 되고, 리프트 핀(120) 전부에 의존하지는 않게 되는데, 이 경우 상기 기판(11)이 후속 처리 단계 도중 파손될 위험이 상당히 많다. 상기 기판(11)이 리프트 핀(120) 상에 위치하고 전달 아암이 처리 챔버(108)로부터 후퇴한 다음, 상기 기판이 서셉터(112) 상에 지지되고 상기 기판(11)의 가장자리가 쉐도우 프레임(122)으로 둘러싸이게 될 때까지 상기 서셉터(112)가 상기 기판(11)을 향해 상향 이동하는 동안, 핀 플레이트(116)는 고정된 채 유지된다(도 4e). 기판(11)이 쉐도우 프레임(122) 아래에 위치하게 되면, 상기 기판(11)은 기판 표면상에 스퍼터링함으로써 처리될 수 있다.
도 4a, 4b, 4d, 4e 및 5를 다시 참조하면, 정렬 검출기(124, 126)는 기판(11)이 하나 또는 그 이상의 상기 정렬 핀(118)과 충돌하거나 스치는 것을 검지하기 위한 진동 검출기(138)(예컨대, 미국, 캘리포니아, 산 주앙 카피스트라노에 위치한 엔데브코 코포레이션(Endevco Corporation)의 모델 No. P/N 2221F와 같은 가속도계)를 포함한다. 정렬 검출기(124)는 상기 정렬 핀 중 하나에 근접하여 핀 플레이트(116)의 아랫면에 설치되고, 정렬 검출기(126)는 상기 핀 플레이트(116)를 아래 위로 이동시키는 구동 메카니즘 상의 진공 챔버의 외측에 설치된다. 도 5에 도시된 바와 같이, 정렬 검출기는 또한 노이즈 필터(140), 엔벨로프 검출기(envelope detector)(142) 및 비교기(comparator)(144)를 포함한다. 차지 변환기(charge converter)(146)는 가속도계(138)에 의해 생성된 차치 신호(charge signal)를 전압 신호로 변환시키는데, 이는 스케일링 증폭기(148)에 의해 증폭된다. 노이즈 필터(140)는 기판(11)이 하나 또는 그 이상의 정렬 핀과 충돌하거나 또는 스치는 것과 실질적으로 관련된 주파수를 가진 신호를 통과시키는 밴드 패스 필터(band-pass filter)를 포함한다. 핀 플레이트에서의 (예를 들어, 핀 플레이트 구동 메카니즘, 저온 펌프(cryopump), 또는 다른 PVD 기기들에 의해 초래된)배경 진동(background vibration)이 주로 약 2.0 kHz 이하의 주파수로 제한되고, 약 2.0 kHz와 4.0 kHz의 사이의 신호 진폭이 상기 기판과 하나 또는 그 이상의 상기 정렬 핀 간의 기판 접촉을 잘 지적해준다는 것이 관찰된다. 10.24 kHz에서의 디지털화에 앞서 4.0 kHz 아날로그 안티얼라이어싱 필터(antialiasing filter)에 의해 밴드 패스 필터의 저역 통과부(low-pass section)가 제공된다. 엔벨로프 검출기(142)는 정류기(150), 리키 인티그레이터(leaky integrator)(152) 및 스케일링 증폭기(scaling amplifier)(154)를 포함한다. 상기 엔벨로프 검출기(142)에 의해 생성된 신호는 단극성(unipolar)(항상 양극)이고 노이즈 필터(140)에 의해 생성된 신호보다 느리게 변화하고, 따라서 상기 노이즈 필터와 동일한 극치(peak value)를 가지나 보다 낮은 대역폭을 가지며, 이로써 단순 레벨 경보(simple level alarm)를 개시하기에 알맞도록 한다.
도 6a 및 6b는 정렬 검출기(124)의 가속도계(138)의 출력 및 엔벨로프 검출기(142)의 출력에서 각각 생성되는 신호를 도시한 것이다. 크기가 550 mm ×650 mm ×0.7 mm 인 유리 기판이 오정려되어 정렬 핀과 약 0.04 인치 정도 겹쳐지고, 핀 플레이트 모터 구동 속도가 초당 약 22,222 인코더 펄스(encoder pulses)인 실험 결과로서 이 신호들이 얻어진다. 도 6b에 도시된 바와 같이, 상기 기판은 약 5초에 조금 못미치는 시간에 정렬 핀과 접촉하고, 후속하는 0.25 초 동안에 정렬 핀의 테이퍼진 가장자리를 미끄러져 내린다. 핀 플레이트 구동 메카니즘으로부터 초래된 노이즈 스펙트럼은 상기 구동 메카니즘이 작동하는 속도에 의존하는 것이 발견되었다. 상기 핀 플레이트 구동 속도의 감소 결과로서 상기 구동 노이즈 스펙트럼이 상기 밴드 패스 필터의 고역 통과부(high-pass section) 이하로 이동하여 내려갈 때 개선된 충돌 신호 검출이 관측되었다. 일 실시예에서 약 21 in-sec-2의 가속 경보 임계치(acceleration alarm threshold)가 초당 약 60,000 인코더 펄스의 핀 플레이트 구동 모터 속도에 적합하다는 것이 발견되었고, 적절한 가속 경보 임계치는 일반적으로 낮은 구동 모터 속도에서 낮아지는 경향이 있다. 또한, 상기 기판과 상기 정렬 핀 간의 접근 속도가 실질적으로 동일하더라도 상기 기판과 상기 정렬 핀 간의 간섭 레벨(level of interference)이 보다 클 경우 상기 검출기 출력이 일반적으로 보다 강하다는 것이 관측되었다. 특정 이론에 구애받지 아니하였을 때, 검지되어진 상기 현상들은 기판과 정렬 핀 간의 최초의 접촉은 아닐 것이고, 이 보다는 상기 기판의 가장자리가 들어올려진 다음에 상기 정렬 핀의 테이퍼진 부분을 미끄러져 내릴 때, 그리고 상기 기판이 상기 정렬 핀을 미끄러져 내린 다음 상기 리프트 핀과 충돌할 때 생성된 진동이라고 생각된다.
정렬 검출기(124, 126)의 검출 파라미터는 상기 기판의 특징적인 특성에 의존하여 변화되어야 한다. 예를 들어, 상기 신호의 검출성(detectability)은 상기 기판의 굽힘 강성에 따라 변한다는 것이 또한 관측되었다. 두꺼운 유리(예를 들어, 약 1.1 mm)는 얇은 유리(예를 들어, 약 0.7 mm)보다 거의 4배의 굽힘 강성을 가진다. 만약 상기 정렬 핀 중 하나와 접촉하면, 두꺼운 유리는 접촉한 정렬 핀에 근접한 하나 또는 그 이상의 리프트 핀에 완전히 들어올려 질 것이나, 이에 비해 얇은 유리는 굽혀져서 단지 가장자리 리프트(edge lift)를 수용하고 상기 리프트 핀과의 접촉을 잃지는 않을 것이다. 이런 범위의 가장자리 리프트 내에서, 두꺼운 유리는 상기 정렬 핀을 다시 미끄러져 내릴 때 리프트 핀에 대한 날카로운 충돌을 만들 수 있다. 반면, 얇은 유리는 다시 미끄러져 내리기 보다는 상기 정렬 핀 상에 단지 걸려져서 보다 검출하기 어려운 비교적 작은 진동을 생성할 것이다. 기판 가장자리의 조건은 또한 기판과 정렬 핀 간의 접촉의 검출성에 영향을 준다. 예를 들어, 날카로운 가장자리의 유리는 일반적으로 매끄러운 가장자리의 유리보다는 상기 정렬 핀을 미끄러져 내리기 전에 상기 리프트 핀 위로 보다 높게 들어올려지고, 따라서, 날카로운 가장자리의 유리의 오정렬됨은 매끄러운 가장자리의 유리보다 일반적으로 보다 검출하기가 용이한 큰 진동을 만들어 낸다.
상기한 바와 같이, 다양한 정렬 검출기로부터의 정보는 기판이 상기 처리 시스템의 구성요소에 대해 오정렬된 경우를 결정하는데 사용될 수 있다. 기판이 심각하게 오정렬되었음이 검출되면, 상기 처리 시스템은 일시적으로 가동이 중단되어 하나 또는 그 이상의 전달 아암이 재보정(recalibration)될 수 있도록 한다. 이러한 접근은 진공 챔버 중 하나 내에서 기판이 파손될 가능성을 현저히 감소시키고, 이로써 시스템이 기판을 처리하는데 이용될 수 있는 시간을 증가시킨다. 경보 임계치가 비교적 낮으면, 기판 처리는 경보가 개시된 다음에도 계속될 수 있고, 경보 임계치가 비교적 높으면, 경보가 개시되자마자 기판 처리가 즉시 중단될 것이다.
도 7a에 도시된 바와 같이, 기판 처리의 한 가지 방법으로서, 대기 전달 아암(36)이 처리될 다음 기판을 기판 카셋트로부터 로드록 챔버(load lock chamber)로 전달한다(스텝 150). 진공 전달 아암(38)은 상기 로드록 챔버로부터 상기 기판을 들어내고(스텝 152), 만약 상기 기판이 아직 처리되지 않았으면(스텝 154), 진공 전달 아암(38)은 전달 챔버(27)로부터 다음 처리 챔버로 상기 기판을 전달한다(156). 정렬 검지기(124, 126)는 상기 처리 챔버로 적재된 기판으로부터 초래된 진동의 크기를 검출한다(스텝 158). 만약 검출된 진동의 크기가 소정 임계치(예컨대, 약 21 inch-sec-2)보다 크면(스텝 160), 오정렬 경보(misalignment alarm)가 개시되고 시스템이 재보정되어야 할 기록이 작성된다(스텝 162). 그 다음, 오정렬 경보가 발생되든 그렇지 아니하든, 기판은 처리된다(스텝 164). 그 다음, 진공 전달 아암(38)이 상기 로드록 챔버에서 상기 기판을 들어낸다(스텝 152). 만약 기판이 완전하게 처리되지 않았으면(스텝 154), 진공 전달 아암(38)이 전달 챔버(27)로부터 다음 처리 챔버로 기판을 전달하고(스텝 156), 그렇지 않으면, 진공 전달 아암(38)이 처리된 기판을 로드록 챔버로 전달하고(스텝 166) 대기 전달 아암(36)이 상기 처리된 기판을 대기 상기 적재 스테이션(12) 내의 기판 카셋트로 전달한다(스텝 168). 만약 오정렬 경보가 개시되면(스텝 170), 시스템은 재보정되고(스텝 172), 그렇지 않으면, 처리될 다음 기판이 상기 로드록 챔버로 적재된다(스텝 150).
도 7b에 도시된 처리 방법은, 오정렬 경보가 개시되면(스텝 162) 시스템이 재보정될 수 있도록(스텝 172) 조립 과정이 즉시 종결되고 기판이 즉시 대기 카셋트 적재 스테이션으로 다시 전달된다(스텝 180)는 점을 제외하면, 도 7a에 도시된 방법과 유사하다.
도 8a는 상기 처리 시스템을 자동으로 재보정하는 한 가지 방법을 도시한 것이다. 대기 전달 아암(36)이 카셋트로부터 처리될 다음 기판을 적재한다(스텝 200). 대기 전달 아암(36)에 대한 기판의 회전 방향이 정렬 검출기(76, 78)로부터 얻어진 신호에 기초하여 기록된다(스텝 202). 기판이 로드록 챔버로 적재될 때 정렬 검출기(60, 62) 중 하나로부터의 신호에 기초하여 소정 정렬 위치에 대한 기판의 상대적 위치가 기록된다(스텝 204). 제어기(35)(도 1a)가, 상기 기판을 상기 로드록 챔버로 적재할 때 검출되는 오정렬을 자동적으로 보상하기 위한 기록된 정보를 이용하고(스텝 206), 이 보상은 상기 검출 위치에 대응하는 대기 전달 아암(36)의 위치의 내부적 표시를 조절함으로써 달성된다. 그 다음, 상기 기판은 상기에 기술된 바와 같이 처리된다(스텝 208). 이와 같은 정렬 검출기의 배열을 이용하여 대기 전달 아암(36)이 약 ±0.5 mm의 정밀도를 갖는 600mm ×720 mm의 유리 기판을 로드록 챔버(14)로 전달하는 것이 관찰되었다.
도 8b는 단독으로 또는 도 8a의 재보정 방법과 조합하여 사용될 수 있는, 처리 시스템을 재보정하는 다른 방법을 도시한 것이다. 대기 전달 아암(36)이 처리될 다음 기판을 카셋트로부터 로드록 챔버 중 하나로 전달한다(스텝 210). 정렬 검출기(76, 78 및 60(또는 62))로부터 얻어진 정보로부터 상기 기판의 위치(P0; 크기와 방향)가 결정되고, 이것이 기록된다(스텝 212). 그 다음, 상기 기판이 처리된다(스텝 214). 처리된 기판이 상기 로드록 챔버로부터 들어내어질 때, 정렬 검출기(76, 78 및 60(또는 62))로부터 얻어진 정보로부터 기판의 위치(P; 크기와 방향)가 결정되고 기록된다(스텝 216). 만약 P와 P0간의 차이가 미리 선택된 임계치(Pth)(예컨대, 약 0.02 inch 내지 약 0.03 인치)보다 크면(스텝 218), 진공 전달 아암(38)은 재보정되고(스텝 220), 그렇지 않으면, 다음 기판이 로드록 챔버로 적재된다(스텝 210). 따라서, Pth보다 큰 P와 P0간의 차이는 진공 전달 아암(38)의 재보정을 발생시킨다.
진공 전달 아암(38)은 다양한 방식으로 재보정될 수 있다. 예를 들어, 전달 챔버(27)가 일시적으로 대기압에 놓여질 수 있고, 진공 전달 아암(38)이 수동으로 재보정될 수 있다. 대안으로서는, 진공 전달 아암(38)이, 예컨대, 도 8b의 방법에 의해 정해진 오정렬의 크기와 방향에 기초하여 자동으로 재보정될 수 있다. 예를 들면, 진공 전달 아암(38)이 반복적으로 재보정되고, 이에 의해 각각의 기판 처리 사이클에 대해서 P와 P0간의 차이가 진공 전달 아암(38)의 제어기로 피드백되어, 모든 오정렬이 진공 전달 아암(38)의 오정렬에 기인한다는 가정에 기초하여 진공 전달 아암(38)을 자동으로 재보정하는데 이 정보가 이용될 수 있다.
도 9를 참조하면, 하나 또는 그 이상의 처리 챔버가, 핀 플레이트(238)의 후면 상의 비 일직선상인(non-collinear) 3개의 위치에 설치된 3개의 진동 검출기(232, 234, 236)를 포함하는 정렬 검출기를 가진 핀 플레이트를 포함할 수 있다. 기판이 화살표(240)로 지시되는 방향으로 처리 챔버내로 적재될 때, 기판이 핀 플레이트(238)의 상단면 상에 위치한 하나 또는 그 이상의 정렬 핀과 접촉하면 접촉된 정렬 핀으로부터 진동이 발하여질 것이고, 이는 진동 검출기(232, 234, 236)에 의해 검출될 것이다. 진공 전달 아암(38)이 처리 챔버로 이동할 때, 그 위치가 알려지므로 실질적으로 정렬 핀이 기판과 접촉하는 시간(기준 시간)을 미리 알 수 있다.
또한, 상기 진동 검출기가 상기 정렬 핀에 대해 서로 다른 위치에 배치되므로, 접촉에 기인한 진동이 진동 검출기(232, 234,236)에 의해 검출되는데 걸리는 시간은 어떤 정렬 핀이 기판과 접촉하는지를 결정하는데 이용될 수 있다. 예를 들어, 상기 기준 시간과 접촉에 기인한 진동이 진동 검출기에 의해 검출되는데 걸리는 시간 사이의 지연(delay)은 접촉된 정렬 핀과 상기 진동 검출기 간의 거리를 어느 정도 가늠할 수 있게 해준다. 이와 같이 거리를 대략적으로 결정하는 것은, 상기 정렬 검출기에 대한 상기 정렬 핀의 위치에 대한 정보와 아울러, 어떤 정렬 핀이 기판과 접촉하는지를 결정하는데 사용될 수 있다. 상기 기판과 상기 전달 아암 간의 회전 오정렬(rotational misalignment)(Φ)은 기판(11)과 접촉한 것으로 식별된 정렬 핀에 기초하여 결정될 수 있다. 이 정보는 진공 전달 아암(38)을 재보정하는데 사용될 수도 있다.
도 10a를 참조하면, 다른 실시예로서, 정렬 검출기(250, 254)가 기판(11)의 위치에 관한 정보, 진공 전달 아암(38)을 재보정하는데 이용될 수 있는 정보를 제공한다. 정렬 검출기(250)는 광선(258)을 생성하는 광원(256)(예컨대, 레이저)과 광 검출기(260)(예컨대, 광 다이오드)를 포함한다. 진공 전달 아암(38)은 기판(11)을 처리 챔버(22)로 이송하고(음영으로 도시됨), 기판(11)의 선두 가장자리(leading edge)(262)는 광선(258)의 경로와 교차하여 광선(258)이 정렬 검출기(250)로 재반사되도록 한다. 진공 전달 아암(38)의 위치와 기판(11)의 크기는 미리 알려져 있다. 이 정보는 만약 기판(11)이 진공 전달 아암(38)과 정렬되어 있다면, 기판(11)의 선두 가장자리(262)가 광선(258)의 경로와 교차할 때의 기준 시간를 정하는데 이용된다. 정렬 검출기(250)는 기판(11)이 오정렬될 가능성이 있는지를 결정하는데, 그리고 광선(258)이 광 검출기(260)에 의해 처음 검출된 시간에 기초한 오정렬의 크기(R)를 결정하는데 이용된다. 만약 광선(258)이 소정의 기준 시간에 앞서 검출되면, 기판(11)은 진공 전달 아암(38)으로부터 이격되는 방향의 소정 정렬 위치에 대하여 상대적으로 위치가 바뀌어질 것이다. 만약, 광선(258)이 소정의 기준 시간 이후에 검출되면, 기판(11)은 진공 전달 아암(38)을 향하는 방향의 소정 정렬 위치에 대하여 상대적으로 위치가 바뀌어질 것이다. 정렬 검출기(254)는 상기 정렬 핀 중 하나에 근접한 핀 플레이트(116)의 후면 상에 위치한 진동 검출기를 포함한다. 만약,정렬 검출기(254)에 의해 검출된 접촉에 기인한 진동의 크기가 소정 임계치보다 크면, 상기 처리 시스템은 앞서 기술한 바와 같이 재보정될 것이다. 또한, 기판(11)이 상기 정렬 핀 중 하나 또는 그 이상과 접촉하는 시간과 정렬 검출기(254)에 의해 접촉에 기인한 진동이 검출되는 시간 사이의 지연은 접촉된 정렬 핀과 진동 검출기 간의 거리를 결정하는데 이용될 수 있고, 이어서 이 정보는 상기 정렬 핀 중 어느 것이 기판(11)과 접촉하는지를 결정하는데 이용될 수 있다. 기판(11)과 진공 전달 아암(38) 간의 회전 오정렬(Φ)은 기판(11)과 어떤 정렬 핀이 접촉하였는지에 기초하여 결정될 수 있다. 이 정보는 진공 전달 아암(38)을 재보정하는데 이용될 수 있다.
도 10b를 참조하면, 진공 전달 아암(38)은 하기와 같은 정렬 검출기(250, 254)(도 10a)에 의해 제공된 정보에 기초하여 재보정될 수 있다. 정렬 검출기(250, 254)로부터의 정보는 진공 전달 아암(38)의 작동을 제어하는 마이크로프로세서에 의해 수용된다(스텝 270). 기판 오정렬의 크기(R) 및 방향(Φ)이 결정된다(스텝 272). 그 다음, 진공 전달 아암(38)은 R 및 Φ에 기초하여 재보정된다(스텝 274). 도 7a 및 7b에 대해 기술된 바와 같이, 진공 전달 아암(38)은 기판(11)이 처리된 후에 재보정될 수 있고, 또는 주요 기판 오정렬이 검출됨과 동시에 진공 전달 아암(38)이 재보정될 수도 있다. 진공 전달 아암(38)은 수동으로 재보정되거나 혹은 정렬 검출기(250, 254)로부터의 계속적 피드백에 기초하여 반복적으로 재보정될 수 있다.
관련 정보에 대해서는 참고자료인 미국 특허 제5,535,306호 및 제5,537,311호를 참조하라.
다른 실시예들 또한 본 발명의 특허청구범위의 범주 내에 있다.

Claims (20)

  1. 기판 처리 장치로서,
    진공 챔버와,
    상기 진공 챔버 내에 위치되고 처리 공정 동안 상기 기판을 지지하도록 구성되고 배열된 기판 지지물과, 그리고
    상기 기판이 상기 장치 내의 물리적 환경의 변화에 근거하여 상기 진공 챔버 내로 전달될 때 상기 기판이 오정렬되었는지의 여부를 검출하도록 구성되고 배열된 기판 정렬 검출기를 포함하는 기판 처리 장치.
  2. 제 1항에 있어서, 상기 기판 정렬 검출기는 상기 기판 지지물에 접속된 진동 검출기를 포함하는 기판 처리 장치.
  3. 제 2항에 있어서, 상기 진동 검출기는 가속도계를 포함하는 기판 처리 장치.
  4. 제 1항에 있어서, 상기 기판 지지물에 대해 상기 기판을 정렬시키기 위한 하나 이상의 정렬 핀을 갖춘 기판 정렬기를 더 포함하는 기판 처리 장치.
  5. 제 4항에 있어서, 상기 기판 정렬기는 상기 진공 챔버 내에 위치된 상기 기판을 향하는 방향 및 상기 기판으로부터 멀어지는 방향으로 이동될 수 있으며, 상기 하나 이상의 정렬 핀은 상기 기판 지지물에 대해 오정렬된 기판과 접촉하여 상기 오정렬된 기판을 정렬 위치로 안내하도록 위치되어 있는 기판 처리 장치.
  6. 제 5항에 있어서, 상기 기판 정렬기는 상기 기판 지지물 위로 기판을 지지하기 위한 하나 이상의 리프트 핀을 포함하는 기판 처리 장치.
  7. 제 4항에 있어서, 기판이 상기 기판 정렬기의 상기 하나 이상의 정렬 핀과 접촉하였는지의 여부를 결정하기 위한 진동 검출기를 더 포함하는 기판 처리 장치.
  8. 제 4항에 있어서, 상기 기판 정렬 검출기는 상기 하나 이상의 정렬 핀이 상기 기판과 접촉하였는지의 여부를 결정하도록 구성되어 있는 기판 처리 장치.
  9. 제 4항에 있어서, 상기 기판 정렬 검출기는 상기 기판 정렬기에 대해 비동일선상에 위치된 3개의 진동 검출기를 포함하는 기판 처리 장치.
  10. 제 1항에 있어서, 상기 정렬 검출기는 기판의 오정렬 정도를 나타내는 신호를 발생시키도록 구성되어 있는 기판 처리 장치.
  11. 제 10항에 있어서, 상기 정렬 검출기에 접속되고 상기 정렬 검출기에 의해 발생된 신호가 임계값을 초과하는 경우에 경보를 발하도록 구성된 모니터를 더 포함하는 기판 처리 장치.
  12. 제 1항에 있어서, 상기 기판이 오정렬되었는지의 여부를 결정하고 상기 장치를 재보정하기 위한 제어기를 더 포함하는 기판 처리 장치.
  13. 기판 처리 장치로서,
    진공 챔버와,
    상기 진공 챔버 내에 위치되고 상기 진공 챔버 내에 위치된 기판을 향하는 방향 및 상기 기판으로부터 멀어지는 방향으로 이동할 수 있는 기판 지지물과,
    상기 기판 지지물에 대해 상기 기판을 정렬시키기 위한 하나 이상의 정렬 핀을 갖춘 기판 정렬기와, 그리고
    상기 기판이 상기 기판 정렬기의 상기 하나 이상의 정렬 핀과 접촉하였는지의 여부를 결정하기 위한 하나 이상의 진동 검출기를 갖춘 기판 정렬 검출기를 포함하며,
    상기 기판 정렬기는 상기 진공 챔버 내에 위치된 상기 기판을 향하는 방향 및 상기 기판으로부터 멀어지는 방향으로 이동될 수 있으며, 상기 하나 이상의 정렬 핀은 상기 기판 지지물에 대해 오정렬된 기판과 접촉하여 상기 오정렬된 기판을 정렬 위치로 안내하도록 구성되고 배치된 기판 처리 장치.
  14. 기판 처리 장치로서,
    진공 챔버와,
    상기 진공 챔버 내 및 상기 진공 챔버 밖으로 기판을 이송하기 위한 전달 아암과,
    상기 진공 챔버 내에 위치되어 있고 상기 전달 아암에 의해 이송된 기판을 향하는 방향 및 기판으로부터 멀어지는 방향으로 이동할 수 있는 기판 지지물과,
    상기 기판 지지물에 대해 상기 기판을 정렬시키기 위한 하나 이상의 정렬 핀을 갖춘 기판 정렬기와, 그리고
    상기 기판이 상기 기판 정렬기의 상기 하나 이상의 정렬 핀과 접촉하였는지의 여부를 검출하기 위한 하나 이상의 진동 검출기를 갖춘 기판 정렬 검출기를 포함하며,
    상기 기판 정렬기는 상기 전달 아암에 의해 이송된 상기 기판을 향하는 방향 및 상기 기판으로부터 멀어지는 방향으로 이동될 수 있으며, 상기 하나 이상의 정렬 핀은 상기 기판 지지물에 대해 오정렬된 기판과 접촉하여 상기 오정렬된 기판을 정렬 위치로 안내하도록 구성되고 배치된 기판 처리 장치.
  15. 기판 처리 방법으로서,
    처리 공정 동안 기판을 지지하기 위한 기판 지지물을 갖춘 진공 챔버 내로 기판을 전달하는 단계와,
    상기 진공 챔버 내에서의 진동을 검출함으로써 상기 기판이 상기 기판 지지물에 대해 오정렬되었는지의 여부를 결정하는 단계를 포함하는 기판 처리 방법.
  16. 제 15항에 있어서, 상기 검출된 진폭이 임계값을 초과할 때 상기 기판이 상기 기판 지지물에 대해 오정렬되었음이 결정되는 방법.
  17. 제 15항에 있어서, 상기 기판 지지물에 대해 상기 기판이 오정렬되었음을 결정한 후에 장치를 재보정하는 단계를 더 포함하는 방법.
  18. 제 17항에 있어서, 상기 기판을 처리하는 단계와, 상기 진공 챔버로부터 상기 기판을 하역하는 단계를 더 포함하며,
    상기 장치는,
    상기 기판이 상기 진공 챔버 내로 전달될 때 상기 기판의 위치를 기록하는 단계와,
    상기 기판이 상기 진공 챔버로부터 하역될 때 상기 처리된 기판의 위치를 기록하는 단계와, 그리고
    상기 기록된 기판의 위치차에 근거하여 상기 기판의 오정렬을 보정하는 단계에 의해 재보정되는 기판 처리 방법.
  19. 제 17항에 있어서, 상기 기판의 오정렬의 크기(R) 및 방향(Φ)을 결정하는 단계와, 상기 크기(R) 및 상기 방향(Φ)에 근거하여 상기 기판이 상기 진공 챔버 내로 전달될 때 상기 기판의 오정렬을 보정하는 단계에 의해 상기 장치가 재보정되는 기판 처리 방법.
  20. 기판 처리 방법으로서,
    처리 공정 동안 기판을 지지하기 위한 기판 지지물을 갖춘 진공 챔버 내로 기판을 전달하는 단계와,
    상기 기판이 상기 진공 챔버 내로 전달될 때의 상기 기판을 위치를 기록하는 단계와,
    상기 기판이 상기 기판 지지물에 대해 오정렬되었는지의 여부를 검출하는 단계와,
    상기 기판을 처리하는 단계와,
    상기 처리된 기판을 상기 진공 챔버로부터 하역하는 단계와,
    상기 기판이 상기 진공 챔버로부터 하역될 때 상기 처리된 기판의 위치를 기록하는 단계와, 그리고
    상기 기록된 기판의 위치차에 근거하여 상기 기판의 오정렬을 보정하는 단계를 포함하는 기판 처리 방법.
KR1020007003884A 1997-10-10 1998-10-06 자동화된 기판 처리 장치 및 방법 KR100638407B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/949,207 1997-10-10
US8/949,207 1997-10-10
US08/949,207 US6205870B1 (en) 1997-10-10 1997-10-10 Automated substrate processing systems and methods
PCT/US1998/020999 WO1999019777A1 (en) 1997-10-10 1998-10-06 Automated substrate processing systems and methods

Publications (2)

Publication Number Publication Date
KR20010031055A true KR20010031055A (ko) 2001-04-16
KR100638407B1 KR100638407B1 (ko) 2006-10-24

Family

ID=25488747

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007003884A KR100638407B1 (ko) 1997-10-10 1998-10-06 자동화된 기판 처리 장치 및 방법

Country Status (5)

Country Link
US (2) US6205870B1 (ko)
EP (1) EP1023645A4 (ko)
JP (2) JP4469492B2 (ko)
KR (1) KR100638407B1 (ko)
WO (1) WO1999019777A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100914199B1 (ko) * 2002-12-27 2009-08-27 엘지디스플레이 주식회사 액정표시소자를 형성하기 위한 플라즈마 증착장비
KR101363591B1 (ko) * 2005-07-11 2014-02-14 브룩스 오토메이션 인코퍼레이티드 자동 정렬 기능을 갖는 기판 이송 장치
KR20160092922A (ko) * 2015-01-28 2016-08-05 도쿄엘렉트론가부시키가이샤 기판 처리 장치

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001127136A (ja) * 1999-10-29 2001-05-11 Applied Materials Inc 基板搬送ロボットの検査装置
JP2002203885A (ja) * 2000-12-27 2002-07-19 Anelva Corp インターバック型基板処理装置
JP4856308B2 (ja) * 2000-12-27 2012-01-18 キヤノンアネルバ株式会社 基板処理装置及び経由チャンバー
DE60238752D1 (de) * 2001-03-29 2011-02-10 Toyota Chuo Kenkyusho Kk Ein verfahren zum erzeugen einer hohlen struktur aus einer silizium-struktur
US7505832B2 (en) * 2003-05-12 2009-03-17 Applied Materials, Inc. Method and apparatus for determining a substrate exchange position in a processing system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
JP2005262367A (ja) * 2004-03-18 2005-09-29 Tokyo Electron Ltd 搬送ロボットの搬送ズレ確認方法及び処理装置
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
WO2006031925A2 (en) * 2004-09-15 2006-03-23 Nokia Corporation Providing zapping streams to broadcast receivers
JP4006004B2 (ja) * 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
US7644637B2 (en) * 2006-09-25 2010-01-12 Omniprobe, Inc. Method and apparatus for transfer of samples in a controlled environment
US7712370B2 (en) * 2006-12-22 2010-05-11 Asm Japan K.K. Method of detecting occurrence of sticking of substrate
US8758514B2 (en) * 2007-03-02 2014-06-24 Asm Japan K.K. Cluster type semiconductor processing apparatus
CN102112274B (zh) * 2008-08-01 2014-11-19 爱发科股份有限公司 搬运机器人的示教方法
JP5261085B2 (ja) * 2008-09-05 2013-08-14 東京エレクトロン株式会社 基板載置機構、基板処理装置、基板載置機構の制御方法及び記憶媒体
US20100129940A1 (en) * 2008-11-24 2010-05-27 Texas Instruments Incorporated Vibration monitoring of electronic substrate handling systems
US20100151127A1 (en) * 2008-12-12 2010-06-17 Applied Materials, Inc. Apparatus and method for preventing process system contamination
JP5303254B2 (ja) * 2008-12-15 2013-10-02 東京エレクトロン株式会社 異物除去方法及び記憶媒体
US9691650B2 (en) * 2009-09-29 2017-06-27 Applied Materials, Inc. Substrate transfer robot with chamber and substrate monitoring capability
JP5723612B2 (ja) * 2011-01-28 2015-05-27 リンテック株式会社 板状部材の支持装置
US9371584B2 (en) * 2011-03-09 2016-06-21 Applied Materials, Inc. Processing chamber and method for centering a substrate therein
US20130156530A1 (en) * 2011-12-14 2013-06-20 Intermolecular, Inc. Method and apparatus for reducing contamination of substrate
JP6114060B2 (ja) * 2013-02-27 2017-04-12 東京エレクトロン株式会社 基板搬送装置、基板受渡位置確認方法及び基板処理システム
US9857780B1 (en) * 2013-03-15 2018-01-02 Daniel Reed Positioning work stop
WO2016077387A1 (en) 2014-11-10 2016-05-19 Brooks Automation, Inc. Tool auto-teach method and apparatus
JP7335647B2 (ja) * 2018-08-10 2023-08-30 アピックヤマダ株式会社 ワーク搬送装置及び樹脂モールド装置
JP7149815B2 (ja) * 2018-11-15 2022-10-07 川崎重工業株式会社 ロボットシステム及びその運転方法
DE102018009871A1 (de) * 2018-12-19 2020-06-25 Vat Holding Ag Stifthubvorrichtung mit Zustandsüberwachung

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158171A (en) 1977-09-14 1979-06-12 Ade Corporation Wafer edge detection system
US4344160A (en) 1980-05-02 1982-08-10 The Perkin-Elmer Corporation Automatic wafer focusing and flattening system
IT1200370B (it) * 1981-03-25 1989-01-18 Goldstein Pinchas Apparecchiatura di protezione ottica a traguardo passiva e complesso riflettore stabilizzato impiegato in essa
US4457664A (en) 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
US4409087A (en) 1982-05-18 1983-10-11 The Perkin-Elmer Corp. Wafer detection circuitry for high vacuum use
US4513430A (en) 1982-05-24 1985-04-23 Varian Associates, Inc. Missing or broken wafer sensor
US4603466A (en) 1984-02-17 1986-08-05 Gca Corporation Wafer chuck
US4657621A (en) 1984-10-22 1987-04-14 Texas Instruments Incorporated Low particulate vacuum chamber input/output valve
US4873447A (en) * 1985-01-28 1989-10-10 Tokyo Electron Limited Wafer transport apparatus for ion implantation apparatus
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4697089A (en) 1986-06-18 1987-09-29 Tegal Corporation Dual wavelength sensor which employs object as part of a corner reflector
JPH0652758B2 (ja) 1987-02-09 1994-07-06 日本電信電話株式会社 静電チヤツク
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
WO1988009054A1 (en) 1987-05-06 1988-11-17 Labtam Limited Electrostatic chuck using ac field excitation
KR960010067B1 (ko) 1987-08-07 1996-07-25 도오교오 에레구토론 가부시끼가이샤 회전 이동 장치
US5102280A (en) 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
JP2865320B2 (ja) 1989-08-07 1999-03-08 株式会社資生堂 固型化粧料組成物
JPH0736417B2 (ja) 1989-10-24 1995-04-19 株式会社メツクス ウエハーの位置決め装置
US5194743A (en) 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
US5281921A (en) 1990-04-24 1994-01-25 Novak James L Non-contact capacitance based image sensing method and system
JPH04193951A (ja) * 1990-11-28 1992-07-14 Tokyo Electron Ltd 保持装置
US5208648A (en) 1991-03-11 1993-05-04 International Business Machines Corporation Apparatus and a method for high numerical aperture microscopic examination of materials
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5267607A (en) * 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
US5319216A (en) 1991-07-26 1994-06-07 Tokyo Electron Limited Substrate detector with light emitting and receiving elements arranged in staggered fashion and a polarization filter
US5275683A (en) 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JP3074579B2 (ja) * 1992-01-31 2000-08-07 キヤノン株式会社 位置ずれ補正方法
US5222329A (en) 1992-03-26 1993-06-29 Micron Technology, Inc. Acoustical method and system for detecting and controlling chemical-mechanical polishing (CMP) depths into layers of conductors, semiconductors, and dielectric materials
JPH05315433A (ja) * 1992-05-14 1993-11-26 Nec Corp ウェハホルダ
DE69329269T2 (de) * 1992-11-12 2000-12-28 Applied Materials, Inc. System und Verfahren für automatische Positionierung eines Substrats in einem Prozessraum
JPH06156624A (ja) 1992-11-13 1994-06-03 Ebara Corp 載置物の置き台
US5382311A (en) 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5436790A (en) 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
JP2683208B2 (ja) 1993-01-28 1997-11-26 アプライド マテリアルズ インコーポレイテッド ロボット機構を用いた搬入および搬出のためのワークピース位置合わせ方法および装置
US5535306A (en) 1993-01-28 1996-07-09 Applied Materials Inc. Self-calibration system for robot mechanisms
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
JPH06244268A (ja) 1993-02-16 1994-09-02 Tokyo Electron Tohoku Ltd 移載装置
US5539323A (en) 1993-05-07 1996-07-23 Brooks Automation, Inc. Sensor for articles such as wafers on end effector
DE69402918T2 (de) 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
JP3297771B2 (ja) 1993-11-05 2002-07-02 ソニー株式会社 半導体製造装置
JPH07249586A (ja) 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
US5441768A (en) 1994-02-08 1995-08-15 Applied Materials, Inc. Multi-step chemical vapor deposition method for thin film transistors
US5466945A (en) * 1994-03-23 1995-11-14 Eaton Corporation Apparatus for detecting proper positioning of objects in a holder
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US5518593A (en) 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5606251A (en) 1994-07-15 1997-02-25 Ontrak Systems, Inc. Method and apparatus for detecting a substrate in a substrate processing system
US5654508A (en) 1995-02-23 1997-08-05 Gibbs; John Harvey Method of determining a store's physical inventory
US5607602A (en) 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
JP3973112B2 (ja) * 1995-06-07 2007-09-12 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド ウェーハの向き整合システム
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5768125A (en) * 1995-12-08 1998-06-16 Asm International N.V. Apparatus for transferring a substantially circular article
US5948986A (en) 1997-12-26 1999-09-07 Applied Materials, Inc. Monitoring of wafer presence and position in semiconductor processing operations

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100914199B1 (ko) * 2002-12-27 2009-08-27 엘지디스플레이 주식회사 액정표시소자를 형성하기 위한 플라즈마 증착장비
KR101363591B1 (ko) * 2005-07-11 2014-02-14 브룩스 오토메이션 인코퍼레이티드 자동 정렬 기능을 갖는 기판 이송 장치
KR20160092922A (ko) * 2015-01-28 2016-08-05 도쿄엘렉트론가부시키가이샤 기판 처리 장치

Also Published As

Publication number Publication date
JP2003526894A (ja) 2003-09-09
US6257045B1 (en) 2001-07-10
EP1023645A4 (en) 2004-12-22
KR100638407B1 (ko) 2006-10-24
WO1999019777A1 (en) 1999-04-22
WO1999019777A8 (en) 1999-10-28
WO1999019777A9 (en) 1999-11-25
JP2009152575A (ja) 2009-07-09
JP4469492B2 (ja) 2010-05-26
EP1023645A1 (en) 2000-08-02
US6205870B1 (en) 2001-03-27

Similar Documents

Publication Publication Date Title
KR100638407B1 (ko) 자동화된 기판 처리 장치 및 방법
EP1062687B1 (en) On the fly center-finding during substrate handling in a processing system
US5822213A (en) Method and apparatus for determining the center and orientation of a wafer-like object
US4770590A (en) Method and apparatus for transferring wafers between cassettes and a boat
JP7008573B2 (ja) 搬送方法および搬送装置
US7834994B2 (en) Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
KR101312789B1 (ko) 웨이퍼의 위치 결정 방법
KR20010015226A (ko) 기판 클램프용 검지 시스템
US6944517B2 (en) Substrate apparatus calibration and synchronization procedure
EP1902460A2 (en) High speed substrate aligner apparatus
WO2006105156A2 (en) High speed substrate aligner apparatus
US8532818B2 (en) Vacuum chamber
US7255524B2 (en) Substrate cassette mapper
JPH07260455A (ja) 基板位置決め方法及び装置
JP3674063B2 (ja) ウェハ搬送装置
KR102563297B1 (ko) 기판이송장치 및 이를 포함하는 기판 처리장치
JP2002261154A (ja) 基板処理装置における基板のアライメント方法及び基板処理装置
JPH03280447A (ja) 基板有無検出方法
KR20010038002A (ko) 웨이퍼 보트 수평조절장치
JP2000349134A (ja) 処理装置
JPH11150078A (ja) 縦型熱処理炉
CN115127457A (zh) 聚焦环的检测装置、系统、方法及其等离子体处理装置
KR20230082037A (ko) 산업용 로봇
JPH10284572A (ja) ウエーハ搬送システム
JP2000114345A (ja) 基板の位置決め装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E801 Decision on dismissal of amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140929

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee