KR102611693B1 - 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램 - Google Patents

기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램 Download PDF

Info

Publication number
KR102611693B1
KR102611693B1 KR1020220064194A KR20220064194A KR102611693B1 KR 102611693 B1 KR102611693 B1 KR 102611693B1 KR 1020220064194 A KR1020220064194 A KR 1020220064194A KR 20220064194 A KR20220064194 A KR 20220064194A KR 102611693 B1 KR102611693 B1 KR 102611693B1
Authority
KR
South Korea
Prior art keywords
film
substrate
supplying
catalyst
containing gas
Prior art date
Application number
KR1020220064194A
Other languages
English (en)
Other versions
KR20230017124A (ko
Inventor
모토무 데가이
기미히코 나카타니
요시토모 하시모토
다카유키 와세다
Original Assignee
가부시키가이샤 코쿠사이 엘렉트릭
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 코쿠사이 엘렉트릭 filed Critical 가부시키가이샤 코쿠사이 엘렉트릭
Publication of KR20230017124A publication Critical patent/KR20230017124A/ko
Application granted granted Critical
Publication of KR102611693B1 publication Critical patent/KR102611693B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2203/00Other substrates
    • B05D2203/30Other inorganic substrates, e.g. ceramics, silicon

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

원하는 표면 상에 선택적으로 막을 형성하는 것이 가능한 기술을 제공한다.
(a) 제1 표면과 제2 표면을 갖는 기판에 대하여 불소 함유 가스를 공급하는 공정과,
(b) (a)를 행한 후의 상기 기판에 대하여 산소 및 수소 함유 가스와 촉매를 공급하는 공정과,
(c) (b)를 행한 후의 상기 기판에 대하여 개질제를 공급하는 공정과,
(d) (c)를 행한 후의 상기 기판에 대하여 성막제를 공급하는 공정을 행한다.

Description

기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램{METHOD OF PROCESSING SUBSTRATE, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND PROGRAM}
본 개시는, 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램에 관한 것이다.
반도체 장치의 제조 공정의 일 공정으로서, 기판의 표면에 노출된 재료가 다른 복수 종류의 표면 중 특정 표면 상에 선택적으로 막을 성장시켜 형성하는 처리(이하, 이 처리를 선택 성장 또는 선택 성막이라고도 함)가 행해지는 경우가 있다(예를 들어 특허문헌 1, 2 참조).
일본 특허 공개 제2020-155452호 공보 일본 특허 공개 제2020-155607호 공보
그러나, 선택 성장을 행하기 전의 기판의 표면 상태에 따라서는, 복수 종류의 표면 중 특정 표면 상에 선택적으로 막을 성장시키는 것이 곤란해지는 경우가 있다.
본 개시의 목적은, 원하는 표면 상에 선택적으로 막을 형성하는 것이 가능한 기술을 제공하는 데 있다.
본 개시의 일 양태에 의하면,
(a) 제1 표면과 제2 표면을 갖는 기판에 대하여 불소 함유 가스를 공급하는 공정과,
(b) (a)를 행한 후의 상기 기판에 대하여 산소 및 수소 함유 가스와 촉매를 공급하는 공정과,
(c) (b)를 행한 후의 상기 기판에 대하여 개질제를 공급하는 공정과,
(d) (c)를 행한 후의 상기 기판에 대하여 성막제를 공급하는 공정을 행하는 기술이 제공된다.
본 개시에 따르면, 원하는 표면 상에 선택적으로 막을 형성하는 것이 가능해진다.
도 1은 본 개시의 일 양태에서 적합하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 종단면도로 나타내는 도면이다.
도 2는 본 개시의 일 양태에서 적합하게 사용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 도 1의 A-A선 단면도로 나타내는 도면이다.
도 3은 본 개시의 일 양태에서 적합하게 사용되는 기판 처리 장치의 컨트롤러(121)의 개략 구성도이며, 컨트롤러(121)의 제어계를 블록도로 나타내는 도면이다.
도 4는 본 개시의 일 양태에서의 처리 시퀀스를 도시하는 도면이다.
도 5의 (a)는, 실리콘 산화막(SiO막)으로 구성되는 제1 표면과 실리콘 질화막(SiN막)으로 구성되는 제2 표면을 갖고, SiN막의 표면에 자연 산화막이 형성된 웨이퍼의 표면 부분을 도시하는 단면 모식도이다. 도 5의 (b)는, 도 5의 (a)의 상태로부터 스텝 A를 행함으로써, SiN막의 표면으로부터 자연 산화막이 제거되고, SiO막의 표면에 불소(F)가 흡착된 후의 웨이퍼의 표면 부분을 도시하는 단면 모식도이다. 도 5의 (c)는, 도 5의 (b)의 상태로부터 스텝 B를 행함으로써, SiO막의 표면에 흡착된 F가 수산기(OH)로 치환된 후의 웨이퍼의 표면 부분을 도시하는 단면 모식도이다. 도 5의 (d)는, 도 5의 (c)의 상태로부터 스텝 C를 행함으로써, 개질제를 구성하는 분자의 분자 구조의 적어도 일부(M)가 SiO막의 표면에 결합된 후의 웨이퍼의 표면 부분을 도시하는 단면 모식도이다. 도 5의 (e)는, 도 5의 (d)의 상태로부터 스텝 D를 행함으로써, SiN막의 표면 상에 선택적으로 막이 형성된 후의 웨이퍼의 표면 부분을 도시하는 단면 모식도이다.
도 6은 비교예에서의 평가 결과를 나타내는 그래프이다.
도 7은 실시예 및 참고예에서의 평가 결과를 나타내는 그래프이다.
<본 개시의 일 양태>
이하, 본 개시의 일 양태에 대해서, 주로, 도 1 내지 도 4, 도 5의 (a) 내지 도 5의 (e)를 참조하면서 설명한다. 또한, 이하의 설명에서 사용되는 도면은, 모두 모식적인 것이며, 도면에 도시되는 각 요소의 치수 관계, 각 요소의 비율 등은, 현실의 것과 반드시 일치하는 것은 아니다. 또한, 복수의 도면의 상호간에 있어서도, 각 요소의 치수 관계, 각 요소의 비율 등은 반드시 일치하는 것은 아니다.
(1) 기판 처리 장치의 구성
도 1에 도시하는 바와 같이, 처리로(202)는, 온도 조정기(가열부)로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이며, 보유 지지판에 지지됨으로써 수직으로 거치되어 있다. 히터(207)는, 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는, 히터(207)와 동심원상으로 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료에 의해 구성되며, 상단이 폐색되고 하단이 개구된 원통 형상으로 형성되어 있다. 반응관(203)의 하방에는, 반응관(203)과 동심원상으로 매니폴드(209)가 배치되어 있다. 매니폴드(209)는, 예를 들어 스테인리스강(SUS) 등의 금속 재료에 의해 구성되며, 상단 및 하단이 개구된 원통 형상으로 형성되어 있다. 매니폴드(209)의 상단부는, 반응관(203)의 하단부에 걸림 결합되어 있으며, 반응관(203)을 지지하도록 구성되어 있다. 매니폴드(209)와 반응관(203)의 사이에는, 시일 부재로서의 O링(220a)이 마련되어 있다. 반응관(203)은 히터(207)와 마찬가지로 수직으로 거치되어 있다. 주로, 반응관(203)과 매니폴드(209)에 의해 처리 용기(반응 용기)가 구성된다. 처리 용기의 통 중공부에는 처리실(201)이 형성된다. 처리실(201)은, 기판으로서의 웨이퍼(200)를 수용 가능하게 구성되어 있다. 이 처리실(201) 내에서 웨이퍼(200)에 대한 처리가 행해진다.
처리실(201) 내에는, 제1 내지 제3 공급부로서의 노즐(249a 내지 249c)이, 매니폴드(209)의 측벽을 관통하도록 각각 마련되어 있다. 노즐(249a 내지 249c)을 각각 제1 내지 제3 노즐이라고도 칭한다. 노즐(249a 내지 249c)은, 예를 들어 석영 또는 SiC 등의 내열성 재료에 의해 구성되어 있다. 노즐(249a 내지 249c)에는, 가스 공급관(232a 내지 232c)이 각각 접속되어 있다. 노즐(249a 내지 249c)은 각각 다른 노즐이며, 노즐(249a, 249c) 각각은, 노즐(249b)에 인접하여 마련되어 있다.
가스 공급관(232a 내지 232c)에는, 가스류의 상류측으로부터 차례로 유량 제어기(유량 제어부)인 매스 플로 컨트롤러(MFC)(241a 내지 241c) 및 개폐 밸브인 밸브(243a 내지 243c)가 각각 마련되어 있다. 가스 공급관(232a)의 밸브(243a)보다도 하류측에는, 가스 공급관(232d, 232f)이 각각 접속되어 있다. 가스 공급관(232b)의 밸브(243b)보다도 하류측에는, 가스 공급관(232e, 232g)이 각각 접속되어 있다. 가스 공급관(232c)의 밸브(243c)보다도 하류측에는, 가스 공급관(232h)이 접속되어 있다. 가스 공급관(232d 내지 232h)에는, 가스류의 상류측으로부터 차례로 MFC(241d 내지 241h) 및 밸브(243d 내지 243h)가 각각 마련되어 있다. 가스 공급관(232a 내지 232h)은, 예를 들어 SUS 등의 금속 재료에 의해 구성되어 있다.
도 2에 도시하는 바와 같이, 노즐(249a 내지 249c)은, 반응관(203)의 내벽과 웨이퍼(200)의 사이에서의 평면으로 보아 원환상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라, 웨이퍼(200)의 배열 방향 상방을 향해서 상승하도록 각각 마련되어 있다. 즉, 노즐(249a 내지 249c)은, 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 각각 마련되어 있다. 평면으로 보아, 노즐(249b)은, 처리실(201) 내에 반입되는 웨이퍼(200)의 중심을 사이에 두고 후술하는 배기구(231a)와 일직선 상에 대향하도록 배치되어 있다. 노즐(249a, 249c)은, 노즐(249b)과 배기구(231a)의 중심을 통과하는 직선 L을, 반응관(203)의 내벽(웨이퍼(200)의 외주부)을 따라 양측 사이에 끼워 넣도록 배치되어 있다. 직선 L은, 노즐(249b)과 웨이퍼(200)의 중심을 통과하는 직선이기도 하다. 즉, 노즐(249c)은, 직선 L을 사이에 두고 노즐(249a)과 반대측에 마련되어 있다고 할 수도 있다. 노즐(249a, 249c)은, 직선 L을 대칭 축으로 하여 선 대칭으로 배치되어 있다. 노즐(249a 내지 249c)의 측면에는, 가스를 공급하는 가스 공급 구멍(250a 내지 250c)이 각각 마련되어 있다. 가스 공급 구멍(250a 내지 250c)은, 각각이 평면으로 보아 배기구(231a)와 대향(대면)하도록 개구되어 있어, 웨이퍼(200)를 향해서 가스를 공급하는 것이 가능하게 되어 있다. 가스 공급 구멍(250a 내지 250c)은, 반응관(203)의 하부로부터 상부에 걸쳐 복수 마련되어 있다.
가스 공급관(232a)으로부터는, 개질제가, MFC(241a), 밸브(243a), 노즐(249a)을 통해서 처리실(201) 내에 공급된다.
가스 공급관(232b)으로부터는, 원료가, MFC(241b), 밸브(243b), 노즐(249b)을 통해서 처리실(201) 내에 공급된다. 원료는, 성막제의 하나로서 사용된다.
가스 공급관(232c)으로부터는, 산소(O) 및 수소(H) 함유 가스가, MFC(241c), 밸브(243c), 노즐(249c)을 통해서 처리실(201) 내에 공급된다. O 및 H 함유 가스는, 성막제의 하나인 산화제로서도 사용된다.
가스 공급관(232d)으로부터는, 촉매가, MFC(241d), 밸브(243d), 가스 공급관(232a), 노즐(249a)을 통해서 처리실(201) 내에 공급된다. 촉매는, 성막제의 하나로서도 사용된다.
가스 공급관(232e)으로부터는, 불소(F) 함유 가스가, MFC(241e), 밸브(243e), 가스 공급관(232b), 노즐(249b)을 통해서 처리실(201) 내에 공급된다.
가스 공급관(232f 내지 232h)으로부터는, 불활성 가스가, 각각 MFC(241f 내지 241h), 밸브(243f 내지 243h), 가스 공급관(232a 내지 232c), 노즐(249a 내지 249c)을 통해서 처리실(201) 내에 공급된다. 불활성 가스는, 퍼지 가스, 캐리어 가스, 희석 가스 등으로서 작용한다.
주로, 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해 개질제 공급계가 구성된다. 주로, 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해 원료 공급계가 구성된다. 주로, 가스 공급관(232c), MFC(241c), 밸브(243c)에 의해 O 및 H 함유 가스 공급계가 구성된다. O 및 H 함유 가스 공급계를 산화제 공급계라고도 칭한다. 주로, 가스 공급관(232d), MFC(241d), 밸브(243d)에 의해 촉매 공급계가 구성된다. 주로, 가스 공급관(232e), MFC(241e), 밸브(243e)에 의해 F 함유 가스 공급계가 구성된다. 주로, 가스 공급관(232f 내지 232h), MFC(241f 내지 241h), 밸브(243f 내지 243h)에 의해 불활성 가스 공급계가 구성된다. 원료 공급계, 산화제 공급계, 촉매 공급계 각각 혹은 모두를 성막제 공급계라고도 칭한다.
상술한 각종 공급계 중, 어느 것, 혹은 모든 공급계는, 밸브(243a 내지 243h)나 MFC(241a 내지 241h) 등이 집적되어 이루어지는 집적형 공급 시스템(248)으로서 구성되어 있어도 된다. 집적형 공급 시스템(248)은, 가스 공급관(232a 내지 232h) 각각에 대하여 접속되어, 가스 공급관(232a 내지 232h) 내로의 각종 물질(각종 가스)의 공급 동작, 즉, 밸브(243a 내지 243h)의 개폐 동작이나 MFC(241a 내지 241h)에 의한 유량 조정 동작 등이, 후술하는 컨트롤러(121)에 의해 제어되도록 구성되어 있다. 집적형 공급 시스템(248)은, 일체형, 혹은 분할형의 집적 유닛으로서 구성되어 있고, 가스 공급관(232a 내지 232h) 등에 대하여 집적 유닛 단위로 착탈을 행할 수 있어, 집적형 공급 시스템(248)의 메인터넌스, 교환, 증설 등을 집적 유닛 단위로 행하는 것이 가능하게 구성되어 있다.
반응관(203)의 측벽 하방에는, 처리실(201) 내의 분위기를 배기하는 배기구(231a)가 마련되어 있다. 도 2에 도시하는 바와 같이, 배기구(231a)는, 평면으로 보아, 웨이퍼(200)를 사이에 두고 노즐(249a 내지 249c)(가스 공급 구멍(250a 내지 250c))과 대향(대면)하는 위치에 마련되어 있다. 배기구(231a)는, 반응관(203)의 측벽의 하부로부터 상부를 따라, 즉, 웨이퍼 배열 영역을 따라 마련되어 있어도 된다. 배기구(231a)에는 배기관(231)이 접속되어 있다. 배기관(231)에는, 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 통해서, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. APC 밸브(244)는, 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한, 진공 펌프(246)를 작동시킨 상태에서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 진공 펌프(246)를 배기계에 포함시켜 생각해도 된다.
매니폴드(209)의 하방에는, 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개체로서의 시일 캡(219)이 마련되어 있다. 시일 캡(219)은, 예를 들어 SUS 등의 금속 재료에 의해 구성되고, 원반상으로 형성되어 있다. 시일 캡(219)의 상면에는, 매니폴드(209)의 하단과 맞닿는 시일 부재로서의 O링(220b)이 마련되어 있다. 시일 캡(219)의 하방에는, 후술하는 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은, 시일 캡(219)을 관통하여 보트(217)에 접속되어 있다. 회전 기구(267)는, 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은, 반응관(203)의 외부에 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는, 시일 캡(219)을 승강시킴으로써, 웨이퍼(200)를 처리실(201) 내외로 반입 및 반출(반송)하는 반송 장치(반송 기구)로서 구성되어 있다.
매니폴드(209)의 하방에는, 시일 캡(219)을 강하시켜 보트(217)를 처리실(201) 내로부터 반출한 상태에서, 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개체로서의 셔터(219s)가 마련되어 있다. 셔터(219s)는, 예를 들어 SUS 등의 금속 재료에 의해 구성되고, 원반상으로 형성되어 있다. 셔터(219s)의 상면에는, 매니폴드(209)의 하단과 맞닿는 시일 부재로서의 O링(220c)이 마련되어 있다. 셔터(219s)의 개폐 동작(승강 동작이나 회동 동작 등)은, 셔터 개폐 기구(115s)에 의해 제어된다.
기판 지지구로서의 보트(217)는, 복수매, 예를 들어 25 내지 200매의 웨이퍼(200)를, 수평 자세이며 또한 서로 중심을 맞춘 상태에서 수직 방향으로 정렬시켜 다단으로 지지하도록, 즉, 간격을 두고 배열시키도록 구성되어 있다. 보트(217)는, 예를 들어 석영이나 SiC 등의 내열성 재료에 의해 구성된다. 보트(217)의 하부에는, 예를 들어 석영이나 SiC 등의 내열성 재료에 의해 구성되는 단열판(218)이 다단으로 지지되어 있다.
반응관(203) 내에는, 온도 검출기로서의 온도 센서(263)가 설치되어 있다. 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에의 통전 정도를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포로 된다. 온도 센서(263)는, 반응관(203)의 내벽을 따라 마련되어 있다.
도 3에 도시한 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는, CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는, 내부 버스(121e)를 통해서 CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다. 또한, 컨트롤러(121)에는, 외부 기억 장치(123)를 접속하는 것이 가능하게 되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive), SSD(Solid State Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는, 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하게 저장되어 있다. 프로세스 레시피는, 후술하는 기판 처리에서의 각 수순을 컨트롤러(121)에 의해 기판 처리 장치에 실행시켜, 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 프로세스 레시피나 제어 프로그램 등을 총칭하여, 단순히 프로그램이라고도 한다. 또한, 프로세스 레시피를, 단순히 레시피라고도 한다. 본 명세서에서 프로그램이라는 말을 사용한 경우는, 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그들 양쪽을 포함하는 경우가 있다. RAM(121b)은, CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보유되는 메모리 영역(워크 에어리어)으로서 구성되어 있다.
I/O 포트(121d)는, 상술한 MFC(241a 내지 241h), 밸브(243a 내지 243h), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 온도 센서(263), 히터(207), 회전 기구(267), 보트 엘리베이터(115), 셔터 개폐 기구(115s) 등에 접속되어 있다.
CPU(121a)는, 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행함과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 레시피를 판독하는 것이 가능하도록 구성되어 있다. CPU(121a)는, 판독한 레시피의 내용을 따르도록, MFC(241a 내지 241h)에 의한 각종 물질(각종 가스)의 유량 조정 동작, 밸브(243a 내지 243h)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 압력 센서(245)에 기초하는 APC 밸브(244)에 의한 압력 조정 동작, 진공 펌프(246)의 기동 및 정지, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작, 셔터 개폐 기구(115s)에 의한 셔터(219s)의 개폐 동작 등을 제어하는 것이 가능하도록 구성되어 있다.
컨트롤러(121)는, 외부 기억 장치(123)에 저장된 상술한 프로그램을, 컴퓨터에 인스톨함으로써 구성할 수 있다. 외부 기억 장치(123)는, 예를 들어 HDD 등의 자기 디스크, CD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 SSD 등의 반도체 메모리 등을 포함한다. 기억 장치(121c)나 외부 기억 장치(123)는, 컴퓨터 판독 가능한 기록 매체로서 구성되어 있다. 이하, 이들을 총칭하여, 단순히 기록 매체라고도 한다. 본 명세서에서 기록 매체라는 말을 사용한 경우는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그들 양쪽을 포함하는 경우가 있다. 또한, 컴퓨터에의 프로그램의 제공은, 외부 기억 장치(123)를 사용하지 않고, 인터넷이나 전용 회선 등의 통신 수단을 사용하여 행해도 된다.
(2) 기판 처리 공정
상술한 기판 처리 장치를 사용하여, 반도체 장치의 제조 공정의 일 공정으로서, 기판을 처리하는 방법, 즉, 기판으로서의 웨이퍼(200)가 갖는 제1 표면 및 제2 표면 중, 제2 표면 상에 선택적으로 막을 형성하기 위한 처리 시퀀스의 예에 대해서, 주로 도 4, 도 5의 (a) 내지 도 5의 (e)를 사용하여 설명한다. 이하의 설명에서는, 편의상, 웨이퍼(200)의 대표적인 예로서, 제1 표면이 SiO막에 의해 구성되고, 제2 표면이 SiN막에 의해 구성되는 경우에 대해서 설명한다. 이하의 설명에서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
도 4에 도시하는 처리 시퀀스는,
제1 표면과 제2 표면을 갖는 웨이퍼(200)에 대하여 F 함유 가스를 공급하는 스텝 A와,
스텝 A를 행한 후의 웨이퍼(200)에 대하여 O 및 H 함유 가스와 촉매를 공급하는 스텝 B와,
스텝 B를 행한 후의 웨이퍼(200)에 대하여 개질제를 공급하는 스텝 C와,
스텝 C를 행한 후의 웨이퍼(200)에 대하여 성막제를 공급하는 스텝 D를 갖는다.
도 4에 도시하는 바와 같이, 스텝 D에서는,
웨이퍼(200)에 대하여 성막제로서 원료를 공급하는 스텝 D1과,
웨이퍼(200)에 대하여 성막제로서 산화제를 공급하는 스텝 D2를 비동시에 행하는 사이클을 소정 횟수(n회, n은 1 이상의 정수) 행한다.
스텝 D1 및 스텝 D2 중 적어도 어느 것에서는, 웨이퍼(200)에 대하여 성막제로서, 촉매를 더 공급하도록 해도 된다. 도 4에서는, 스텝 D1 및 스텝 D2 각각에서, 웨이퍼(200)에 대하여 성막제로서, 촉매를 더 공급하는 예를 나타내고 있다.
또한, 도 4에 도시하는 처리 시퀀스는, 스텝 D를 행한 후의 웨이퍼(200)에 대하여 열처리를 행하는 스텝 E를 더 갖는 예를 나타내고 있다.
또한, 도 4에 도시하는 처리 시퀀스에서는, 스텝 A, B, C에서의 처리 온도(퍼지를 행할 때의 처리 온도도 포함함)가 모두 마찬가지의 온도이고, 스텝 D에서의 처리 온도가 스텝 A, B, C에서의 처리 온도보다도 저온이고, 스텝 E에서의 처리 온도가 스텝 A, B, C에서의 처리 온도보다도 고온인 예를 나타내고 있다. 생산성의 향상의 관점에서는, 스텝 A, B, C, D에서의 처리 온도는, 모두 마찬가지의 온도인 것이 바람직하다. 본 명세서에서, 「마찬가지의 온도」란, ±5℃ 이내의 온도를 의미한다. 예를 들어, 「스텝 A에서의 처리 온도가 스텝 B에서의 처리 온도와 마찬가지의 온도인 것이 바람직하다」란, 스텝 A에서의 처리 온도가, 스텝 B에서의 처리 온도에 대하여 ±5℃ 이내인 것이 바람직한 것을 의미한다.
본 명세서에서는, 상술한 처리 시퀀스를, 편의상 이하와 같이 나타내는 경우도 있다. 이하의 변형예나 다른 양태 등의 설명에서도 마찬가지의 표기를 사용한다.
F 함유 가스→O 및 H 함유 가스+촉매→개질제→(원료+촉매→산화제+촉매)×n→열처리
본 명세서에서 사용하는 「웨이퍼」라는 용어는, 웨이퍼 그 자체를 의미하는 경우나, 웨이퍼와 그 표면에 형성된 소정의 층이나 막의 적층체를 의미하는 경우가 있다. 본 명세서에서 「웨이퍼의 표면」이라는 말을 사용한 경우는, 웨이퍼 그 자체의 표면을 의미하는 경우나, 웨이퍼 상에 형성된 소정의 층 등의 표면을 의미하는 경우가 있다. 본 명세서에서 「웨이퍼 상에 소정의 층을 형성한다」라고 기재한 경우는, 웨이퍼 그 자체의 표면 상에 소정의 층을 직접 형성하는 것을 의미하는 경우나, 웨이퍼 상에 형성되어 있는 층 등의 위에 소정의 층을 형성하는 것을 의미하는 경우가 있다. 본 명세서에서 「기판」이라는 말을 사용한 경우도, 「웨이퍼」라는 말을 사용한 경우와 동일한 의미이다.
본 명세서에서 사용하는 「제」라는 용어는, 가스상 물질 및 액체상 물질 중 적어도 어느 것을 포함한다. 액체상 물질은 미스트상 물질을 포함한다. 즉, 개질제, 및 성막제(원료, 산화제, 촉매) 각각은, 가스상 물질을 포함하고 있어도 되고, 미스트상 물질 등의 액체상 물질을 포함하고 있어도 되고, 그들 양쪽을 포함하고 있어도 된다.
본 명세서에서 사용하는 「층」이라는 용어는, 연속층 및 불연속층 중 적어도 어느 것을 포함한다. 예를 들어, 성막 저해층은, 성막 저해 작용을 발생시키는 것이 가능하면, 연속층을 포함하고 있어도 되고, 불연속층을 포함하고 있어도 되고, 그들 양쪽을 포함하고 있어도 된다.
(웨이퍼 차지 및 보트 로드)
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 셔터 개폐 기구(115s)에 의해 셔터(219s)가 이동되어, 매니폴드(209)의 하단 개구가 개방된다(셔터 오픈). 그 후, 도 1에 도시하는 바와 같이, 복수매의 웨이퍼(200)를 지지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어올려져 처리실(201) 내로 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은, O링(220b)을 통해 매니폴드(209)의 하단을 시일한 상태로 된다.
또한, 보트(217)에 장전되는 웨이퍼(200)는, 도 5의 (a)에 도시하는 바와 같이, 제1 표면을 구성하는 SiO막과 제2 표면을 구성하는 SiN막을 갖는다. 즉, SiO막의 표면이 제1 표면으로 되고, SiN막의 표면이 제2 표면으로 된다. 또한, 웨이퍼(200)의 제2 표면을 구성하는 SiN막의 표면에는, 도 5의 (a)에 도시하는 바와 같이, 자연 산화막이 형성되어 있다. 제1 표면을 구성하는 SiO막은, 예를 들어 열산화막이나 화학 기상 성장법 등에 의해 형성된 산화막이며, 자연 산화막에 비해서 막 밀도가 높고 강고한 Si-O 결합을 많이 갖는다.
(압력 조정 및 온도 조정)
처리실(201) 내, 즉, 웨이퍼(200)가 존재하는 공간이 원하는 압력(진공도)으로 되도록, 진공 펌프(246)에 의해 진공 배기(감압 배기)된다. 이때, 처리실(201) 내의 압력은 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다. 또한, 처리실(201) 내의 웨이퍼(200)가 원하는 처리 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)에의 통전 정도가 피드백 제어된다. 또한, 회전 기구(267)에 의한 웨이퍼(200)의 회전을 개시한다. 처리실(201) 내의 배기, 웨이퍼(200)의 가열 및 회전은, 모두 적어도 웨이퍼(200)에 대한 처리가 종료될 때까지의 동안은 계속해서 행해진다.
(스텝 A)
그 후, 웨이퍼(200)에 대하여 F 함유 가스를 공급한다.
구체적으로는, 밸브(243e)를 개방하여, 가스 공급관(232e) 내에 F 함유 가스를 흘린다. F 함유 가스는, MFC(241e)에 의해 유량 조정되어, 가스 공급관(232b), 노즐(249b)을 통해서 처리실(201) 내에 공급되고, 배기구(231a)로부터 배기된다. 이때, 웨이퍼(200)의 측방으로부터, 웨이퍼(200)에 대하여 F 함유 가스가 공급된다(F 함유 가스 공급). 이때, 밸브(243f 내지 243h)를 개방하여, 노즐(249a 내지 249c) 각각을 통해서 처리실(201) 내에 불활성 가스를 공급하도록 해도 된다.
후술하는 처리 조건 하에서 웨이퍼(200)에 대하여 F 함유 가스를 공급함으로써, 도 5의 (b)에 도시하는 바와 같이, 제2 표면인 SiN막의 표면에 형성된 자연 산화막을 선택적으로 제거(에칭)하여, SiN막의 표면을 노출시킬 수 있다. 이때, 제1 표면인 SiO막의 표면에 불소(F)가 흡착되어 잔류한다.
본 스텝에서는, SiO막의 표면의 일부가 극히 약간 에칭되는 경우도 있지만, 상술한 바와 같이, SiO막은 자연 산화막에 비해서 막 밀도가 높고 강고한 Si-O 결합을 많이 갖는다는 점에서, SiO막의 에칭양(제거량)은, 자연 산화막의 에칭양(제거량)에 비해서 훨씬 적어진다. 따라서, 이 경우에도, SiO막의 제거량(에칭양)을 소량으로 억제하면서, 자연 산화막의 제거를 효과적으로 행할 수 있다. 또한, 본 스텝에서는, SiN막의 표면의 일부에도 약간 F가 흡착(잔류)되는 경우가 있지만, SiN막의 표면에 흡착되는 F의 양, 밀도는, SiO막의 표면에 흡착되는 F의 양, 밀도에 비해서 훨씬 적어진다. 따라서, 이 경우에도, SiN막의 표면에 흡착되는 F의 영향은 극히 적어진다.
스텝 A에서 F 함유 가스를 공급할 때에 있어서의 처리 조건으로서는,
처리 온도: 50 내지 200℃, 바람직하게는 70 내지 150℃
처리 압력: 10 내지 2000Pa, 바람직하게는 100 내지 1500Pa
F 함유 가스 공급 유량: 0.05 내지 1slm, 바람직하게는 0.1 내지 0.5slm
F 함유 가스 공급 시간: 10 내지 60분, 바람직하게는 30 내지 60분
불활성 가스 공급 유량(가스 공급관마다): 1 내지 10slm, 바람직하게는 2 내지 10slm
이 예시된다.
또한, 본 명세서에서의 「50 내지 200℃」와 같은 수치 범위의 표기는, 하한값 및 상한값이 그 범위에 포함되는 것을 의미한다. 따라서, 예를 들어 「50 내지 200℃」란 「50℃ 이상 200℃ 이하」를 의미한다. 다른 수치 범위에 대해서도 마찬가지이다. 또한, 본 명세서에서의 처리 온도란 웨이퍼(200)의 온도 또는 처리실(201) 내의 온도를 의미하고, 처리 압력이란 처리실(201) 내의 압력을 의미한다. 또한, 공급 유량에 0slm이 포함되는 경우, 0slm이란, 그 물질(가스)을 공급하지 않는 케이스를 의미한다. 이들은, 이하의 설명에서도 마찬가지이다.
제2 표면인 SiN막의 표면으로부터 자연 산화막을 제거하여, SiN막의 표면을 노출시킨 후, 밸브(243e)를 폐쇄하여, 처리실(201) 내로의 F 함유 가스의 공급을 정지한다. 그리고, 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 가스상 물질 등을 처리실(201) 내로부터 배제한다. 이때, 밸브(243f 내지 243h)를 개방하여, 노즐(249a 내지 249c)을 통해서 처리실(201) 내에 불활성 가스를 공급한다. 노즐(249a 내지 249c)로부터 공급되는 불활성 가스는, 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내가 퍼지된다(퍼지). 또한, 본 스텝에서 퍼지를 행할 때에 있어서의 처리 온도는, F 함유 가스를 공급할 때에 있어서의 처리 온도와 마찬가지의 온도로 하는 것이 바람직하다.
스텝 A에서 퍼지를 행할 때에 있어서의 처리 조건으로서는,
처리 압력: 1 내지 30Pa
불활성 가스 공급 유량(가스 공급관마다): 0.5 내지 20slm
불활성 가스 공급 시간: 1 내지 120초, 바람직하게는 1 내지 60초
가 예시된다. 또한, 본 스텝에서 퍼지를 행할 때의 처리 온도는, F 함유 가스를 공급할 때에 있어서의 처리 온도와 마찬가지의 온도로 하는 것이 바람직하다.
F 함유 가스로서는, 예를 들어 삼불화염소(ClF3) 가스, 불화염소(ClF) 가스, 불화질소(NF3) 가스, 불화수소(HF) 가스, 불소(F2) 가스 등을 사용할 수 있다. F 함유 가스로서는, 이들 중 1개 이상을 사용할 수 있다.
불활성 가스로서는, 질소(N2) 가스나, 아르곤(Ar) 가스, 헬륨(He) 가스, 네온(Ne) 가스, 크세논(Xe) 가스 등의 희가스를 사용할 수 있다. 불활성 가스로서는, 이들 중 1개 이상을 사용할 수 있다. 이 점은, 후술하는 각 스텝에서도 마찬가지이다.
(스텝 B)
스텝 A를 행한 후, 웨이퍼(200)에 대하여 O 및 H 함유 가스와 촉매를 공급한다.
구체적으로는, 밸브(243c, 243d)를 개방하여, 가스 공급관(232c, 232d) 내로 O 및 H 함유 가스, 촉매를 각각 흘린다. O 및 H 함유 가스, 촉매는, 각각 MFC(241c, 241d)에 의해 유량 조정되어, 노즐(249c, 249a)을 통해서 처리실(201) 내에 공급되고, 처리실(201) 내에서 혼합되어, 배기구(231a)로부터 배기된다. 이때, 웨이퍼(200)의 측방으로부터, 웨이퍼(200)에 대하여 O 및 H 함유 가스 및 촉매가 공급된다(O 및 H 함유 가스+촉매 공급). 이때, 밸브(243f 내지 243h)를 개방하여, 노즐(249a 내지 249c) 각각을 통해서 처리실(201) 내에 불활성 가스를 공급하도록 해도 된다.
후술하는 처리 조건 하에서 웨이퍼(200)에 대하여 O 및 H 함유 가스와 촉매를 공급함으로써, 도 5의 (c)에 도시하는 바와 같이, 웨이퍼(200)의 표면(구체적으로는 SiO막의 표면)에 흡착된 F를 제거하고, 제1 표면인 SiO막의 표면에 OH 종단을 형성할 수 있다. 바꾸어 말하면, 후술하는 처리 조건 하에서 웨이퍼(200)에 대하여 O 및 H 함유 가스와 촉매를 공급함으로써, SiO막의 표면에 흡착된 F가 OH로 치환된다. SiO막의 표면에 흡착된 F가 OH로 치환되는 반응은, 예를 들어 이하와 같은 반응식으로 나타낼 수 있다. 여기서, 이하의 반응식에서는, O 및 H 함유 가스로서 수증기(H2O 가스)를 사용하고, 촉매로서 피리딘(C5H5N, 약칭: Py) 가스를 사용한 경우의 예를 나타내고 있다.
상기 반응식에 나타내는 바와 같이, F가 흡착된 SiO막의 표면에 존재하는 「Si-F 결합」은, 피리딘 존재 하의 H2O 가스에 의해, 「Si-OH 결합」으로 치환된다. 또한, 「Si-F 결합」으로부터 탈리한 F는, H2O 가스 유래의 H와 결합하여 불화수소(HF) 가스가 된다.
본 스텝에서는, 상술한 바와 같은 반응을 발생시킬 수 있어, SiO막의 표면에 효과적으로 OH 종단을 형성할 수 있다. 또한, O 및 H 함유 가스는, 산화제로서 작용한다는 점에서, 본 스텝에서는, F가 흡착된 SiO막의 표면을 산화시킴으로써, SiO막의 표면에 OH 종단을 형성할 수 있다고도 할 수 있다. 또한, 본 스텝에서는, O 및 H 함유 가스와 촉매를 공급함으로써, SiO막의 표면에 흡착된 F뿐만 아니라, 다른 표면에 흡착된 F도 제거할 수 있다. 즉, 본 스텝에서는, 웨이퍼(200)의 표면(SiO막의 표면, SiN막의 표면, 그 밖의 표면을 포함함)에 흡착되는 F를 제거하는 것이 가능해진다.
또한, 후술하는 처리 조건 하에서 웨이퍼(200)에 대하여 O 및 H 함유 가스와 촉매를 공급함으로써, SiN막의 표면의 산화, SiN막의 표면에의 OH 종단의 형성을 억제할 수 있다. 이것은, 후술하는 처리 조건이, 웨이퍼(200)의 표면에 흡착되는 F를 제거하고 SiO막의 표면에 OH 종단을 형성할 수는 있지만, SiN막의 표면의 산화나 SiN막의 표면에의 OH 종단의 형성이 억제되는, 산화력이 비교적 작아지는(약해지는) 처리 조건인 것에 의한다. 이에 의해, 본 스텝에서는, SiO막의 표면에 선택적으로 OH 종단을 형성할 수 있다. 이와 같이, SiO막의 표면에 선택적으로 OH 종단이 형성됨으로써, OH 종단이 흡착 사이트로서 기능하여, 스텝 C에서, SiO막의 표면에의 개질제의 흡착을 촉진시킬 수 있다.
본 스텝에서는, SiN막의 표면의 일부에도 약간 OH 종단이 형성되는 경우가 있지만, SiN막의 표면에 형성되는 OH 종단의 양, 밀도는, SiO막의 표면에 형성되는 OH 종단의 양, 밀도에 비해서 훨씬 적어진다. 따라서, 이 경우에도, SiN막의 표면에 형성되는 OH 종단의 영향은 극히 적어진다.
상술한 바와 같이, 본 스텝은, SiN막의 표면에의 OH 종단의 형성이 억제되고, SiO막의 표면에 OH 종단이 형성되는 조건 하에서 행하는 것이 바람직하다. 또한, 상술한 바와 같이, 본 스텝은, SiN막의 표면 산화가 억제되고, SiO막의 표면에 OH 종단이 형성되는 조건 하에서 행하는 것이 바람직하다. 또한, 상술한 바와 같이, 본 스텝은, SiN막의 표면에 형성되는 OH 종단의 양이, SiO막의 표면에 형성되는 OH 종단의 양보다도 적어지는 조건 하에서 행하는 것이 바람직하다. 이러한 조건 하에서 스텝 B를 행함으로써, SiO막 및 SiN막 중, SiO막의 표면에 OH 종단을 선택적으로 형성할 수 있어, 스텝 C에서 SiO막의 표면에 선택적으로 개질제를 흡착시키는 것이 가능해진다.
스텝 B에서 O 및 H 함유 가스와 촉매를 공급할 때에 있어서의 처리 조건으로서는,
처리 온도: 30 내지 150℃, 바람직하게는 50 내지 100℃
처리 압력: 665 내지 26600Pa, 바람직하게는 931 내지 13300Pa, 보다 바람직하게는 931 내지 1330Pa
O 및 H 함유 가스 공급 유량: 0.1 내지 3slm, 바람직하게는 0.1 내지 1slm
O 및 H 함유 가스 공급 시간: 0.5 내지 5시간, 바람직하게는 0.5 내지 3시간
촉매 공급 유량: 0.1 내지 3slm, 바람직하게는 0.1 내지 1slm
불활성 가스 공급 유량(가스 공급관마다): 0 내지 10slm
이 예시된다.
본 스텝에서는, O 및 H 함유 가스와 함께 촉매를 사용함으로써, 저온 하, 저 압 하에서, SiO막의 표면에서의 F의 OH로의 치환 레이트(산화 레이트)를 높일 수 있다. 한편, 처리 압력 및 처리 온도 중 적어도 어느 것을 높게 함으로써, 촉매의 공급을 생략할 수도 있다. 즉, 웨이퍼(200)에 대하여 촉매를 공급하지 않고, 반응성 가스로서 O 및 H 함유 가스만을 공급하는 경우라도, 처리 압력 및 처리 온도 중 적어도 어느 것을 높게 함으로써, SiO막의 표면에 흡착된 F를 OH로 치환시킬 수 있다. 그 경우, 예를 들어 처리 압력을, 후술하는 스텝 D2에서의 처리 압력 이상, 바람직하게는 스텝 D2에서의 처리 압력보다도 높게 하는 것이 바람직하다. 또한 예를 들어, 처리 온도를, 후술하는 스텝 D2에서의 처리 온도 이상, 바람직하게는 스텝 D2에서의 처리 온도보다도 높게 하는 것이 바람직하다. 이 경우, 구체적으로는, 처리 압력을, 예를 들어 13300 내지 66500Pa, 바람직하게는 19950 내지 39900Pa로 할 수 있다. 또한, 이 경우, 처리 온도를, 예를 들어 50 내지 200℃, 바람직하게는 70 내지 150℃로 할 수 있다. 그 밖의 처리 조건은, 상술한 처리 조건과 마찬가지로 할 수 있다.
제1 표면인 SiO막의 표면에 OH 종단을 형성한 후, 밸브(243d, 243d)를 폐쇄하여, 처리실(201) 내로의 O 및 H 함유 가스, 촉매의 공급을 정지한다. 그리고, 스텝 A에서의 퍼지와 마찬가지의 처리 수순, 처리 조건에 의해, 처리실(201) 내에 잔류하는 가스상 물질 등을 처리실(201) 내로부터 배제한다(퍼지). 또한, 본 스텝에서 퍼지를 행할 때의 처리 온도는, O 및 H 함유 가스와 촉매를 공급할 때에 있어서의 처리 온도와 마찬가지의 온도로 하는 것이 바람직하다.
O 및 H 함유 가스로서는, 예를 들어 H2O 가스, 과산화수소(H2O2) 가스, 수소(H2) 가스+산소(O2) 가스, H2 가스+오존(O3) 가스 등을 사용할 수 있다. O 및 H 함유 가스로서는, 이들 중 1개 이상을 사용할 수 있다.
또한, 본 명세서에서 「H2 가스+O2 가스」와 같은 2개의 가스의 병기 기재는, H2 가스와 O2 가스의 혼합 가스를 의미하고 있다. 혼합 가스를 공급하는 경우는, 2개의 가스를 공급관 내에서 혼합(프리믹스)시킨 후, 처리실(201) 내에 공급하도록 해도 되고, 2개의 가스를 다른 공급관으로부터 따로따로 처리실(201) 내에 공급하여, 처리실(201) 내에서 혼합(포스트 믹스)시키도록 해도 된다.
촉매로서는, 예를 들어 탄소(C), 질소(N), 및 H를 포함하는 아민계 가스를 사용할 수 있다. 아민계 가스로서는, 예를 들어 피리딘(Py) 가스, 아미노피리딘(C5H6N2) 가스, 피콜린(C6H7N) 가스, 루티딘(C7H9N) 가스, 피페라진(C4H10N2) 가스, 피페리딘(C5H11N) 가스 등의 환상 아민계 가스나, 트리에틸아민((C2H5)3N, 약칭: TEA) 가스, 디에틸아민((C2H5)2NH, 약칭: DEA) 가스 등의 쇄상 아민계 가스 등을 사용할 수 있다. 촉매로서는, 이들 외에, 예를 들어 암모니아(NH3) 가스 등을 사용할 수도 있다. 촉매로서는, 이들 중 1개 이상을 사용할 수 있다. 이 점은, 후술하는 스텝 D에서도 마찬가지이다.
(스텝 C)
스텝 B를 행한 후, 웨이퍼(200)에 대하여 개질제를 공급한다.
구체적으로는, 밸브(243a)를 개방하여, 가스 공급관(232a) 내로 개질제를 흘린다. 개질제는, MFC(241a)에 의해 유량 조정되어, 노즐(249a)을 통해서 처리실(201) 내에 공급되고, 배기구(231a)로부터 배기된다. 이때, 웨이퍼(200)의 측방으로부터, 웨이퍼(200)에 대하여 개질제가 공급된다(개질제 공급). 이때, 밸브(243f 내지 243h)를 개방하여, 노즐(249a 내지 249c) 각각을 통해서 처리실(201) 내에 불활성 가스를 공급하도록 해도 된다.
후술하는 처리 조건 하에서 웨이퍼(200)에 대하여 개질제를 공급함으로써, 제1 표면인 SiO막의 표면, 즉, SiO막의 표면에 형성된 OH 종단에, 개질제를 구성하는 분자의 분자 구조의 적어도 일부를 흡착시켜, 성막 저해층을 형성하도록 SiO막의 표면을 개질시킬 수 있다. 즉, 본 스텝에서는, 웨이퍼(200)에 대하여 OH 종단과 반응하는 개질제를 공급함으로써, OH 종단이 형성된 SiO막의 표면에, 개질제를 구성하는 분자의 분자 구조의 적어도 일부를 흡착시켜, 성막 저해층(흡착 저해층)을 형성하도록 SiO막의 표면을 개질시킬 수 있다. 이에 의해, 도 5의 (d)에 도시하는 바와 같이, SiO막의 최표면을, 개질제를 구성하는 분자의 분자 구조의 적어도 일부(M)에 의해 종단시키는 것이 가능해진다. 즉, 본 스텝을 행한 후의 웨이퍼(200)에서의 SiO막의 최표면은, 도 5의 (d)에 도시하는 바와 같이, OH 종단의 O와 개질제를 구성하는 분자의 분자 구조의 적어도 일부(M)가 결합한 기(OM)로 종단된다.
본 스텝에서 형성되는 성막 저해층은, 개질제 유래의 잔기인, 개질제를 구성하는 분자의 분자 구조의 적어도 일부(M)를 포함한다. 성막 저해층은, 후술하는 스텝 D에서, SiO막의 표면에의 원료(성막제)의 흡착을 방지하고, SiO막의 표면 상에서의 성막 반응의 진행을 저해(억제)한다.
개질제를 구성하는 분자의 분자 구조의 적어도 일부(M)로서는, 예를 들어 트리메틸실릴기(-SiMe3)나 트리에틸실릴기(-SiEt3) 등의 트리알킬실릴기를 예시할 수 있다. 이들의 경우, 트리메틸실릴기나 트리에틸실릴기의 Si가, OH 종단의 O와 결합하여, SiO막의 최표면이, 메틸기나 에틸기 등의 알킬기에 의해 종단되게 된다. SiO막의 최표면을 종단한, 메틸기(트리메틸실릴기)나 에틸기(트리에틸실릴기) 등의 알킬기(알킬실릴기)는, 성막 저해층을 구성하여, 후술하는 스텝 D에서, SiO막의 표면에의 원료(성막제)의 흡착을 방지하여, SiO막의 표면 상에서의 성막 반응의 진행을 저해(억제)할 수 있다.
여기서, 성막 저해층(성막 억제층이라고도 칭함)은, 성막 저해 작용을 갖는다는 점에서, 인히비터라고 불리는 경우도 있다. 또한, 본 명세서에서 사용하는 「인히비터」라는 용어는, 성막 저해층을 의미하는 경우 외에, 개질제를 의미하는 경우나, 개질제 유래의 잔기, 예를 들어 개질제를 구성하는 분자의 분자 구조의 적어도 일부를 의미하는 경우가 있으며, 나아가, 이들의 모든 총칭으로서 사용하는 경우도 있다.
또한, 본 스텝에서는, 제2 표면인 SiN막의 표면의 일부에, 개질제를 구성하는 분자의 분자 구조의 적어도 일부가 흡착되는 경우도 있지만, 그 흡착량은 약간이며, 제1 표면인 SiO막의 표면에의 흡착량 쪽이 압도적으로 많아진다. 이러한 선택적(우선적)인 흡착이 가능해지는 것은, 본 스텝에서의 처리 조건을 처리실(201) 내에서 개질제가 기상 분해하지 않는 조건으로 하고 있기 때문이다. 또한, SiO막의 표면이, 그 전역에 걸쳐 OH 종단되어 있는 것에 반해, SiN막의 표면의 많은 영역이 OH 종단되어 있지 않기 때문이다. 본 스텝에서는, 처리실(201) 내에서 개질제가 기상 분해하지 않으므로, SiN막의 표면에는, 개질제를 구성하는 분자의 분자 구조의 적어도 일부가 다중 퇴적되는 일은 없고, 개질제를 구성하는 분자의 분자 구조의 적어도 일부는, SiO막 및 SiN막 중, SiO막의 표면에 선택적으로 흡착되고, 이에 의해 SiO막의 표면이, 선택적으로, 개질제를 구성하는 분자의 분자 구조의 적어도 일부(M)에 의해 종단되게 된다.
스텝 C에서 개질제를 공급할 때에 있어서의 처리 조건으로서는,
처리 온도: 실온(25℃) 내지 500℃, 바람직하게는 실온 내지 250℃
처리 압력: 5 내지 2000Pa, 바람직하게는 10 내지 1000Pa
개질제 공급 유량: 0.001 내지 3slm, 바람직하게는 0.001 내지 0.5slm
개질제 공급 시간: 1초 내지 120분, 바람직하게는 30초 내지 60분
불활성 가스 공급 유량(가스 공급관마다): 0 내지 20slm
이 예시된다.
제1 표면인 SiO막의 표면에 선택적으로 성막 저해층을 형성한 후, 밸브(243a)를 폐쇄하여, 처리실(201) 내로의 개질제의 공급을 정지한다. 그리고, 스텝 A에서의 퍼지와 마찬가지의 처리 수순, 처리 조건에 의해, 처리실(201) 내에 잔류하는 가스상 물질 등을 처리실(201) 내로부터 배제한다(퍼지). 또한, 본 스텝에서 퍼지를 행할 때의 처리 온도는, 개질제를 공급할 때에 있어서의 처리 온도와 마찬가지의 온도로 하는 것이 바람직하다.
개질제로서는, 예를 들어 1분자 중에 제1 관능기 및 제2 관능기가 직접 결합한 원자를 1개 이상 포함하는 물질을 사용할 수 있다. 개질제에서의 제1 관능기는, 제1 표면(예를 들어, SiO막의 표면)에서의 흡착 사이트(예를 들어, OH 종단)에의 개질제의 화학 흡착을 가능하게 하는 관능기인 것이 바람직하다. 제1 관능기로서는, 아미노기를 포함하는 것이 바람직하고, 치환 아미노기를 포함하는 것이 바람직하다. 개질제가, 아미노기(바람직하게는 치환 아미노기)를 포함하는 경우, 제1 표면에의 개질제의 화학 흡착량을 많게 할 수 있다. 특히, 제1 표면에의 흡착성 관점에서, 개질제가 갖는 제1 관능기는, 모두 치환 아미노기인 것이 바람직하다.
치환 아미노기가 갖는 치환기로서는, 알킬기가 바람직하고, 탄소수 1 내지 5의 알킬기가 보다 바람직하고, 탄소수 1 내지 4의 알킬기가 특히 바람직하다. 치환 아미노기가 갖는 알킬기는, 직쇄상이어도 되고, 분지상이어도 된다. 치환 아미노기가 갖는 알킬기로서 구체적으로는, 예를 들어 메틸기, 에틸기, n-프로필기, n-부틸기, 이소프로필기, 이소부틸기, sec-부틸기, tert-부틸기 등을 들 수 있다. 치환 아미노기가 갖는 치환기의 수는, 1 또는 2이지만, 2인 것이 바람직하다. 치환 아미노기가 갖는 치환기의 수가 2인 경우, 2개의 치환기는, 각각 동일해도 되고 달라도 된다.
개질제에서의 제1 관능기의 수는, 2 이하인 것이 바람직하고, 1인 것이 보다 바람직하다. 또한, 개질제가 복수의 제1 관능기를 갖는 경우, 각각이 동일해도 되고 달라도 된다.
개질제에서의 제2 관능기는, 제1 표면의 최표면을 성막 저해 영역으로 개질하는 것이 가능한 관능기인 것이 바람직하다. 제2 관능기는, 화학적으로 안정된 관능기인 것이 바람직하고, 탄화수소기인 것이 보다 바람직하다. 탄화수소기는, 알킬기, 알케닐기, 알키닐기 등의 지방족 탄화수소기여도 되고, 방향족 탄화수소기여도 된다. 그 중에서도, 탄화수소기로서는 알킬기가 바람직하다. 특히, 화학적인 안정성이 높은 관점에서, 개질제가 갖는 제2 관능기는, 모두 알킬기인 것이 바람직하다.
제2 관능기로서의 알킬기는, 탄소수 1 내지 5의 알킬기가 보다 바람직하고, 탄소수 1 내지 4의 알킬기가 특히 바람직하다. 치환 아미노기가 갖는 알킬기는, 직쇄상이어도 되고, 분지상이어도 된다. 치환 아미노기가 갖는 알킬기로서 구체적으로는, 예를 들어 메틸기, 에틸기, n-프로필기, n-부틸기, 이소프로필기, 이소부틸기, sec-부틸기, tert-부틸기 등을 들 수 있다.
개질제에서의 제2 관능기의 수는 1 이상의 정수이면 된다. 개질제에서의 제1 관능기의 수가 1인 경우, 개질제에서의 제2 관능기의 수는 3인 것이 바람직하다. 또한, 개질제에서의 제1 관능기의 수가 2인 경우, 개질제에서의 제2 관능기의 수는 2인 것이 바람직하다. 개질제가 갖는 복수의 제2 관능기는, 각각 동일해도 되고 달라도 된다.
개질제에 있어서, 제1 관능기 및 제2 관능기가 직접 결합한 원자로서는, C 원자, 실리콘(Si) 원자, 게르마늄(Ge) 원자, 4가의 금속 원자 등을 들 수 있다. 여기서, 4가의 금속 원자로서는, 티타늄(Ti) 원자, 지르코늄(Zr) 원자, 하프늄(Hf) 원자, 몰리브덴(Mo) 원자, 텅스텐(W) 원자 등을 들 수 있다. 또한, 제1 관능기 및 제2 관능기가 직접 결합한 원자는, 4가의 금속 원자 외에, 4개 이상의 배위자와 결합 가능한 금속 원자여도 된다. 이 경우, 제2 관능기의 수를 증가시킬 수 있어, 인히비터로서 강한 효과를 발휘할 수도 있다.
이들 중에서도, 제1 관능기 및 제2 관능기가 직접 결합한 원자로서는, C 원자, Si 원자, Ge 원자 중 어느 것이 바람직하다. 이것은, 제1 관능기 및 제2 관능기가 직접 결합한 원자로서, C 원자, Si 원자, Ge 원자 중 어느 것을 사용하는 경우, 제1 표면에의 개질제의 높은 흡착성, 및 제1 표면에의 흡착 후의 개질제, 즉 개질제 유래의 잔기의 높은 화학적 안정성 중 적어도 어느 것의 특성을 얻을 수 있기 때문이다. 이들 중에서도, 제1 관능기 및 제2 관능기가 직접 결합한 원자로서는, Si 원자가 보다 바람직하다. 이것은, 제1 관능기 및 제2 관능기가 직접 결합한 원자로서 Si 원자를 사용하는 경우, 제1 표면에의 개질제의 높은 흡착성, 및 제1 표면에의 흡착 후의 개질제, 즉 개질제 유래의 잔기의 높은 화학적 안정성의 양쪽의 특성을 밸런스 좋게 얻을 수 있기 때문이다. 제1 관능기 및 제2 관능기가 직접 결합한 원자에는, 상술한 바와 같이, 제1 관능기 및 제2 관능기가 직접 결합하고 있지만, 이들 이외에, 수소(H) 원자, 또는 제3 관능기가 결합하고 있어도 된다.
제1 관능기 및 제2 관능기가 직접 결합한 원자에 결합하는 제3 관능기는, 제1 관능기 및 제2 관능기로서 상술된 관능기 이외의 관능기이면 된다. 제3 관능기로서는, 예를 들어 C 원자, Si 원자, Ge 원자, 4가의 금속 원자, 4개 이상의 배위자와 결합 가능한 금속 원자, O 원자, N 원자 및 H 원자 중 2개 이상을 적절히 조합하여 구성되는 관능기를 들 수 있다.
개질제는, 1분자 중에 제1 관능기 및 제2 관능기가 직접 결합한 원자를 1개 이상 포함하지만, 1분자 중에 제1 관능기 및 제2 관능기가 직접 결합한 원자를 2개 이상 포함하고 있어도 된다. 이후, 제1 관능기 및 제2 관능기가 직접 결합한 원자를, 편의상 원자 X라고도 칭한다.
개질제는, 제1 관능기 및 제2 관능기가 직접 결합한 4가의 원자를 포함하는 구조를 갖는 것이 바람직하다. 그 중에서도, 개질제는, 제1 관능기 및 제2 관능기만이 직접 결합한 4가의 원자를 포함하는 구조를 갖는 것이 보다 바람직하다. 그 중에서도, 개질제는, 제1 관능기 및 제2 관능기만이 직접 결합한 Si를 1개 포함하는 구조를 갖는 것이 특히 바람직하다. 즉, 개질제는, 중심 원자로서의 Si에, 제1 관능기 및 제2 관능기만이 직접 결합한 구조를 갖는 것이 특히 바람직하다.
개질제는, 1분자 중에 1개의 아미노기를 포함하는 구조를 갖는 것이 바람직하다. 그 중에서도, 개질제는, 1분자 중에 1개의 아미노기와 적어도 1개의 알킬기를 포함하는 구조를 갖는 것이 보다 바람직하다. 그 중에서도, 개질제는, 1분자 중에 1개의 아미노기와 3개의 알킬기를 포함하는 구조를 갖는 것이 더욱 바람직하다. 또한, 개질제는, 중심 원자인 Si에 1개의 아미노기가 결합한 구조를 갖는 것이 바람직하다. 그 중에서도, 개질제는, 중심 원자인 Si에 1개의 아미노기와 적어도 1개의 알킬기가 결합한 구조를 갖는 것이 보다 바람직하다. 그 중에서도, 개질제는, 중심 원자인 Si에 1개의 아미노기와 3개의 알킬기가 결합한 구조를 갖는 것이 더욱 바람직하다. 또한, 아미노기는, 상술한 바와 같이, 치환 아미노기인 것이 바람직하다. 치환 아미노기가 갖는 치환기에 대해서는 상술한 바와 같다.
개질제로서는, 예를 들어 하기 식 1로 표현되는 화합물을 사용하는 것이 바람직하다.
식 1: [R1]n1-(X)-[R2]m1
식 1 중, R1은 X에 직접 결합하는 제1 관능기를 나타내고, R2는 X에 직접 결합하는 제2 관능기 또는 H 원자를 나타내고, X는, C 원자, Si 원자, Ge 원자 및 4가의 금속 원자로 이루어지는 군에서 선택되는 4가의 원자를 나타내고, n1은 1 또는 2를 나타내고, m1은 2 또는 3을 나타낸다.
R1로 표현되는 제1 관능기는, 상술한 제1 관능기와 동일한 의미이며, 바람직한 예도 마찬가지이다. n1이 2인 경우, 2개 있는 R1은, 각각 동일해도 되고 달라도 된다. R2로 표현되는 제2 관능기는, 상술한 제2 관능기와 동일한 의미이며, 바람직한 예도 마찬가지이다. m1이 2 또는 3인 경우, 2개 또는 3개 있는 R2는, 1개 또는 2개가 H 원자이고, 나머지가 제2 관능기여도 되고, 모두가 제2 관능기여도 된다. 2개 또는 3개 있는 R2 모두가 제2 관능기인 경우, 모든 제2 관능기는 각각 동일해도 되고, 달라도 된다. X로 표현되는 4가의 원자로서는, Si 원자가 바람직하다. n2로서는, 1이 바람직하다. m2로서는, 3이 바람직하다.
개질제로서는, 예를 들어 (디메틸아미노)트리메틸실란((CH3)2NSi(CH3)3, 약칭: DMATMS), (디에틸아미노)트리에틸실란((C2H5)2NSi(C2H5)3, 약칭: DEATES), (디메틸아미노)트리에틸실란((CH3)2NSi(C2H5)3, 약칭: DMATES), (디에틸아미노)트리메틸실란((C2H5)2NSi(CH3)3, 약칭: DEATMS), (트리메틸실릴)아민((CH3)3SiNH2, 약칭: TMSA), (트리에틸실릴)아민((C2H5)3SiNH2, 약칭: TESA), (디메틸아미노)실란((CH3)2NSiH3, 약칭: DMAS), (디에틸아미노)실란((C2H5)2NSiH3, 약칭: DEAS) 등을 사용할 수 있다.
또한, 개질제로서는, 예를 들어 비스(디메틸아미노)디메틸실란([(CH3)2N]2Si(CH3)2, 약칭: BDMADMS), 비스(디에틸아미노)디에틸실란([(C2H5)2N]2Si(C2H5)2, 약칭: BDEADES), 비스(디메틸아미노)디에틸실란([(CH3)2N]2Si(C2H5)2, 약칭: BDMADES), 비스(디에틸아미노)디메틸실란([(C2H5)2N]2Si(CH3)2, 약칭: BDEADMS), 비스(디메틸아미노)실란([(CH3)2N]2SiH2, 약칭: BDMAS), 비스(디메틸아미노디메틸실릴)에탄([(CH3)2N(CH3)2Si]2C2H6, 약칭: BDMADMSE), 비스(디프로필아미노)실란([(C3H7)2N]2SiH2, 약칭: BDPAS), 비스(디프로필아미노)디메틸실란([(C3H7)2N]2Si(CH3)2, 약칭: BDPADMS), 비스(디프로필아미노)디에틸실란([(C3H7)2N]2Si(C2H5)2, 약칭: BDPADES), (디메틸실릴)디아민((CH3)2Si(NH2)2, 약칭: DMSDA), (디에틸실릴)디아민((C2H5)2Si(NH2)2, 약칭: DESDA), (디프로필실릴)디아민((C3H7)2Si(NH2)2, 약칭: DESDA), 비스(디메틸아미노디메틸실릴)메탄([(CH3)2N(CH3)2Si]2CH2, 약칭: BDMADMSM), 비스(디메틸아미노)테트라메틸디실란([(CH3)2N]2(CH3)4Si2, 약칭: BDMATMDS) 등을 사용할 수도 있다.
이들은 모두, Si에 아미노기와 알킬기가 직접 결합한 구조를 갖는 유기계 화합물이다. 이들 화합물을, 아미노알킬 화합물 또는 알킬아미노 화합물이라고 칭할 수도 있다. 개질제로서는, 이들 중 1개 이상을 사용할 수 있다.
(스텝 D)
스텝 C를 행한 후, 웨이퍼(200)에 대하여 성막제를 공급하여, 제2 표면인 SiN막의 표면 상에 막을 형성한다. 즉, 웨이퍼(200)에 대하여 SiN막의 표면과 반응하는 성막제를 공급하여, SiN막의 표면 상에 선택적으로(우선적으로) 막을 형성한다. 구체적으로는, 다음의 스텝 D1, D2를 순차 실행한다. 또한, 이하의 예에서는, 성막제는, 원료, 산화제 및 촉매를 포함한다. 스텝 D1, D2에서는, 히터(207)의 출력을 조정하여, 웨이퍼(200)의 온도를, 스텝 A, B, C에서의 웨이퍼(200)의 온도 이하로 한 상태, 바람직하게는 도 4에 도시하는 바와 같이, 스텝 A, B, C에서의 웨이퍼(200)의 온도보다도 낮게 한 상태를 유지한다.
[스텝 D1]
본 스텝에서는, 스텝 C를 행한 후의 웨이퍼(200), 즉, 제1 표면인 SiO막의 표면에 선택적으로 성막 저해층을 형성한 후의 웨이퍼(200)에 대하여, 성막제로서, 원료(원료 가스) 및 촉매(촉매 가스)를 공급한다.
구체적으로는, 밸브(243b, 243d)를 개방하여, 가스 공급관(232b, 232d) 내로 원료, 촉매를 각각 흘린다. 원료, 촉매는, 각각 MFC(241b, 241d)에 의해 유량 조정되어, 노즐(249b, 249a)을 통해서 처리실(201) 내에 공급되고, 처리실(201) 내에서 혼합되어 배기구(231a)로부터 배기된다. 이때, 웨이퍼(200)의 측방으로부터, 웨이퍼(200)에 대하여 원료 및 촉매가 공급된다(원료+촉매 공급). 이때, 밸브(243f 내지 243h)를 개방하여, 노즐(249a 내지 249c) 각각을 통해서 처리실(201) 내에 불활성 가스를 공급하도록 해도 된다.
후술하는 처리 조건 하에서 웨이퍼(200)에 대하여 원료와 촉매를 공급함으로써, 원료를 구성하는 분자의 분자 구조의 적어도 일부의, 제1 표면인 SiO막의 표면에의 화학 흡착을 억제하면서, 원료를 구성하는 분자의 분자 구조의 적어도 일부를, 제2 표면인 SiN막의 표면에 선택적으로 화학 흡착시키는 것이 가능해진다. 이에 의해, SiN막의 표면에 선택적으로 제1층이 형성된다. 제1층은, 원료의 잔기인, 원료를 구성하는 분자의 분자 구조의 적어도 일부를 포함한다. 즉, 제1층은, 원료를 구성하는 원자의 적어도 일부를 포함한다.
본 스텝에서는, 촉매를 원료와 함께 공급함으로써, 상술한 반응을, 논 플라스마의 분위기 하에서, 또한 후술하는 바와 같은 낮은 온도 조건 하에서 진행시킬 수 있다. 이와 같이, 제1층의 형성을, 논 플라스마의 분위기 하에서, 또한 후술하는 바와 같은 낮은 온도 조건 하에서 행함으로써, SiO막의 표면에 형성된 성막 저해층을 구성하는 분자나 원자를, SiO막의 표면으로부터 소멸(탈리)시키지 않고 유지하는 것이 가능해진다.
또한, 제1층의 형성을, 논 플라스마의 분위기 하에서, 또한 후술하는 바와 같은 낮은 온도 조건 하에서 행함으로써, 처리실(201) 내에서 원료가 열분해(기상 분해), 즉, 자기 분해하지 않도록 할 수 있다. 이에 의해, SiO막의 표면 및 SiN막의 표면에, 원료를 구성하는 분자의 분자 구조의 적어도 일부가 다중 퇴적되는 것을 억제할 수 있고, 원료를 SiN막의 표면에 선택적으로 흡착시키는 것이 가능해진다.
또한, 본 스텝에서는, SiO막의 표면의 일부에 원료를 구성하는 분자의 분자 구조의 적어도 일부가 흡착되는 경우도 있지만, 그 흡착량은, 극히 약간이며, SiN막의 표면에의 원료를 구성하는 분자의 분자 구조의 적어도 일부의 흡착량보다도 훨씬 소량이 된다. 이러한 선택적(우선적)인 흡착이 가능해지는 것은, 본 스텝에서의 처리 조건을, 후술하는 바와 같은 낮은 온도 조건이며, 처리실(201) 내에서 원료가 기상 분해하지 않는 조건으로 하고 있기 때문이다. 또한, SiO막의 표면의 전역에 걸쳐 성막 저해층이 형성되어 있는 것에 반해, SiN막의 표면의 많은 영역에 성막 저해층이 형성되어 있지 않기 때문이다.
스텝 D1에서 원료 및 촉매를 공급할 때에 있어서의 처리 조건으로서는,
처리 온도: 실온(25℃) 내지 120℃, 바람직하게는 실온 내지 90℃
처리 압력: 133 내지 1333Pa
원료 공급 유량: 0.001 내지 2slm
촉매 공급 유량: 0.001 내지 2slm
불활성 가스 공급 유량(가스 공급관마다): 0 내지 20slm
각 가스 공급 시간: 1 내지 60초
가 예시된다.
제2 표면인 SiN막의 표면에 제1층을 선택적으로 형성한 후, 밸브(243b, 243d)를 폐쇄하여, 처리실(201) 내로의 원료, 촉매의 공급을 각각 정지한다. 그리고, 스텝 A에서의 퍼지와 마찬가지의 처리 수순, 처리 조건에 의해, 처리실(201) 내에 잔류하는 가스상 물질 등을 처리실(201) 내로부터 배제한다(퍼지). 또한, 본 스텝에서 퍼지를 행할 때의 처리 온도는, 원료 및 촉매를 공급할 때의 처리 온도와 마찬가지의 온도로 하는 것이 바람직하다.
원료로서는, 예를 들어 Si 및 할로겐 함유 가스를 사용할 수 있다. 할로겐에는, 염소(Cl), 불소(F), 브롬(Br), 요오드(I) 등이 포함된다. Si 및 할로겐 함유 가스는, 할로겐을, Si와 할로겐의 화학 결합의 형태로 포함하는 것이 바람직하다. Si 및 할로겐 함유 가스는, C를 더 포함하고 있어도 되고, 그 경우, C를 Si-C 결합의 형태로 포함하는 것이 바람직하다. Si 및 할로겐 함유 가스로서는, 예를 들어 Si, Cl 및 알킬렌기를 포함하고, Si-C 결합을 갖는 실란계 가스, 즉, 알킬렌클로로실란계 가스를 사용할 수 있다. 알킬렌기에는, 메틸렌기, 에틸렌기, 프로필렌기, 부틸렌기 등이 포함된다. 알킬렌클로로실란계 가스는, Cl을 Si-Cl 결합의 형태로 포함하고, C를 Si-C 결합의 형태로 포함하는 것이 바람직하다.
Si 및 할로겐 함유 가스로서는, 예를 들어 비스(트리클로로실릴)메탄((SiCl3)2CH2, 약칭: BTCSM) 가스, 1,2-비스(트리클로로실릴)에탄((SiCl3)2C2H4, 약칭: BTCSE) 가스 등의 알킬렌클로로실란계 가스나, 1,1,2,2-테트라클로로-1,2-디메틸디실란((CH3)2Si2Cl4, 약칭: TCDMDS) 가스, 1,2-디클로로-1,1,2,2-테트라메틸디실란((CH3)4Si2Cl2, 약칭: DCTMDS) 가스 등의 알킬클로로실란계 가스나, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄(C2H4Cl4Si2, 약칭: TCDSCB) 가스 등의 Si와 C로 구성되는 환상 구조 및 할로겐을 포함하는 가스를 사용할 수 있다. 또한, Si 및 할로겐 함유 가스로서는, 테트라클로로실란(SiCl4, 약칭: STC) 가스, 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스, 옥타클로로트리실란(Si3Cl8, 약칭: OCTS) 가스 등의 무기 클로로실란계 가스를 사용할 수도 있다. 원료로서는, 이들 중 1개 이상을 사용할 수 있다.
또한, 원료로서는, Si 및 할로겐 함유 가스 대신에 테트라키스(디메틸아미노)실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스, 트리스(디메틸아미노)실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스, 비스(디에틸아미노)실란(Si[N(C2H5)2]2H2, 약칭: BDEAS) 가스, 비스(tert-부틸아미노)실란(SiH2[NH(C4H9)]2, 약칭: BTBAS) 가스, (디이소프로필아미노)실란(SiH3[N(C3H7)2], 약칭: DIPAS) 가스 등의 아미노실란계 가스를 사용할 수도 있다. 원료로서는, 이들 중 1개 이상을 사용할 수 있다.
촉매로서는, 예를 들어 상술한 스텝 B에서 예시한 각종 촉매와 마찬가지의 촉매를 사용할 수 있다.
[스텝 D2]
스텝 D1이 종료된 후, 웨이퍼(200), 즉, 제2 표면인 SiN막의 표면에 선택적으로 제1층을 형성한 후의 웨이퍼(200)에 대하여, 성막제로서, 산화제(산화 가스) 및 촉매(촉매 가스)를 공급한다.
구체적으로는, 밸브(243c, 243d)를 개방하여, 가스 공급관(232c, 232d) 내로 산화제, 촉매를 각각 흘린다. 산화제, 촉매는, 각각 MFC(241c, 241d)에 의해 유량 조정되어, 노즐(249c, 249a)을 통해서 처리실(201) 내에 공급되고, 처리실(201) 내에서 혼합되어 배기구(231a)로부터 배기된다. 이때, 웨이퍼(200)의 측방으로부터, 웨이퍼(200)에 대하여 산화제 및 촉매가 공급된다(산화제+촉매 공급). 이때, 밸브(243f 내지 243h)를 개방하여, 노즐(249a 내지 249c) 각각을 통해서 처리실(201) 내에 불활성 가스를 공급하도록 해도 된다.
후술하는 처리 조건 하에서 웨이퍼(200)에 대하여 산화제와 촉매를 공급함으로써, 스텝 D1에서 제2 표면인 SiN막의 표면에 형성된 제1층의 적어도 일부를 산화시키는 것이 가능해진다. 이에 의해, SiN막의 표면에, 제1층이 산화되어 이루어지는 제2층이 형성된다.
본 스텝에서는, 촉매를 산화제와 함께 공급함으로써, 상술한 반응을, 논 플라스마의 분위기 하에서, 또한 후술하는 바와 같은 낮은 온도 조건 하에서 진행시키는 것이 가능해진다. 이와 같이, 제2층의 형성을, 논 플라스마의 분위기 하에서, 또한 후술하는 바와 같은 낮은 온도 조건 하에서 행함으로써, 제1 표면인 SiO막의 표면에 형성된 성막 저해층을 구성하는 분자나 원자를, SiO막의 표면으로부터 소멸(탈리)시키지 않고 유지하는 것이 가능해진다.
본 스텝에서는, 산화제로서, O 및 H 함유 가스를 사용할 수 있다. 산화제가 O 및 H 함유 가스인 경우, 스텝 B에서, O 및 H 함유 가스와 촉매를 공급하는 조건을, 본 스텝에서, 산화제(즉, O 및 H 함유 가스)와 촉매를 공급하는 조건과 다르게 하는 것이 바람직하다. 특히, 스텝 B에서, O 및 H 함유 가스와 촉매를 공급하는 시간(처리 시간)을, 본 스텝에서, 산화제(즉, O 및 H 함유 가스)와 촉매를 공급하는 시간(처리 시간)보다도 길게 하는 것이 바람직하다. 이에 의해, 스텝 A를 행한 후에, 웨이퍼(200)의 표면에 흡착된 F를 보다 효과적으로 제거하고, 또한 SiO막의 표면에 OH 종단을 보다 효과적으로 형성하는 것이 가능해진다.
스텝 D2에서 산화제 및 촉매를 공급할 때에 있어서의 처리 조건으로서는,
처리 온도: 실온(25℃) 내지 120℃, 바람직하게는 실온 내지 100℃
처리 압력: 133 내지 1333Pa
산화제 공급 유량: 0.001 내지 2slm
촉매 공급 유량: 0.001 내지 2slm
불활성 가스 공급 유량(가스 공급관마다): 0 내지 20slm
각 가스 공급 시간: 1 내지 60초
가 예시된다.
제2 표면인 SiN막의 표면에 형성된 제1층을 산화시켜 제2층으로 변화(변환)시킨 후, 밸브(243c, 243d)를 폐쇄하여, 처리실(201) 내로의 산화제, 촉매의 공급을 각각 정지한다. 그리고, 스텝 A에서의 퍼지와 마찬가지의 처리 수순, 처리 조건에 의해, 처리실(201) 내에 잔류하는 가스상 물질 등을 처리실(201) 내로부터 배제한다(퍼지). 또한, 본 스텝에서 퍼지를 행할 때의 처리 온도는, 산화제 및 촉매를 공급할 때의 처리 온도와 마찬가지의 온도로 하는 것이 바람직하다.
산화제로서는, 예를 들어 상술한 스텝 A에서 예시한 각종 O 및 H 함유 가스와 마찬가지의 O 및 H 함유 가스를 사용할 수 있다. 또한, 산화제로서는, O 및 H 함유 가스 외에, 산소(O) 함유 가스를 사용할 수 있다. O 함유 가스로서는, 예를 들어 O2 가스, 오존 O3 가스, 아산화질소(N2O) 가스, 일산화질소(NO) 가스, 이산화질소(NO2) 가스, 일산화탄소(CO) 가스, 이산화탄소(CO2) 가스 등을 사용할 수 있다. 산화제로서는, 이것들 외에, 세정액, 예를 들어 암모니아수와 과산화수소수와 순수를 포함하는 세정액을 사용하도록 해도 된다. 즉, APM 세정에 의해 산화를 행하도록 해도 된다. 이 경우, 웨이퍼(200)를 세정액에 폭로함으로써 산화를 행할 수 있다. 산화제로서는, 이들 중 1개 이상을 사용할 수 있다.
촉매로서는, 예를 들어 상술한 스텝 B에서 예시한 각종 촉매와 마찬가지의 촉매를 사용할 수 있다.
[소정 횟수 실시]
상술한 스텝 D1, D2를 비동시에, 즉, 동기시키지 않고 행하는 사이클을 소정 횟수(n회, n은 1 이상의 정수) 행함으로써, 도 5의 (e)에 도시하는 바와 같이, 웨이퍼(200)의 제2 표면인 SiN막의 표면 상에 선택적으로(우선적으로) 막을 형성할 수 있다. 예를 들어, 상술한 원료, 산화제, 촉매를 사용하는 경우, SiN막의 표면 상에 막으로서 SiOC막 또는 SiO막을 선택적으로 성장시킬 수 있다. 상술한 사이클은, 복수회 반복하는 것이 바람직하다. 즉, 1사이클당 형성되는 제2층의 두께를 원하는 막 두께보다도 얇게 하여, 제2층을 적층함으로써 형성되는 막의 막 두께가 원하는 막 두께로 될 때까지, 상술한 사이클을 복수회 반복하는 것이 바람직하다.
상술한 바와 같이, 상술한 사이클을 복수회 반복함으로써, 제2 표면인 SiN막의 표면 상에 선택적으로 막을 성장시킬 수 있다. 이때, 제1 표면인 SiO막의 표면에는, 성막 저해층이 형성되어 있으므로, SiO막의 표면 상에의 막의 성장을 억제할 수 있다. 즉, 상술한 사이클을 복수회 반복함으로써, SiO막의 표면 상에의 막의 성장을 억제하면서, SiN막의 표면 상에의 막의 성장을 촉진시킬 수 있다.
또한, 스텝 D1, D2를 실시할 때, SiO막의 표면에 형성된 성막 저해층은, 상술한 바와 같이 SiO막의 표면에 유지된다는 점에서, SiO막의 표면 상에의 막의 성장을 억제할 수 있다. 단, 어떠한 요인에 의해, SiO막의 표면에의 성막 저해층의 형성이 불충분해지는 경우 등에 있어서는, SiO막의 표면 상에의 막의 형성, 성장이, 극히 약간 생기는 경우도 있다. 단, 이 경우에도, 제1 표면인 SiO막의 표면 상에 형성되는 막의 두께는, 제2 표면인 SiN막의 표면 상에 형성되는 막의 두께에 비해서 훨씬 얇아진다. 본 명세서에서, 「선택 성장에서의 선택성이 높다」란, 제1 표면 상에 막이 전혀 형성되지 않고, 제2 표면 상에만 막이 형성되는 경우뿐만 아니라, 제1 표면 상에 극히 얇은 막이 형성되기는 하지만, 제2 표면 상에는 그것보다도 훨씬 두꺼운 막이 형성되는 경우도 포함하는 것으로 한다.
스텝 A, B, C, D는, 동일 처리실 내에서(in-situ로) 행하는 것이 바람직하다. 이에 의해, 스텝 A에 의해 웨이퍼(200)의 표면을 청정화한 후(자연 산화막을 제거한 후), 웨이퍼(200)를 대기에 노출시키지 않고, 즉, 웨이퍼(200)의 표면을 청정한 상태로 유지한 채, 스텝 B, C, D를 행할 수 있어, SiN막의 표면 상에의 선택적인 막의 형성을 적정하게 행하는 것이 가능해진다. 즉, 스텝 A, B, C, D는, 동일 처리실 내에서(in-situ로) 행함으로써, 높은 선택성을 갖고 선택 성장을 행하는 것이 가능해진다.
(스텝 E)
스텝 D를 행한 후, 웨이퍼(200), 즉, 제2 표면인 SiN막의 표면 상에 선택적으로 막을 형성한 후의 웨이퍼(200)에 대하여 열처리를 행한다. 이때, 처리실(201) 내의 온도, 즉, SiN막의 표면 상에 선택적으로 막을 형성한 후의 웨이퍼(200)의 온도를, 스텝 A, B, C, D에서의 웨이퍼(200)의 온도 이상으로 하도록, 바람직하게는 스텝 A, B, C, D에서의 웨이퍼(200)의 온도보다도 높게 하도록, 히터(207)의 출력을 조정한다.
웨이퍼(200)에 대하여 열처리(어닐 처리)를 행함으로써, 스텝 D에서 SiN막의 표면 상에 형성된 막에 포함되는 불순물의 제거나, 결함의 수복을 행할 수 있다. SiN막의 표면 상에 형성된 막에 있어서, 불순물의 제거나, 결함의 수복이 불필요한 경우에는, 어닐 처리를 생략할 수도 있다. 또한, 이 스텝을, 처리실(201) 내에 불활성 가스를 공급한 상태에서 행해도 되고, 산화제(산화 가스) 등의 반응성 물질을 공급한 상태에서 행해도 된다. 이 경우의 불활성 가스나 산화제(산화 가스) 등의 반응성 물질을 어시스트 물질이라고도 칭한다.
스텝 E에서 열처리를 행할 때에 있어서의 처리 조건으로서는,
처리 온도: 120 내지 1000℃, 바람직하게는 400 내지 700℃
처리 압력: 1 내지 120000Pa
처리 시간: 1 내지 18000초
어시스트 물질 공급 유량: 0 내지 50slm
이 예시된다.
(애프터 퍼지 및 대기압 복귀)
스텝 E가 완료된 후, 노즐(249a 내지 249c) 각각으로부터 퍼지 가스로서의 불활성 가스를 처리실(201) 내에 공급하고, 배기구(231a)로부터 배기한다. 이에 의해, 처리실(201) 내가 퍼지되어, 처리실(201) 내에 잔류하는 가스나 반응 부생성물 등이 처리실(201) 내로부터 제거된다(애프터 퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되고(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되어, 매니폴드(209)의 하단이 개구된다. 그리고, 처리 완료된 웨이퍼(200)가, 보트(217)에 지지된 상태에서 매니폴드(209)의 하단으로부터 반응관(203)의 외부로 반출(보트 언로드)된다. 보트 언로드 후에는 셔터(219s)가 이동되고, 매니폴드(209)의 하단 개구가 O링(220c)을 통해 셔터(219s)에 의해 시일된다(셔터 클로즈). 처리 완료된 웨이퍼(200)는, 반응관(203)의 외부로 반출된 후, 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3) 본 양태에 의한 효과
본 양태에 의하면, 이하에 나타내는 하나 또는 복수의 효과가 얻어진다.
제1 표면과 제2 표면을 갖는 웨이퍼(200)에 대하여 F 함유 가스를 공급하는 스텝 A와, 스텝 A를 행한 후의 웨이퍼(200)에 대하여 O 및 H 함유 가스와 촉매를 공급하는 스텝 B를 행함으로써, 스텝 B에서, 웨이퍼(200)의 표면에 흡착된 F를 효율적으로 제거하는 것이 가능하게 되고, 또한 제1 표면에 OH 종단을 효율적이면서 또한 선택적(우선적)으로 형성하는 것이 가능해진다. 이에 의해, 스텝 C에서, 제1 표면을 선택적(우선적)으로 개질시킬 수 있어, 스텝 D에서 제2 표면 상에 선택적(우선적)으로 막을 형성하는 것이 가능해진다.
또한, 스텝 B를, 제2 표면에의 OH 종단의 형성이 억제되고, 제1 표면에 OH 종단이 형성되는 조건 하에서 행하는 것이 바람직하다. 또한, 스텝 B를, 제2 표면의 산화가 억제되고, 제1 표면에 OH 종단이 형성되는 조건 하에서 행하는 것이 바람직하다. 또한, 스텝 B를, 제2 표면에 형성되는 OH 종단의 양이, 제1 표면에 형성되는 OH 종단의 양보다도 적어지는 조건 하에서 행하는 것이 바람직하다. 이들 조건 하에서 스텝 B를 행함으로써, 제1 표면에 OH 종단을 선택적으로 형성할 수 있어, 스텝 C에서, 제1 표면을 선택적(우선적)으로 개질시킬 수 있다. 이에 의해, 스텝 D에서, 제2 표면 상에 선택적(우선적)으로 막을 형성하는 것이 가능해진다.
성막제가, 원료, 산화제, 및 촉매를 포함함으로써, 스텝 D에서, 저온 하에서, 제2 표면 상에 선택적(우선적)으로 막을 형성할 수 있어, 스텝 C에서 개질제에 의해 개질시킨 제1 표면의 최표면이 성막제에 의해 변질(예를 들어, 성막 저해층의 기능이 무효화)되는 것을 억제하는 것이 가능해진다. 또한, 스텝 D에서는, 웨이퍼(200)에 대하여 원료, 또는 원료와 촉매를 공급하는 스텝 D1과, 웨이퍼(200)에 대하여 산화제와 촉매를 공급하는 스텝 D2를 비동시에 행하는 사이클을 소정 횟수 행하는 것이 바람직하다. 이와 같이 함으로써, 스텝 D에서, 저온 하에서, 제2 표면 상에 선택적(우선적)으로 막을 형성할 수 있어, 스텝 C에서 개질제에 의해 개질시킨 제1 표면의 최표면이 성막제에 의해 변질(예를 들어, 성막 저해층의 기능이 무효화)되는 것을 억제하는 것이 가능해진다.
스텝 D2에서 사용하는 산화제가 O 및 H 함유 가스인 경우에, 스텝 B에서, O 및 H 함유 가스와 촉매를 공급하는 조건을, 스텝 D2에서, 산화제(O 및 H 함유 가스)와 촉매를 공급하는 조건과 다르게 하는 것이 바람직하다. 특히, 스텝 B에서, O 및 H 함유 가스와 촉매를 공급하는 시간을, 스텝 D2에서, 산화제(O 및 H 함유 가스)와 촉매를 공급하는 시간보다도 길게 하는 것이 바람직하다. 이렇게 함으로써, 스텝 A를 행함으로써 웨이퍼(200)의 표면에 흡착된 F를 보다 효과적으로 제거하고, 또한 SiO막의 표면에 OH 종단을 보다 효과적으로 형성하는 것이 가능해진다.
스텝 A, B, C, D를, 동일 처리실 내에서(in-situ로) 행함으로써, 스텝 A에서 웨이퍼(200)의 표면에서의 자연 산화막을 제거한 후, 웨이퍼(200)를 대기에 노출시키지 않고, 즉, 웨이퍼(200)의 표면에 자연 산화막이 없는 상태를 유지한 채, 스텝 B, C, D를 행할 수 있다. 이에 의해, SiN막의 표면 상에의 선택적인 막의 형성을 적정하게 행하는 것이 가능해져, 높은 선택성을 갖고 선택 성장을 행하는 것이 가능해진다. 또한, 스텝 A, B, C, D, E를, 동일 처리실 내에서(in-situ로) 행함으로써, 상술한 효과가 얻어지는 것 외에, 또한 SiN막의 표면 상에 선택적으로 형성된 막을, 대기에 노출시키지 않고 열처리(어닐 처리)하는 것이 가능해진다. 이에 의해, 막에 대한 어닐 효과를 높이는 것이 가능해지고, 또한 어닐 처리 후의 막을, 대기 폭로에 의한 영향을 받기 어려운 막으로 하는 것이 가능해진다.
제1 표면이 산소 함유 재료(산화물)를 포함하고, 제2 표면이 산소 비함유 재료(비산화물)를 포함하는 웨이퍼(200)에 대하여, 스텝 A, B, C, D를 행함으로써, 상술한 각종 반응을 보다 적정하게 발생시키는 것이 가능해져, 상술한 효과가 현저하게 얻어지게 된다. 또한, 제1 표면이 실리콘 및 산소 함유 재료(실리콘 산화물)를 포함하고, 제2 표면이 산소 비함유인 실리콘 함유 재료(실리콘 비산화물)를 포함하는 웨이퍼(200)에 대하여, 스텝 A, B, C, D를 행함으로써, 상술한 각종 반응을 더욱 적정하게 발생시키는 것이 가능해져, 상술한 효과가 보다 현저하게 얻어지게 된다. 또한, 제1 표면이 실리콘 및 산소 함유 재료(실리콘 산화물)를 포함하고, 제2 표면이 실리콘 및 질소 함유 재료(실리콘 질화물)를 포함하는 웨이퍼(200)에 대하여, 스텝 A, B, C, D를 행함으로써도, 상술한 각종 반응을 더욱 적정하게 발생시키는 것이 가능해져, 상술한 효과가 보다 현저하게 얻어지게 된다.
스텝 A에서의 F 함유 가스가 HF 가스이고, 스텝 B에서의 O 및 H 함유 가스가 H2O 가스인 경우에, 상술한 자연 산화막의 제거, 제1 표면에의 OH 종단의 형성 등을 보다 적정하게 발생시키는 것이 가능해져, 상술한 효과가 현저하게 얻어지게 된다.
(4) 변형예
본 양태에서의 기판 처리 시퀀스는, 이하에 나타내는 변형예와 같이 변경할 수 있다. 이들 변형예는 임의로 조합할 수 있다. 특별히 설명이 없는 한, 각 변형예의 각 스텝에서의 처리 수순, 처리 조건은, 상술한 기판 처리 시퀀스의 각 스텝에서의 처리 수순, 처리 조건과 마찬가지로 할 수 있다.
(변형예 1)
도 4에 도시하는 처리 시퀀스에서는, 스텝 A를 행한 후에 스텝 B를 행하는 예에 대해서 설명하였지만, 스텝 B를 스텝 A와 동시에 행하도록 해도 된다. 즉, 스텝 B를, 상술한 양태와 같이 스텝 A를 행한 후에 행하도록 해도 되고, 본 변형예와 같이 스텝 A와 동시에 행하도록 해도 된다. 스텝 B를 스텝 A와 동시에 행하는 경우, 웨이퍼(200)에 대하여, F 함유 가스, O 및 H 함유 가스, 및 촉매를 동시에 공급하게 된다. 본 변형예에서는, 스텝 B를 스텝 A와 동시에 행함으로써, 스텝 A 및 스텝 B를 행하는 시간을 단축시키는 것이 가능해진다. 또한, 상술한 양태와 같이, 스텝 B를, 스텝 A를 행한 후에 행하는 경우에는, 스텝 A 및 스텝 B 각각을 보다 효과적으로 행하는 것이 가능해진다.
스텝 B를 스텝 A와 동시에 행할 때는, 스텝 A를 스텝 B보다도 선행하여 개시하도록 해도 된다. 즉, 스텝 A를 개시한 후, 스텝 A를 계속하고 있는 도중에 스텝 B를 개시하도록 해도 된다. 이 경우, 스텝 A와 스텝 B를 동시에 개시하는 경우에 비해서, 스텝 A를 보다 효과적으로 행하는 것이 가능해진다.
스텝 B를 스텝 A와 동시에 행할 때는, 스텝 A를 스텝 B보다도 선행하여 종료하도록 해도 된다. 즉, 스텝 A와 스텝 B를 동시에 행한 후에, 스텝 B를 계속하고 있는 도중에 스텝 A를 종료하도록 해도 된다. 이 경우, 스텝 A와 스텝 B를 동시에 종료하는 경우에 비해서, 스텝 B를 보다 효과적으로 행하는 것이 가능해진다.
이들과 같이, 스텝 B를 스텝 A와 동시에 행하는 경우, 적어도 스텝 B를 스텝 A와 동시에 행하는 타이밍 또는 기간을 갖고 있으면 되며, 스텝 A를 스텝 B보다도 선행하여 개시 및/또는 종료하도록 해도 된다.
(변형예 2)
이하에 나타내는 처리 시퀀스와 같이, 스텝 D1에서는, 웨이퍼(200)에 대하여 촉매를 공급하지 않고, 반응성 가스로서 원료를 단독으로 공급하도록 해도 된다. 또한, 스텝 D2에서는, 웨이퍼(200)에 대하여 촉매를 공급하지 않고, 반응성 가스로서 산화제를 단독으로 공급하도록 해도 된다. 웨이퍼(200)에 대하여 원료와 촉매를 공급함으로써, 저온 하에서, 원료를 구성하는 분자의 분자 구조의 적어도 일부의 제2 표면에의 화학 흡착을 촉진시킬 수 있다. 또한, 웨이퍼(200)에 대하여 산화제와 촉매를 공급함으로써, 저온 하에서 산화 레이트를 높일 수 있다. 그러나, 원료를 구성하는 분자의 분자 구조의 적어도 일부의 제2 표면에의 화학 흡착 반응이나, 산화 레이트를, 처리 온도나 처리 압력 등의 처리 조건에 따라 조정하는 경우 등에 있어서는, 촉매의 공급을 생략할 수 있다. 본 변형예에서도, 상술한 양태와 마찬가지의 효과가 얻어진다.
F 함유 가스→O 및 H 함유 가스+촉매→개질제→(원료→산화제+촉매)×n→열처리
F 함유 가스→O 및 H 함유 가스+촉매→개질제→(원료+촉매→산화제)×n→열처리
F 함유 가스→O 및 H 함유 가스+촉매→개질제→(원료→산화제)×n→열처리
(변형예 3)
이하에 나타내는 처리 시퀀스와 같이, 상술한 양태에서의 스텝 E(열처리)를 생략하도록 해도 된다. 제2 표면에 형성된 막에 포함되는 불순물 등의 양이 허용 범위 내인 경우는, 스텝 E를 생략할 수 있다. 본 변형예에서도, 상술한 양태와 마찬가지의 효과가 얻어진다. 또한, 스텝 E를 생략함으로써, 전체적인 처리 시간을 단축시킬 수 있어, 생산성을 향상시키는 것이 가능해진다.
F 함유 가스→O 및 H 함유 가스+촉매→개질제→(원료+촉매→산화제+촉매)×n
(변형예 4)
웨이퍼(200)의 표면의 흡착 사이트를 적정화시키는 것만을 목적으로 한 처리를 행하는 경우는, 이하에 나타내는 처리 시퀀스와 같이, 상술한 양태에서의 스텝 C, D, E를 생략하도록 해도 된다. 이 경우, 반도체 장치의 제조 공정의 일 공정으로서의 기판 처리 공정으로서, 웨이퍼(200)에 대하여 F 함유 가스를 공급하는 스텝 A와, 스텝 A를 행한 후의 웨이퍼(200)에 대하여 O 및 H 함유 가스와 촉매를 공급하는 스텝 B를 행한다. 본 변형예에서는, 스텝 A에서, 웨이퍼(200)의 표면에 형성된 자연 산화막을 제거하고, 스텝 B에서, 스텝 A를 행한 후에 웨이퍼(200)의 표면에 흡착된 F를 제거하고, 웨이퍼(200)의 표면에 OH 종단을 형성한다. 본 변형예에 의하면, 스텝 B에서, 스텝 A에 의해 웨이퍼(200)의 표면에 흡착된 F를 효율적으로 제거할 수 있고, 또한 웨이퍼(200)의 표면에 OH 종단을 효율적으로 형성할 수 있다. 즉, 본 변형예에 의하면, 웨이퍼(200)의 표면의 흡착 사이트를 조정하여, 적정화시키는 것이 가능해진다.
F 함유 가스→O 및 H 함유 가스+촉매
본 변형예에서는, 이하에 나타내는 처리 시퀀스와 같이, 스텝 B를 행한 후의 웨이퍼(200)에 대하여 성막제를 공급하는 스텝 D를 더 행하는 것이 바람직하다. 즉, 본 변형예에서는, 웨이퍼(200)에 대하여 스텝 A, B, D를 행하는 것이 바람직하다. 스텝 D에서는, 웨이퍼(200)에 대하여, OH 종단과 반응하는 성막제를 공급함으로써, 웨이퍼(200)의 표면 상에 막을 형성할 수 있다. 스텝 D를 행함으로써, 흡착 사이트가 적정화된 표면을 갖는 웨이퍼(200)에 대하여 성막제를 공급할 수 있고, 이에 의해 웨이퍼(200)의 표면 상에의 성막을 적정하게 행하는 것이 가능해진다. 결과로서, 막과 웨이퍼(200)의 표면의 계면 특성을 향상시키는 것이 가능해진다.
F 함유 가스→O 및 H 함유 가스+촉매→(원료+촉매→산화제+촉매)×n
본 변형예에서 사용되는 성막제는, 상술한 스텝 D에서 예시한 각종 성막제와 마찬가지의 성막제를 사용할 수 있다. 특히, 성막제의 하나인 원료로서는, 예를 들어 아미노실란계 가스를 사용하는 것이 바람직하다.
<본 개시의 다른 양태>
이상, 본 개시의 양태를 구체적으로 설명하였다. 그러나, 본 개시는 상술한 양태에 한정되는 것은 아니며, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 웨이퍼(200)는, 제1 표면으로서 재질이 다른 복수 종류의 영역을 갖고 있어도 되고, 제2 표면으로서 재질이 다른 복수 종류의 영역을 갖고 있어도 된다. 제1 표면 및 제2 표면을 구성하는 영역으로서는, 상술한 SiO막, SiN막 외에, SiOCN막, SiON막, SiOC막, SiC막, SiCN막, SiBN막, SiBCN막, SiBC막, Si막, Ge막, SiGe막 등의 반도체 원소를 포함하는 막, TiN막, W막 등의 금속 원소를 포함하는 막, 아몰퍼스 카본막(a-C막) 외에, 단결정 Si(Si 웨이퍼) 등이어도 된다. 자연 산화막이 형성될 수 있는 영역이라면, 어느 영역이든 제2 표면으로서 사용할 수 있다. 한편, 자연 산화막이 형성되기 어려운 영역이라면, 어느 영역이든 제1 표면으로서 사용할 수 있다. 그 경우에도, 상술한 양태와 마찬가지의 효과가 얻어진다.
예를 들어, 스텝 D에서는, SiOC막이나 SiO막뿐만 아니라, 예를 들어 실리콘 산탄질화막(SiOCN막), 실리콘 산질화막(SiON막), 실리콘 붕산질화막(SiBON막), 실리콘 붕산탄질화막(SiBOCN막) 등의 실리콘계 산화막을 형성하도록 해도 된다. 또한, 스텝 D에서는, 예를 들어 알루미늄 산화막(AlO막), 티타늄 산화막(TiO막), 하프늄 산화막(HfO막), 지르코늄 산화막(ZrO막) 등의 금속계 산화막을 형성하도록 해도 된다.
각 처리에 사용되는 레시피는, 처리 내용에 따라 개별로 준비하여, 전기 통신 회선이나 외부 기억 장치(123)를 통해서 기억 장치(121c) 내에 저장해 두는 것이 바람직하다. 그리고, 각 처리를 개시할 때, CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 레시피 중에서 처리 내용에 따라서 적정한 레시피를 적절히 선택하는 것이 바람직하다. 이에 의해, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 막을 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 부담을 저감할 수 있어, 조작 미스를 회피하면서, 각 처리를 신속하게 개시할 수 있게 된다.
상술한 레시피는, 새롭게 작성하는 경우에 한하지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 변경함으로써 준비해도 된다. 레시피를 변경하는 경우는, 변경 후의 레시피를, 전기 통신 회선이나 당해 레시피를 기록한 기록 매체를 통해서 기판 처리 장치에 인스톨하도록 해도 된다. 또한, 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있던 기존의 레시피를 직접 변경하도록 해도 된다.
상술한 양태에서는, 한 번에 복수매의 기판을 처리하는 배치식 기판 처리 장치를 사용하여 막을 형성하는 예에 대해서 설명하였다. 본 개시는 상술한 양태에 한정되지 않고, 예를 들어 한 번에 1매 또는 수매의 기판을 처리하는 매엽식 기판 처리 장치를 사용하여 막을 형성하는 경우에도 적합하게 적용할 수 있다. 또한, 상술한 양태에서는, 핫월형의 처리로를 갖는 기판 처리 장치를 사용하여 막을 형성하는 예에 대해서 설명하였다. 본 개시는 상술한 양태에 한정되지 않고, 콜드월형의 처리로를 갖는 기판 처리 장치를 사용하여 막을 형성하는 경우에도 적합하게 적용할 수 있다.
이러한 기판 처리 장치를 사용하는 경우에도, 상술한 양태와 마찬가지의 처리 수순, 처리 조건에서 각 처리를 행할 수 있고, 상술한 양태와 마찬가지의 효과가 얻어진다.
상술한 양태는 적절히 조합하여 사용할 수 있다. 이때의 처리 수순, 처리 조건은, 예를 들어 상술한 양태의 처리 수순, 처리 조건과 마찬가지로 할 수 있다.
[실시예]
<웨이퍼의 준비>
DHF 세정 미실시인, SiO막의 표면과 SiN막의 표면을 갖는 웨이퍼를 준비하였다. 이 웨이퍼는, DHF 세정 미실시이므로, 도 5의 (a)에 도시하는 바와 같이, SiN막의 표면에 자연 산화막을 갖는다.
<비교예 1>
상술한 기판 처리 장치를 사용하여, 상기 웨이퍼에 대하여, 상술한 양태의 처리 시퀀스 중 스텝 D를 행함으로써, 웨이퍼 상에 SiOC막을 형성하여, 비교예 1의 평가 샘플을 제작하였다. 비교예 1의 평가 샘플을 제작할 때의 스텝 D에서의 처리 조건은, 상술한 양태의 처리 시퀀스의 스텝 D에서의 처리 조건의 범위 내의 소정의 조건으로 하였다.
<비교예 2>
상술한 기판 처리 장치를 사용하여, 상기 웨이퍼에 대하여, 상술한 양태의 처리 시퀀스 중 스텝 C, D를 행함으로써, 웨이퍼 상에 SiOC막을 형성하여, 비교예 2의 평가 샘플을 제작하였다. 비교예 2의 평가 샘플을 제작할 때의 각 스텝에서의 처리 조건은, 상술한 양태의 처리 시퀀스의 각 스텝에서의 처리 조건의 범위 내의 소정의 조건으로 하였다.
<비교예 3>
상술한 기판 처리 장치를 사용하여, 상기 웨이퍼에 대하여, 상술한 양태의 처리 시퀀스 중 스텝 A, C, D를 행함으로써, 웨이퍼 상에 SiOC막을 형성하여, 비교예 3의 평가 샘플을 제작하였다. 비교예 3의 평가 샘플을 제작할 때의 각 스텝에서의 처리 조건은, 상술한 양태의 처리 시퀀스의 각 스텝에서의 처리 조건의 범위 내의 소정의 조건으로 하였다.
비교예 1 내지 3의 평가 샘플을 제작한 후, 각각의 평가 샘플에서의, SiO막의 표면 상에 형성된 SiOC막의 두께와, SiN막의 표면 상에 형성된 SiOC막의 두께를 측정하였다. 그 측정 결과를 도 6에 도시한다. 도 6의 횡축은, 각각 좌측으로부터 차례로, 비교예 1, 비교예 2, 비교예 3을 나타내고 있고, 종축은 각 표면 상에 형성된 SiOC막의 두께[Å]를 나타내고 있다. 또한, 막대 그래프에서의, 좌측의 막대는 SiO막의 표면 상에 형성된 SiOC막의 두께를 나타내고 있고, 우측의 막대는 SiN막의 표면 상에 형성된 SiOC막의 두께를 나타내고 있다.
도 6으로부터, 비교예 1 내지 3의 평가 샘플은 모두, SiO막의 표면 상에 형성된 SiOC막의 두께와, SiN막의 표면 상에 형성된 SiOC막의 두께의 차가 수 Å 내지 십수 Å 정도로, 선택 성장에서의 선택성이 낮은 것을 알 수 있다.
<실시예 1>
상술한 기판 처리 장치를 사용하여, 상기 웨이퍼에 대하여, 상술한 양태의 처리 시퀀스 중 스텝 A, B, C, D를 행함으로써, 웨이퍼 상에 SiOC막을 형성하여, 실시예 1의 평가 샘플을 제작하였다. 실시예 1의 평가 샘플을 제작할 때의 각 스텝에서의 처리 조건은, 상술한 양태의 처리 시퀀스의 각 스텝에서의 처리 조건의 범위 내의 소정의 조건으로 하였다.
<참고예 1>
상술한 기판 처리 장치를 사용하여, 상기 웨이퍼에 대하여, 상술한 양태의 처리 시퀀스 중 스텝 A, B, C, D를 행함으로써, 웨이퍼 상에 SiOC막을 형성하여, 참고예 1의 평가 샘플을 제작하였다. 참고예 1의 평가 샘플을 제작할 때의 스텝 A, C, D에서의 처리 조건은, 상술한 양태의 처리 시퀀스의 스텝 A, C, D에서의 처리 조건의 범위 내의 소정의 조건으로 하였다. 또한, 참고예 1의 평가 샘플을 제작할 때의 스텝 B에서는, 촉매를 공급하지 않고, 반응성 가스로서는 O 및 H 함유 가스만을 공급하고, 그 처리 압력을, 스텝 D(구체적으로는, 스텝 D2)에서의 처리 압력보다도 높게 하였다. 구체적으로는, 처리 압력을 13300 내지 66500Pa의 범위 내의 소정의 압력으로 하였다. 스텝 B에서의 처리 압력 이외의 다른 처리 조건은, 상술한 양태의 처리 시퀀스의 스텝 B에서의 처리 조건의 범위 내의 소정의 조건으로 하였다.
실시예 1, 참고예 1의 평가 샘플을 제작한 후, 각각의 평가 샘플에서의, SiO막의 표면 상에 형성된 SiOC막의 두께와 SiN막의 표면 상에 형성된 SiOC막의 두께를 측정하였다. 그 측정 결과를 도 7에 도시한다. 도 7의 횡축은, 각각 좌측으로부터 차례로, 실시예 1, 참고예 1을 나타내고 있고, 종축은 각 표면 상에 형성된 SiOC막의 두께[Å]를 나타내고 있다. 또한, 막대 그래프에서의, 좌측의 막대는 SiO막의 표면 상에 형성된 SiOC막의 두께를 나타내고 있고, 우측의 막대는 SiN막의 표면 상에 형성된 SiOC막의 두께를 나타내고 있다.
도 7로부터, 실시예 1의 평가 샘플은, SiO막의 표면 상에 형성된 SiOC막의 두께와, SiN막의 표면 상에 형성된 SiOC막의 두께의 차가 80Å 정도로, 선택 성장에서의 선택성이 매우 높은 것을 알 수 있다. 또한, 참고예 1의 평가 샘플도, SiO막의 표면 상에 형성된 SiOC막의 두께와, SiN막의 표면 상에 형성된 SiOC막의 두께의 차가 60Å 정도로, 선택 성장에서의 선택성이 높은 것을 알 수 있다. 또한, 실시예 1 및 참고예 1의 어느 경우든, 스텝 D에서의 사이클수를, SiO막의 표면 상에 형성된 SiOC막의 두께 분만큼 저감시킴으로써, SiO막의 표면 상에 형성되는 SiOC막의 두께를 제로로 하는 것이 가능하여, SiO막과 SiN막 중 SiN막 상에, 선택적으로 SiOC막을 형성할 수 있음을 알 수 있다.
이상, 본 개시의 다양한 양태를 구체적으로 설명하였지만, 본 개시는 이하의 양태도 포함한다.
(부기 1)
본 개시의 다른 양태에 의하면,
(a) 기판에 대하여 불소 함유 가스를 공급하는 공정과,
(b) (a)를 행한 후의 상기 기판에 대하여 산소 및 수소 함유 가스와 촉매를 공급하는 공정
을 갖는 반도체 장치의 제조 방법, 또는 기판 처리 방법이 제공된다.
(부기 2)
부기 1에 기재된 방법이며,
(c) (b)를 행한 후의 상기 기판에 대하여 성막제를 공급하는 공정을 더 갖는다.
(부기 3)
부기 2에 기재된 방법이며,
(a)에서는, 상기 기판의 표면에 형성된 자연 산화막을 제거하고,
(b)에서는, 상기 기판의 표면에 흡착되는 불소를 제거하고, 상기 기판의 표면에 OH 종단을 형성하고,
(c)에서는, OH 종단과 반응하는 상기 성막제를 공급함으로써, 상기 기판 상에 막을 형성한다.
(부기 4)
본 개시의 또 다른 양태에 의하면,
기판이 처리되는 처리실과,
상기 처리실 내의 기판에 대하여 불소 함유 가스를 공급하는 불소 함유 가스 공급계와,
상기 처리실 내의 기판에 대하여 산소 및 수소 함유 가스를 공급하는 산소 및 수소 함유 가스 공급계와,
상기 처리실 내의 기판에 대하여 촉매를 공급하는 촉매 공급계와,
상기 처리실 내에서, 부기 1의 각 처리(각 공정)를 행하게 하도록, 상기 불소 함유 가스 공급계, 상기 산소 및 수소 함유 가스 공급계, 및 상기 촉매 공급계를 제어하는 것이 가능하도록 구성되는 제어부
를 갖는 기판 처리 장치가 제공된다.
(부기 5)
본 개시의 또 다른 양태에 의하면,
부기 1의 각 수순(각 공정)을 컴퓨터에 의해 기판 처리 장치에 실행시키는 프로그램, 또는 해당 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.

Claims (27)

  1. (a) 제1 표면과 제2 표면을 갖는 기판에 대하여 불소 함유 가스를 공급하는 공정과,
    (b) (a)를 행한 후의 상기 기판에 대하여 산소 및 수소 함유 가스와 촉매를 공급하는 공정과,
    (c) (b)를 행한 후의 상기 기판에 대하여 1분자 중에 제1 관능기 및 제2 관능기가 직접 결합한 원자를 1개 이상 포함하는 유기계의 개질제를 공급하는 공정과,
    (d) (c)를 행한 후의 상기 기판에 대하여 성막제를 공급하는 공정을 갖는 기판 처리 방법.
  2. 제1항에 있어서,
    (a)에서는, 상기 제2 표면에 형성된 자연 산화막을 제거하고,
    (b)에서는, 상기 기판의 표면에 흡착되는 불소를 제거하고, 상기 제1 표면에 OH 종단을 형성하는 방법.
  3. 제1항에 있어서,
    (b)를, 상기 제2 표면에 대한 OH 종단의 형성이 억제되고, 상기 제1 표면에 OH 종단이 형성되는 조건 하에서 행하는 방법.
  4. 제1항에 있어서,
    (b)를, 상기 제2 표면의 산화가 억제되고, 상기 제1 표면에 OH 종단이 형성되는 조건 하에서 행하는 방법.
  5. 제1항에 있어서,
    (b)를, 상기 제2 표면에 형성되는 OH 종단의 양이, 상기 제1 표면에 형성되는 OH 종단의 양보다도 적어지는 조건 하에서 행하는 방법.
  6. 제1항에 있어서,
    (c)에서는, 상기 제1 표면에 상기 개질제를 구성하는 분자의 분자 구조의 적어도 일부를 흡착시켜 성막 저해층을 형성하도록 상기 제1 표면을 개질시키는 방법.
  7. 제1항에 있어서,
    상기 제1 관능기는 아미노기 또는 치환 아미노기를 포함하고, 상기 제2 관능기는 탄화수소기를 포함하는 방법.
  8. 제7항에 있어서,
    상기 탄화수소기는, 알킬기, 알케닐기, 알키닐기 중 적어도 어느 것을 포함하는 방법.
  9. 제7항에 있어서,
    (c)에서는, 상기 제1 표면을 탄화수소기에 의해 종단시키는 방법.
  10. 제1항에 있어서,
    상기 개질제는, 하기 식 1로 표현되는 화합물을 포함하는 방법.
    식 1: [R1]n1-(X)-[R2]m1
    (식 1 중, R1은 X에 직접 결합하는 상기 제1 관능기를 나타내고, R2는 X에 직접 결합하는 상기 제2 관능기 또는 수소 원자를 나타내고, X는, 탄소 원자, 실리콘 원자, 게르마늄 원자, 및 4가의 금속 원자로 이루어지는 군에서 선택되는 4가의 원자를 나타내고, n1은 1 또는 2를 나타내고, m1은 2 또는 3을 나타낸다. n1이 2인 경우, 2개 있는 R1은, 각각, 동일해도 되고, 달라도 된다. m1이 2 또는 3인 경우, 2개 또는 3개 있는 R2는, 1개 또는 2개가 수소 원자이고, 나머지가 상기 제2 관능기여도 되고, 모두가 상기 제2 관능기여도 된다. 2개 또는 3개 있는 R2 모두가 상기 제2 관능기인 경우, 모든 상기 제2 관능기는, 각각, 동일해도 되고, 달라도 된다.)
  11. 제1항에 있어서,
    (d)에서는, 상기 제2 표면 상에 막을 형성하는 방법.
  12. 제11항에 있어서,
    상기 막은 산화막을 포함하는 방법.
  13. 제11항에 있어서,
    상기 막은 실리콘계 산화막 또는 금속계 산화막을 포함하는 방법.
  14. 제1항에 있어서,
    상기 성막제는, 원료, 촉매, 및 산화제를 포함하는 방법.
  15. 제14항에 있어서,
    (d)에서는, (d1) 상기 기판에 대하여 상기 원료, 또는 상기 원료와 상기 촉매를 공급하는 공정과, (d2) 상기 기판에 대하여 상기 산화제와 상기 촉매를 공급하는 공정을 포함하는 사이클을 소정 횟수 행하는 방법.
  16. 제15항에 있어서,
    상기 산화제는, 산소 및 수소 함유 가스이며,
    (b)에서, 상기 산소 및 수소 함유 가스와 상기 촉매를 공급하는 조건을,
    (d2)에서, 상기 산화제와 상기 촉매를 공급하는 조건과 다르게 하는 방법.
  17. 제15항에 있어서,
    상기 산화제는, 산소 및 수소 함유 가스이며,
    (b)에서, 상기 산소 및 수소 함유 가스와 상기 촉매를 공급하는 시간을,
    (d2)에서, 상기 산화제와 상기 촉매를 공급하는 시간보다도 길게 하는 방법.
  18. 제1항 내지 제17항 중 어느 한 항에 있어서,
    (a), (b), (c), 및 (d)를 동일 처리실 내에서 행하는 방법.
  19. 제1항 내지 제17항 중 어느 한 항에 있어서,
    (b)를, (a)와 동시 및 (a)를 행한 후 중 적어도 어느 것에 행하는 방법.
  20. 제1항 내지 제17항 중 어느 한 항에 있어서,
    (a)를 (b)보다도 선행하여 개시하는 방법.
  21. 제1항 내지 제17항 중 어느 한 항에 있어서,
    (a)를 (b)보다도 선행하여 종료하는 방법.
  22. 제1항 내지 제17항 중 어느 한 항에 있어서,
    상기 제1 표면은 산소 함유 재료를 포함하고, 상기 제2 표면은 상기 산소 함유 재료와는 다른 재료를 포함하는 방법.
  23. 제1항 내지 제17항 중 어느 한 항에 있어서,
    상기 제1 표면은 실리콘과 산소를 포함하고, 상기 제2 표면은 실리콘과 질소를 포함하는 방법.
  24. 제1항 내지 제17항 중 어느 한 항에 있어서,
    상기 불소 함유 가스는 HF 가스이고, 상기 산소 및 수소 함유 가스는 H2O 가스인 방법.
  25. (a) 제1 표면과 제2 표면을 갖는 기판에 대하여 불소 함유 가스를 공급하는 공정과,
    (b) (a)를 행한 후의 상기 기판에 대하여 산소 및 수소 함유 가스와 촉매를 공급하는 공정과,
    (c) (b)를 행한 후의 상기 기판에 대하여 1분자 중에 제1 관능기 및 제2 관능기가 직접 결합한 원자를 1개 이상 포함하는 유기계의 개질제를 공급하는 공정과,
    (d) (c)를 행한 후의 상기 기판에 대하여 성막제를 공급하는 공정
    을 갖는 반도체 장치의 제조 방법.
  26. 기판에 대하여 불소 함유 가스를 공급하는 불소 함유 가스 공급계와,
    상기 기판에 대하여 산소 및 수소 함유 가스를 공급하는 산소 및 수소 함유 가스 공급계와,
    상기 기판에 대하여 촉매를 공급하는 촉매 공급계와,
    상기 기판에 대하여 1분자 중에 제1 관능기 및 제2 관능기가 직접 결합한 원자를 1개 이상 포함하는 유기계의 개질제를 공급하는 개질제 공급계와,
    상기 기판에 대하여 성막제를 공급하는 성막제 공급계와,
    (a) 제1 표면과 제2 표면을 갖는 기판에 대하여 상기 불소 함유 가스를 공급하는 처리와, (b) (a)를 행한 후의 상기 기판에 대하여 상기 산소 및 수소 함유 가스와 상기 촉매를 공급하는 처리와, (c) (b)를 행한 후의 상기 기판에 대하여 상기 개질제를 공급하는 처리와, (d) (c)를 행한 후의 상기 기판에 대하여 상기 성막제를 공급하는 처리를 행하게 하도록, 상기 불소 함유 가스 공급계, 상기 산소 및 수소 함유 가스 공급계, 상기 촉매 공급계, 상기 개질제 공급계, 및 상기 성막제 공급계를 제어하는 것이 가능하도록 구성되는 제어부
    를 갖는 기판 처리 장치.
  27. (a) 제1 표면과 제2 표면을 갖는 기판에 대하여 불소 함유 가스를 공급하는 수순과,
    (b) (a)를 행한 후의 상기 기판에 대하여 산소 및 수소 함유 가스와 촉매를 공급하는 수순과,
    (c) (b)를 행한 후의 상기 기판에 대하여 1분자 중에 제1 관능기 및 제2 관능기가 직접 결합한 원자를 1개 이상 포함하는 유기계의 개질제를 공급하는 수순과,
    (d) (c)를 행한 후의 상기 기판에 대하여 성막제를 공급하는 수순
    을 컴퓨터에 의해 기판 처리 장치에 실행시키는, 컴퓨터 판독 가능한 기록 매체에 기록된 프로그램.
KR1020220064194A 2021-07-27 2022-05-25 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램 KR102611693B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021122751A JP7374961B2 (ja) 2021-07-27 2021-07-27 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JPJP-P-2021-122751 2021-07-27

Publications (2)

Publication Number Publication Date
KR20230017124A KR20230017124A (ko) 2023-02-03
KR102611693B1 true KR102611693B1 (ko) 2023-12-11

Family

ID=81850178

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220064194A KR102611693B1 (ko) 2021-07-27 2022-05-25 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램

Country Status (6)

Country Link
US (1) US20230058808A1 (ko)
EP (1) EP4124673A1 (ko)
JP (1) JP7374961B2 (ko)
KR (1) KR102611693B1 (ko)
CN (1) CN115692170A (ko)
TW (1) TWI837641B (ko)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020016915A1 (ja) * 2018-07-17 2020-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020128581A (ja) 2019-02-08 2020-08-27 東京エレクトロン株式会社 基板処理方法及び成膜システム
JP2021027067A (ja) 2019-07-31 2021-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP2021057439A (ja) 2019-09-30 2021-04-08 東京エレクトロン株式会社 成膜方法、及び成膜装置
JP2021106242A (ja) 2019-12-27 2021-07-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101765905B (zh) * 2007-05-15 2012-07-18 佳能安内华股份有限公司 半导体器件的制备方法
US8778816B2 (en) * 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
EP3424070B1 (en) * 2016-03-03 2024-03-27 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
KR20170135760A (ko) * 2016-05-31 2017-12-08 도쿄엘렉트론가부시키가이샤 표면 처리에 의한 선택적 퇴적
JP6860605B2 (ja) 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6960953B2 (ja) 2019-03-20 2021-11-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP7114554B2 (ja) * 2019-11-22 2022-08-08 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7305700B2 (ja) * 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020016915A1 (ja) * 2018-07-17 2020-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020128581A (ja) 2019-02-08 2020-08-27 東京エレクトロン株式会社 基板処理方法及び成膜システム
JP2021027067A (ja) 2019-07-31 2021-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP2021057439A (ja) 2019-09-30 2021-04-08 東京エレクトロン株式会社 成膜方法、及び成膜装置
JP2021106242A (ja) 2019-12-27 2021-07-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
JP7374961B2 (ja) 2023-11-07
CN115692170A (zh) 2023-02-03
TW202305886A (zh) 2023-02-01
US20230058808A1 (en) 2023-02-23
TWI837641B (zh) 2024-04-01
KR20230017124A (ko) 2023-02-03
JP2023018545A (ja) 2023-02-08
EP4124673A1 (en) 2023-02-01

Similar Documents

Publication Publication Date Title
KR102642772B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
JP7204718B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR20240043091A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 시스템 및 프로그램
JP2023123717A (ja) 処理方法、半導体装置の製造方法、処理装置、およびプログラム
WO2023047918A1 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20230134426A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP7431343B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR102611693B1 (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
JP7426978B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20230173609A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2022264430A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR20240043684A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 시스템 및 프로그램
KR20240037833A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20240043090A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치
TW202431357A (zh) 基板處理方法、半導體裝置之製造方法、基板處理系統及程式
KR20230040262A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 시스템 및 프로그램

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant