KR102454701B1 - Device manufacturing method - Google Patents

Device manufacturing method Download PDF

Info

Publication number
KR102454701B1
KR102454701B1 KR1020197037173A KR20197037173A KR102454701B1 KR 102454701 B1 KR102454701 B1 KR 102454701B1 KR 1020197037173 A KR1020197037173 A KR 1020197037173A KR 20197037173 A KR20197037173 A KR 20197037173A KR 102454701 B1 KR102454701 B1 KR 102454701B1
Authority
KR
South Korea
Prior art keywords
time series
data
filter
measurement data
applying
Prior art date
Application number
KR1020197037173A
Other languages
Korean (ko)
Other versions
KR20200004420A (en
Inventor
리즈비 라만
하키 에르군 세크리
세드릭 데지레 그로우스트라
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Priority to KR1020227035198A priority Critical patent/KR102689275B1/en
Publication of KR20200004420A publication Critical patent/KR20200004420A/en
Application granted granted Critical
Publication of KR102454701B1 publication Critical patent/KR102454701B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45028Lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

디바이스 제조 방법으로서, 노광 단계 및 프로세스 단계가 수행된 복수 개의 기판의 측정 데이터 시계열을 획득하는 단계; 상기 복수 개의 기판 중 적어도 일부에 프로세스 단계가 수행되었을 때 우세한 조건에 관련된 상태 데이터 시계열을 획득하는 단계; 필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열 및 상기 상태 데이터 시계열에 필터를 적용하는 단계; 및 상기 필터링된 데이터를 사용하여, 후속 기판에 수행되는 노광 단계에 적용될 정정을 결정하는 단계를 포함하는, 디바이스 제조 방법.A device manufacturing method comprising: acquiring a time series of measurement data of a plurality of substrates on which an exposure step and a process step have been performed; obtaining a time series of state data related to a condition prevailing when a process step has been performed on at least a portion of the plurality of substrates; applying a filter to the measurement data time series and the state data time series to obtain filtered data; and using the filtered data to determine a correction to be applied to an exposure step performed on a subsequent substrate.

Description

디바이스 제조 방법Device manufacturing method

관련 출원들에의 상호-참조CROSS-REFERENCE TO RELATED APPLICATIONS

본 출원은 2017 년 6 월 14 일에 출원되고 그 전체 내용이 원용되어 본원에 통합되는 EP 출원 번호 제 17175967.3의 우선권을 주장한다.This application claims priority to EP Application No. 17175967.3, filed on June 14, 2017 and incorporated herein by reference in its entirety.

본 발명은 리소그래피 장치 및 처리 장치를 사용하는 디바이스 제조에 관한 것이다.BACKGROUND OF THE INVENTION Field of the Invention The present invention relates to device fabrication using a lithographic apparatus and a processing apparatus.

리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나의 다이, 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트) 층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 그러면 패터닝된 방사선-감응 층이 현상되고, 식각기와 같은 프로세스 장치가 패턴을 기판 내에 고정시키기 위해 사용된다.A lithographic apparatus is an apparatus that imparts a desired pattern onto a substrate, typically on a target area of the substrate. The lithographic apparatus may be used, for example, in the manufacture of integrated circuits (ICs). In that case, a patterning device, also called a mask or reticle, may be used to create a circuit pattern to be formed on individual layers of the integrated circuit. Such a pattern may be transferred onto a target portion (eg, including a portion of a die, one die, or several dies) on a substrate (eg, a silicon wafer). Transfer of the pattern is typically accomplished via imaging onto a layer of radiation-sensitive material (resist) provided on a substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. The patterned radiation-sensitive layer is then developed, and a process device such as an etcher is used to immobilize the pattern into the substrate.

전자 디바이스를 제조하기 위하여, 노광 및 고정 단계를, 예를 들어 30 회까지 반복하여 디바이스의 상이한 층을 생성하는 것이 필요하다. 각각의 층은, 기판의 로트라고도 알려진 배치에 한 번에 도포된다. 수율, 즉 기능을 하는 디바이스의 비율을 개선하기 위하여, 기판 상에 수행된 측정을 사용하여 동일한 프로세스가 적용되는 동일한 배치 또는 추후의 배치 내의 후속 기판의 노광을 조절함으로써, 예를 들어 오버레이, 초점 또는 CD에 있는 오차를 감소시키는 것이 알려져 있다. 이러한 프로세스는 자동화된 프로세스 제어라고 알려져 있다. 다수의 기판의 측정이 가능한 경우, 프로세스 제어로의 측정으로서 가중된 이동 평균이 흔히 사용된다.In order to manufacture an electronic device, it is necessary to repeat the exposure and fixing steps, for example up to 30 times, to create different layers of the device. Each layer is applied at a time in a batch, also known as a lot of substrates. To improve yield, i.e., proportion of functional devices, by adjusting exposure of subsequent substrates in the same batch or subsequent batches to which the same process is applied using measurements performed on the substrate, for example overlay, focus or It is known to reduce errors in CD. This process is known as automated process control. When measurements of multiple substrates are possible, a weighted moving average is often used as a measurement to process control.

그러나, 공지된 APC 방법은 여전히 "지문", 즉 기판에 걸친 초점, 오버레이 또는 CD의 파라미터의 변동을 남기며, 따라서 자동화된 프로세스 제어 방법을 개선할 필요가 있다.However, known APC methods still leave "fingerprints", i.e. variations in parameters of focus, overlay or CD across the substrate, and there is therefore a need to improve automated process control methods.

본 발명은 리소그래피 제조 프로세스에서 사용하기 위한 개선된 자동화된 프로세스 제어 방법을 제공하는 것을 목적으로 한다.SUMMARY OF THE INVENTION It is an object of the present invention to provide an improved automated process control method for use in a lithographic manufacturing process.

본 발명은 제 1 양태에서, 디바이스 제조 방법으로서,In a first aspect, the present invention provides a device manufacturing method comprising:

노광 단계 및 프로세스 단계가 수행된 복수 개의 기판의 측정 데이터 시계열(time series)을 획득하는 단계;acquiring a time series of measurement data of a plurality of substrates on which the exposure step and the process step have been performed;

상기 복수 개의 기판 중 적어도 일부에 프로세스 단계가 수행되었을 때 우세한 조건에 관련된 상태 데이터 시계열을 획득하는 단계;obtaining a time series of state data related to a condition prevailing when a process step has been performed on at least a portion of the plurality of substrates;

필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열 및 상기 상태 데이터 시계열에 필터를 적용하는 단계; 및applying a filter to the measurement data time series and the state data time series to obtain filtered data; and

상기 필터링된 데이터를 사용하여, 후속 기판에 수행되는 노광 단계에 적용될 정정을 결정하는 단계를 포함하는, 디바이스 제조 방법을 제공한다.and using the filtered data to determine a correction to be applied to an exposure step performed on a subsequent substrate.

본 발명은 제 2 양태에서, 디바이스 제조 방법으로서,In a second aspect, the present invention provides a device manufacturing method comprising:

노광 단계 및 프로세스 단계가 수행된 복수 개의 기판의 측정 데이터 시계열을 획득하는 단계;acquiring a time series of measurement data of a plurality of substrates on which the exposure step and the process step have been performed;

필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열에 필터를 적용하는 단계;applying a filter to the measurement data time series to obtain filtered data;

상기 필터링된 데이터를 사용하여, 후속 기판에 수행되는 노광 단계에 적용될 정정을 결정하는 단계;using the filtered data to determine a correction to be applied to an exposure step performed on a subsequent substrate;

추가 필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열에 추가 필터를 적용하는 단계; 및applying an additional filter to the measurement data time series to obtain additional filtered data; and

상기 추가 필터링된 데이터를 사용하여, 상기 후속 기판에 수행되는 상기 노광 단계에 적용될 추가 정정을 결정하는 단계를 포함하는, 디바이스 제조 방법을 제공한다.and using the further filtered data to determine further corrections to be applied to the exposure step performed on the subsequent substrate.

본 발명은 제 3 양태에서, 디바이스 제조 방법으로서,In a third aspect, the present invention provides a device manufacturing method comprising:

노광 단계 및 프로세스 단계가 수행된 복수 개의 기판의 측정 데이터 시계열을 획득하는 단계;acquiring a time series of measurement data of a plurality of substrates on which the exposure step and the process step have been performed;

상기 복수 개의 기판 중 적어도 일부에 프로세스 단계가 수행되었을 때 우세한 조건에 관련된 상태 데이터 시계열을 획득하는 단계;obtaining a time series of state data related to a condition prevailing when a process step has been performed on at least a portion of the plurality of substrates;

상기 측정 데이터 시계열 및 상기 상태 데이터 시계열을 주파수 공간 데이터로 변환하는 단계;converting the measurement data time series and the state data time series into frequency space data;

필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열 및 상기 상태 데이터 시계열 중 적어도 하나에 적용될 필터를 상기 주파수 공간 데이터에 기반하여 결정하는 단계;determining a filter to be applied to at least one of the measurement data time series and the state data time series based on the frequency spatial data to obtain filtered data;

필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열 및 상기 상태 데이터 시계열 상기 중 적어도 하나에 상기 필터를 적용하는 단계; 및applying the filter to at least one of the measurement data time series and the state data time series to obtain filtered data; and

상기 필터링된 데이터를 사용하여, 후속 기판에 수행되는 노광 단계에 적용될 정정을 결정하는 단계를 포함하는, 디바이스 제조 방법을 제공한다.and using the filtered data to determine a correction to be applied to an exposure step performed on a subsequent substrate.

본 발명은 제 4 양태에서, 디바이스 제조 방법으로서,In a fourth aspect, the present invention provides a device manufacturing method comprising:

노광 단계 및 프로세스 단계가 수행된 복수 개의 기판의 측정 데이터 시계열을 획득하는 단계;acquiring a time series of measurement data of a plurality of substrates on which the exposure step and the process step have been performed;

상기 복수 개의 기판 중 적어도 일부에 프로세스 단계가 수행되었을 때 우세한 조건에 관련된 상태 데이터 시계열을 획득하는 단계;obtaining a time series of state data related to a condition prevailing when a process step has been performed on at least a portion of the plurality of substrates;

상기 측정 데이터 시계열 및 상기 상태 데이터 시계열을 주파수 공간 데이터로 변환하는 단계; 및converting the measurement data time series and the state data time series into frequency space data; and

측정 데이터 시계열을 생성하도록, 후속 기판에 적용될 샘플링 스킴을 상기 주파수 공간 데이터에 기반하여 결정하는 단계를 포함하는, 디바이스 제조 방법을 제공한다.and determining, based on the frequency spatial data, a sampling scheme to be applied to a subsequent substrate to generate a time series of measurement data.

이제, 본 발명의 실시예들이 첨부된 도면을 참조하여 오직 예시에 의하여 설명될 것이다:
도 1은 반도체 디바이스용 생산 설비를 제조하는 다른 장치와 함께 리소그래피 장치를 도시한다;
도 2는 종래의 자동화된 프로세스 제어 방법을 도시한다;
도 3은 본 발명의 일 실시예에 따르는 자동화된 프로세스 제어 방법의 동작 원리를 나타낸다;
도 4는 본 발명의 일 실시예에 따르는 자동화된 프로세스 제어 방법의 특정 응용예를 나타낸다;
도 5는 본 발명의 일 실시예에 따르는 자동화된 프로세스 제어 방법의 특정 응용예를 나타낸다;
도 6은 본 발명의 일 실시예에 따르는 자동화된 프로세스 제어 방법의 특정 응용예를 나타낸다;
도 7은 본 발명의 일 실시예에 따르는 자동화된 프로세스 제어 방법의 특정 응용예를 나타낸다;
도 8은 본 발명의 일 실시예에 따르는 자동화된 프로세스 제어 방법을 설정하기 위한 프로세스를 나타낸다; 그리고
도 9는 기판 지문에 대한 프로세스 파라미터의 영향의 시뮬레이션된 예를 나타낸다.
Now, embodiments of the present invention will be described by way of illustration only with reference to the accompanying drawings:
1 shows a lithographic apparatus together with another apparatus for manufacturing a production facility for a semiconductor device;
2 shows a conventional automated process control method;
3 shows the principle of operation of an automated process control method according to an embodiment of the present invention;
4 shows a specific application of an automated process control method according to an embodiment of the present invention;
5 shows a specific application of an automated process control method according to an embodiment of the present invention;
6 shows a specific application of an automated process control method according to an embodiment of the present invention;
7 shows a specific application of an automated process control method according to an embodiment of the present invention;
8 shows a process for establishing an automated process control method according to an embodiment of the present invention; and
9 shows a simulated example of the effect of a process parameter on a substrate fingerprint.

본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.Before describing embodiments of the present invention in detail, it is advantageous to present an exemplary environment in which embodiments of the present invention may be implemented.

도 1은 반도체 생산 설비의 통상적인 레이아웃을 도시한다. 리소그래피 장치(100)는 원하는 패턴을 기판 상에 적용한다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용된다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치(MA)는 IC의 개개의 층 상에 형성될 피쳐들(흔히 "제품 피쳐"라고 불림)의 회로 패턴을 포함한다. 기판 상에 제공된 방사선-감응 재료(레지스트)의 층 상으로의 패터닝 디바이스의 노광(104)을 통해서, 이러한 패턴이 기판 'W'(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나의 또는 여러 개의 다이를 포함함)에 전달된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다.1 shows a typical layout of a semiconductor production facility. The lithographic apparatus 100 applies a desired pattern onto a substrate. Lithographic apparatus is used, for example, in the manufacture of integrated circuits (ICs). In that case, the patterning device MA, also referred to as a mask or reticle, contains a circuit pattern of features (often referred to as "product features") to be formed on individual layers of the IC. Through exposure 104 of the patterning device onto a layer of radiation-sensitive material (resist) provided on the substrate, such a pattern is formed in a target portion (eg, a die) on a substrate 'W' (eg, a silicon wafer). part of the dies, including one or several dies). In general, a single substrate will contain a network of adjacent target portions that are successively patterned.

알려진 리소그래피 장치는, 기판의 타겟부를 패터닝 디바이스의 이미지 위치에 동기하여 위치설정하면서 패터닝 디바이스를 조명함으로써, 각각의 타겟부를 조사한다. 기판의 조사된 타겟부는 "노광 필드", 또는 간단히 "필드"라고 불린다. 기판 상의 필드의 레이아웃은 통상적으로, 직교 2-차원 좌표계에 따라 정렬된(예를 들어 X 및 Y-축을 따라 정렬되고, 양자 모두의 축들은 서로 직교함) 인접한 사각형들의 네트워크이다.A known lithographic apparatus illuminates each target portion by illuminating the patterning device while positioning the target portion of the substrate synchronously with the image position of the patterning device. The irradiated target portion of the substrate is referred to as the "exposure field", or simply "field." The layout of a field on a substrate is typically a network of adjacent rectangles aligned according to a Cartesian two-dimensional coordinate system (eg aligned along the X and Y-axes, both axes being orthogonal to each other).

리소그래피 장치에 대한 요구 사항은 요구되는 패턴을 기판 상에 정확하게 정확한 재현(reproduction)하는 것이다. 적용된 제품 피쳐의 위치 및 치수는 특정한 공차 내에 속할 필요가 있다. 위치 오차는 오버레이 오차(흔히 "오버레이"라고 불림) 때문에 생길 수 있다. 오버레이는 제 1 층 내의 제 1 제품 피쳐를 제 2 층 내의 제 2 제품 피쳐에 상대적으로 배치하는 데에 있는 오차이다. 리소그래피 장치는 패터닝 이전에 각각의 웨이퍼를 레퍼런스에 대해 정확하게 정렬함으로써 오버레이 오차를 최소화한다. 이것은 기판에 적용되는 정렬 마크의 위치를 측정함으로써 이루어진다. 정렬 측정에 기초하여, 오버레이 오차가 발생하는 것을 방지하기 위하여 패터닝 프로세스 중에 기판 위치가 제어된다.A requirement for a lithographic apparatus is an accurate and accurate reproduction of a desired pattern on a substrate. The location and dimensions of the applied product features need to fall within certain tolerances. Positional errors can be caused by overlay errors (often called "overlays"). Overlay is an error in positioning a first product feature in a first layer relative to a second product feature in a second layer. The lithographic apparatus minimizes overlay errors by accurately aligning each wafer to a reference prior to patterning. This is done by measuring the position of an alignment mark applied to the substrate. Based on the alignment measurements, the substrate position is controlled during the patterning process to prevent overlay errors from occurring.

제품 피쳐의 임계 치수(CD)의 오차는 노광(104)과 연관된 적용된 선량이 규격 안에 있지 않은 경우 생길 수 있다. 이러한 이유로, 리소그래피 장치(100)는 기판에 적용되는 방사선의 선량을 정확하게 제어할 수 있어야 한다. CD 오차는, 기판이 패턴 이미지와 연관된 초점면에 대해서 정확하게 위치되지 않은 경우에도 생길 수 있다. 초점 위치 오차는 일반적으로 기판 표면의 비평면성(non-planarity)과 연관된다. 리소그래피 장치는 패터닝 이전에 레벨 센서를 사용하여 기판 표면 토포그래피를 측정함으로써, 이러한 초점 위치 오차를 최소화한다. 기판 높이 정정은, 기판 상으로의 패터닝 디바이스의 정확한 이미징(포커싱)을 보장하기 위하여 후속 패터닝 도중에 적용된다.Errors in the critical dimension (CD) of a product feature may occur if the applied dose associated with exposure 104 is not within specifications. For this reason, the lithographic apparatus 100 must be able to accurately control the dose of radiation applied to the substrate. CD errors can also occur if the substrate is not positioned correctly with respect to the focal plane associated with the pattern image. The focal position error is generally associated with the non-planarity of the substrate surface. The lithographic apparatus minimizes this focal position error by measuring the substrate surface topography using a level sensor prior to patterning. Substrate height correction is applied during subsequent patterning to ensure accurate imaging (focusing) of the patterning device onto the substrate.

리소그래피 프로세스와 연관된 오버레이 및 CD 오차를 검증하기 위하여, 패터닝된 기판은 계측 장치(140)에 의해서 계측된다. 계측 장치의 공통적인 예는 산란계이다. 전통적으로 산란계는 전용 계측 타겟의 특징을 측정한다. 이러한 계측 타겟은, 정확한 측정을 허용하기 위해서 그들의 치수가 통상적으로 더 크다는 것을 제외하고는 제품 피쳐를 대표한다(representative). 산란계는 오버레이 계측 타겟과 연관된 회절 패턴의 비대칭을 검출함으로써 오버레이를 측정한다. 임계 치수는 CD 계측 타겟과 연관된 회절 패턴의 분석에 의하여 측정된다. 계측 툴의 다른 예는 스캐닝 전자 현미경(SEM)과 같은 전자 빔(e-빔) 기초 검사 툴이다.The patterned substrate is measured by metrology apparatus 140 to verify overlay and CD errors associated with the lithography process. A common example of a metrology device is a scatterometer. Traditionally, scatterometers measure the characteristics of a dedicated metrology target. These metrology targets are representative of product features except that their dimensions are typically larger to allow for accurate measurements. Scatterometers measure overlay by detecting asymmetry in the diffraction pattern associated with the overlay metrology target. The critical dimension is determined by analysis of the diffraction pattern associated with the CD metrology target. Another example of a metrology tool is an electron beam (e-beam) based inspection tool, such as a scanning electron microscope (SEM).

반도체 생산 설비 내에서, 리소그래피 장치(100) 및 계측 장치(140)는 "리소셀 " 또는 "리소 클러스터"의 일부를 형성한다. 리소 클러스터는, 감광성 레지스트를 기판(W)에 도포하기 위한 코팅 장치(108), 베이킹 장치(110), 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위한 현상 장치(112), 에칭 스테이션(122), 에칭후 어닐링 단계를 수행하는 장치(124) 및 가능하게는 다른 처리 장치(126) 등을 더 포함한다. 계측 장치는 현상(112) 이후 또는 추가적인 처리(예를 들어 에칭) 이후에 기판을 검사하도록 구성된다. 리소셀 내의 다양한 장치는 감독 제어 시스템(SCS)에 의해 제어되는데, 이것은 레시피(R)를 수행하도록 리소그래피 장치 제어 유닛(LACU; 106)을 통해 리소그래피 장치를 제어하기 위한 제어 신호(166)를 발행한다. SCS는 그 외의 장치들이 최대 쓰루풋 및 제품 수율을 제공하면서 작동되게 한다. 중요한 제어 메커니즘은 다양한 장치, 특히 리소그래피 장치(100)로의 계측 장치(140)의 피드백(146)(SCS를 통함)이다. 계측 피드백의 특성에 기초하여, 후속 기판의 처리 품질을 개선하기 위하여 정정 동작이 결정된다.Within a semiconductor production facility, the lithographic apparatus 100 and metrology apparatus 140 form part of a "lithocell" or "litho cluster". The litho cluster includes a coating device 108 for applying a photosensitive resist to a substrate W, a baking device 110, a developing device 112 for developing the exposed pattern into a physical resist pattern, an etching station 122, It further includes an apparatus 124 for performing a post-etch annealing step and possibly other processing apparatus 126 and the like. The metrology apparatus is configured to inspect the substrate after development 112 or after further processing (eg, etching). The various apparatuses within the lithocell are controlled by a supervisory control system (SCS), which issues a control signal 166 for controlling the lithographic apparatus via a lithographic apparatus control unit LACU 106 to perform a recipe R. . SCS allows other devices to operate while providing maximum throughput and product yield. An important control mechanism is feedback 146 (via SCS) of metrology apparatus 140 to various apparatuses, particularly lithographic apparatus 100 . Based on the characteristics of the metrology feedback, corrective actions are determined to improve the processing quality of subsequent substrates.

종래에는 리소그래피 장치의 성능이 예를 들어 US2012008127A1에 설명된 자동화된 프로세스 제어(automated process control; APC)와 같은 방법에 의해서 제어되고 정정된다. 자동화된 프로세스 제어 기법은 기판에 적용된 계측 타겟의 측정을 사용한다. 제조 실행 시스템(Manufacturing Execution System; MES)은 APC 측정을 스케줄링하고, 측정 결과를 데이터 처리 유닛에 통신한다. 데이터 처리 유닛은 측정 데이터의 특징을 리소그래피 장치에 대한 명령을 포함하는 레시피로 전환한다. 이러한 방법은 리소그래피 장치와 연관된 드리프트 현상을 억제하는 데에 매우 효과적이다.Conventionally, the performance of a lithographic apparatus is controlled and corrected by methods such as for example automated process control (APC) described in US201208127A1. Automated process control techniques use measurement of metrology targets applied to a substrate. A Manufacturing Execution System (MES) schedules the APC measurements and communicates the measurement results to the data processing unit. The data processing unit converts the characteristics of the measurement data into a recipe comprising instructions to the lithographic apparatus. This method is very effective in suppressing the drift phenomenon associated with the lithographic apparatus.

처리 장치에 의해 수행되는 정정 동작을 생성하기 위하여 계측 데이터를 처리하는 것은 반도체 제조에 있어서 중요하다. 계측 데이터에 추가하여, 각각의 패터닝 디바이스, 기판, 처리 장치의 특징 및 다른 콘텍스트 데이터도 제조 프로세스를 더욱 최적화하기 위해서 필요할 수 있다. 가용 계측 및 콘텍스트 데이터가 전체로서 리소그래피 프로세스를 최적화하기 위하여 사용되는 프레임워크는 흔히 홀리스틱 리소그래피(holistic lithography)의 일부라고 불린다. 예를 들어, 레티클 상의 CD 오차에 관련된 콘텍스트 데이터는 상기 CD 오차가 제조 프로세스의 수율에 영향을 주지 않도록 다양한 장치(리소그래피 장치, 에칭 스테이션)를 제어하기 위하여 사용될 수 있다. 그러면, 후속 계측 데이터는 이러한 제어 전략의 효과를 검증하기 위하여 사용될 수 있고, 추가적인 정정 동작이 결정될 수 있다.Processing metrology data to produce correction operations performed by processing devices is important in semiconductor manufacturing. In addition to metrology data, characteristics and other contextual data of each patterning device, substrate, processing apparatus may also be needed to further optimize the manufacturing process. A framework in which available metrology and context data is used to optimize the lithography process as a whole is often referred to as a part of holistic lithography. For example, contextual data related to CD errors on a reticle can be used to control various apparatus (lithographic apparatus, etch stations) such that the CD errors do not affect the yield of the manufacturing process. Subsequent metrology data can then be used to verify the effectiveness of this control strategy, and further corrective actions can be determined.

자동화된 프로세스 제어는 흔히, 오버레이, 초점, CD와 같은 프로세스 파라미터에 있는 기판 지문에 있어서의 로트별 변동을 제어, 예를 들어 감소시키는 것을 목적으로 한다. "지문"은 어떤 구역에 걸친 파라미터의 변동(또는 파라미터에 있는 오차)이다. 필드내 지문은 필드에 걸친 변동이고, 어떤 경우에는 기판 상의 모든 필드에 대해서 동일할 것이다. 기판 지문은 전체 기판에 걸친 변동이다. 일부 경우에, 기판 지문은 필드내 지문 및 필드간 지문으로 분리될 수 있다. 본 발명은 모든 타입의 지문에 관한 것이다.Automated process control is often aimed at controlling, eg, reducing, lot-to-lot variations in substrate fingerprints in process parameters such as overlay, focus, and CD. A "fingerprint" is the variation (or error in a parameter) of a parameter over a region. In-field fingerprints are variations across fields, and in some cases will be the same for all fields on the substrate. The substrate fingerprint is the variation across the entire substrate. In some cases, the substrate fingerprint may be separated into an in-field fingerprint and an inter-field fingerprint. The present invention relates to all types of fingerprints.

도 2에서 도시되는 바와 같이, 대량 제조(high volume manufacturing; HVM) 조건에서의 종래의 APC 정정은 피드백에 기반한다. 복수 개의 선행 기판(WN-1 내지 WN-x)으로부터 얻어진 측정치가 현재의 기판(WN)의 하나 이상의 프로세스 파라미터를 제어하도록 사용된다. 측정치, 또는 개별적인 측정치로부터 유도된 정정 파라미터는 함께 그룹화되고, 이동 평균(moving average; MA), 예를 들어 기하급수적으로 가중된 이동 평균(exponentially weighted moving average; EWMA)에 공급된다.As shown in Figure 2, conventional APC correction in high volume manufacturing (HVM) conditions is based on feedback. Measurements obtained from the plurality of preceding substrates W N-1 through W Nx are used to control one or more process parameters of the current substrate W N . Measurements, or correction parameters derived from individual measurements, are grouped together and fed to a moving average (MA), for example an exponentially weighted moving average (EWMA).

더 복잡한 경우, 특정 MA가 필드간 정정 및 필드내 정정을 위하여 적용되고, 다른 타입의 MA는 고차수 정정(예컨대 필드별 정정)을 위하여 적용된다. 좀 더 복잡한 경우에, 두 층이 매우 유사한 지문을 보일 것으로 기대되는 경우, 어떤 층에는 이전의 층에 대하여 결정된 정정 중 일부가 공급된다. 그러나, 이러한 스킴도 여러 단점을 가진다.In more complex cases, specific MAs are applied for inter-field and intra-field corrections, and other types of MAs are applied for higher-order corrections (eg, per-field corrections). In a more complex case, if two layers are expected to exhibit very similar fingerprints, one layer is fed with some of the corrections determined for the previous layer. However, this scheme also has several disadvantages.

첫째로, 제한된 시간 필터링 알고리즘이 사용된다. 발명자들은, 이동 평균을 사용해서는 파라미터의 시간-변동이 정확하게 캡쳐될 수 없다고 결정했다.First, a limited time filtering algorithm is used. The inventors have determined that the time-variation of a parameter cannot be accurately captured using a moving average.

둘째로, 불필요한 계측 단계가 흔히 수행된다. 어떤 경우에, 고차수 지문(예를 들어 필드내 지문)은 필드간 지문보다 더 느리게 변한다. 예를 들어, 식각기의 안정화 기간(필드간 지문을 야기함) 및 리소그래피 장치의 투영 시스템(필드내 지문을 야기함)의 안정화 기간은 매우 다를 수 있고, 따라서 대응하는 지문의 시간 변동 차이(time variation difference)가 달라질 것이다. 그러므로, 모든 기판 및 필드내 지문을 정정하도록 설계된 동일한 샘플링으로 모든 로트를 측정하기 때문에, 다른 목적을 위해서 사용될 수 있는 시간이 소모된다.Second, unnecessary metrology steps are often performed. In some cases, higher-order fingerprints (eg, in-field fingerprints) change more slowly than inter-field fingerprints. For example, the stabilization period of the etcher (causing an inter-field fingerprint) and the stabilization period of the projection system of a lithographic apparatus (causing an in-field fingerprint) can be very different, and thus the time variation of the corresponding fingerprint (time variation difference) will be different. Therefore, because all lots are measured with the same sampling designed to correct all substrates and in-field fingerprints, time is wasted that could be used for other purposes.

셋째로, 현존하는 자동화된 프로세스 제어 방법은 기판 처리 툴로부터의 정보를 사용하지 않는다. 발명자들은, 어떤 모델링된 파라미터의 시간 변동의 근본 원인이 프로세스 툴에 연계될 수 있다고 결정했다. 예를 들어, 웨이퍼 스케일링에 있어서의 시간 변동은, 자신의 센서 데이터의 시간 변동에 의해 특징이 결정되는 식각기의 안정화에 연계될 수 있다. 그러한 경우에, 쉽게 이용가능한 식각기 툴 센서 데이터를 사용하는 것이, 시간 필터를 미세 필터링하기 위하여 계측 툴로 더 많은 로트 및/또는 웨이퍼를 측정하는 것보다 훨씬 쉽고 비용이 저렴하다.Third, existing automated process control methods do not use information from substrate processing tools. The inventors have determined that the root cause of the temporal variation of certain modeled parameters may be tied to the process tool. For example, time variations in wafer scaling can be linked to the stabilization of an etcher whose characteristics are determined by time variations in its sensor data. In such cases, using readily available etcher tool sensor data is much easier and less expensive than measuring more lots and/or wafers with a metrology tool to fine filter the temporal filter.

그러므로, 본 발명의 실시예에 의하면 이러한 단점이 완화될 수 있고, 프로세스 파라미터에 있는 감소된 로트별 변동에 대하여 APC 피드백 루프를 미세 튜닝하고 및/또는 감소된 계측 샘플링 레이트 및/또는 밀도를 허용하는 수단이 제공된다. 일 실시예가 도 3에서 도시되는데, 이것은 현재의 기판 WN을 제어하기 위하여 상태 데이터(200)와 함께 사용되는, 복수 개의 선행 기판(WN-1 내지 WN-x)으로부터 획득된 계측 측정치를 보여준다. 상태 데이터(200)는, 기판(WN-1 내지 WN-x) 상의 관련된 층들이 식각기 또는 어닐링기와 같은 하나 이상의 프로세스 툴에 의해 처리될 때 그러한 프로세스 툴에 존재하는 조건에 관련된다.Therefore, embodiments of the present invention can alleviate this disadvantage, fine-tuning the APC feedback loop for reduced lot-by-lot variations in process parameters and/or allowing for reduced metrology sampling rates and/or densities. means are provided. One embodiment is shown in FIG. 3 , which shows metrology measurements obtained from a plurality of preceding substrates W N-1 to W Nx , used in conjunction with state data 200 to control the current substrate W N . The state data 200 relates to conditions present in the associated layers on the substrates W N-1 through W Nx as they are processed by one or more process tools, such as an etcher or annealer.

본 발명의 일 실시예에서, 계측 측정치로부터 유도된 정보는 데이터 시계열(time series), 즉 시간과 각각 연관된 데이터 값의 시리즈의 형태로 제공될 수 있다. 어떤 데이터 값과 연관된 시간이 반드시 측정이 수행된 시간이어야 하는 것은 아니고, 오히려 관련된 제조 단계, 예를 들어 노광이 측정된 구조체 또는 타겟에 수행된 시간이라는 것에 주의해야 한다. 계측 단계 및 계측 데이터를 시계열로서 제공하는 목적은, 제조 툴, 예를 들어 리소그래피 장치에서 지배적인 조건에 있어서의 시간 변동을 추론하는 것이다. 계측 측정치로부터 유도된 정보는 실제 측정 결과 자체이거나 실제 측정 결과로부터 유도된 모델링된 파라미터 - 예컨대 전환, 회전, 스케일링, 등 - 일 수 있다.In one embodiment of the present invention, information derived from metrology measurements may be provided in the form of a data time series, ie a series of data values each associated with time. It should be noted that the time associated with any data value does not necessarily have to be the time at which the measurement was performed, rather it is the time at which the associated manufacturing step, eg, exposure, was performed on the measured structure or target. The purpose of providing metrology steps and metrology data as a time series is to infer time variations in conditions prevailing in a manufacturing tool, for example a lithographic apparatus. The information derived from the metrology measurements may be the actual measurement results themselves or modeled parameters derived from the actual measurement results, such as transformations, rotations, scaling, etc.

제조 툴에서 지배적인 조건에 관련되는 상태 데이터도 동일한 목적을 위하여 시계열로 제공될 수 있다. 상태 데이터는 제조 툴에 적용된 제어 값 또는 제조 툴에서 지배적인 조건의 측정치를 포함할 수 있다. 후자의 경우, 상태 데이터 값과 연관된 시간은 측정이 이루어졌던 시간일 수 있다.State data related to the prevailing conditions in the manufacturing tool may also be provided in time series for the same purpose. State data may include control values applied to the manufacturing tool or measures of conditions prevailing in the manufacturing tool. In the latter case, the time associated with the state data value may be the time at which the measurement was made.

본 발명의 일 실시예에서, 자동화된 프로세스 제어 시스템은 시간 필터를 상이한 제어가능한 프로세스 파라미터들에 독립적으로 적용한다. 가장 간단한 실시예에서, 사용자는 각각의 제어가능한 프로세스 파라미터에 대하여 필터를 각각의 데이터 시계열에 적용할지 결정할 수 있다. 사용자가, 예를 들어 다음을 선택할 수 있는 평활화 필터의 라이브러리가 제공된다:In one embodiment of the present invention, the automated process control system independently applies a time filter to different controllable process parameters. In the simplest embodiment, the user can decide for each controllable process parameter whether to apply a filter to each data time series. A library of smoothing filters is provided from which the user can select, for example:

- 베셀 필터- Bessel filter

- 버터워스 필터- Butterworth Filter

- 매칭된 필터- Matched filter

- 타원형 필터(카우어(Cauer) 필터)- Elliptical filter (Cauer filter)

- 링크위츠-라일리(Linkwitz-Riley) 필터- Linkwitz-Riley filter

- 세비쉐프(Chebyshev) 필터- Chebyshev filter

- 바이쿼드(Biquad) 필터- Biquad filter

- 고역-통과 필터- high-pass filter

- 저역-통과 필터- Low-pass filter

- 대역-통과 필터- band-pass filter

- 무한 임펄스 응답 필터- Infinite impulse response filter

- 유한 임펄스 응답 필터- Finite Impulse Response Filter

- 쌍선형(Bilinear) 변환- Bilinear transformation

- 칼만 필터- Kalman filter

- 사비츠키-골레이(Savitzky-Golay) 필터- Savitzky-Golay filter

단일 제어가능한 프로세스 파라미터에 대해서 입력을 필터링하기 위하여 직렬 또는 병렬로 연결된 다수의 필터를 사용하는 것도 가능하다. 실시예들에서, 제 1 필터가 기판의 제 1 구역에 관련된 상기 측정 데이터 시계열의 측정 데이터에 적용되고, 제 1 필터와 상이한 제 2 필터가 기판의 제 2 구역에 관련된 상기 측정 데이터 시계열의 측정 데이터에 적용된다. 예를 들어, 에지 다이에 관련된 측정 데이터는 내부 다이에 관련된 측정 날짜와 다르게 처리될 수 있다.It is also possible to use multiple filters connected in series or parallel to filter the input for a single controllable process parameter. In embodiments, a first filter is applied to the measurement data of the measurement data time series related to a first region of a substrate, and a second filter different from the first filter is applied to the measurement data of the measurement data time series related to a second region of the substrate applies to For example, measurement data related to an edge die may be treated differently than measurement data related to an inner die.

도 4는 복수 개의 로트(A … N … X)가 동일한 레시피를 사용하여 처리되는 본 발명의 다른 실시예를 도시하는데, 각각의 로트는 복수 개의 기판을 포함한다. 리소그래피 단계 및 하나 이상의 프로세스 단계 이후에, 계측 측정이 하나 이상의 로트, 예를 들어 로트 A 내지 로트 M의 기판에 수행된다. 로트 A 내지 M 중 일부 또는 전부로부터의 계측 측정치가, 복수 개의 항을 포함하는 수학적 모델, 예를 들어 다항식을 사용하여 후속 로트 N에 적용될 정정을 계산하기 위하여 사용된다. 다항식의 다양한 항은 각각의 시간 필터(210)를 사용하여 로트 A 내지 M로부터의 계측 측정치로부터 계산된다. 다항식은 기판 상의 위치를 나타내는 좌표(예를 들어 x, y)의 멱(power)인 항을 포함할 수 있다. 시간 필터는 다항식의 각각의 항에 대해서 다를 수 있다. 계측 측정은, 로트 A 내지 M의 일부 또는 전부에 수행된 것에 대응하여, 로트 N의 기판에 수행된다. 더 많은 정보가 이용가능해짐에 따라 모델을 정제하는 것이 가능하다. 정제된 모델은 후속 로트(X 등)에 대한 정정을 결정하기 위하여 사용된다.Figure 4 illustrates another embodiment of the present invention in which a plurality of lots (A ... N ... X) are processed using the same recipe, each lot comprising a plurality of substrates. After the lithography step and one or more process steps, metrology measurements are performed on the substrates of one or more lots, eg, lots A through M. Metrology measurements from some or all of lots A through M are used to calculate corrections to be applied to subsequent lots N using a mathematical model comprising multiple terms, eg, a polynomial. The various terms of the polynomial are calculated from metrology measurements from lots A through M using respective time filters 210 . The polynomial may include terms that are powers of coordinates (eg, x, y) representing positions on the substrate. The temporal filter may be different for each term of the polynomial. Metrology Measurements are performed on substrates in lot N, corresponding to those performed on some or all of lots A-M. It is possible to refine the model as more information becomes available. The refined model is used to determine corrections for subsequent lots (such as X).

도 5는 후술되는 바를 제외하고는 도 4의 실시예와 유사한, 본 발명의 다른 실시예를 도시한다. 도 5의 실시예에서, 하나 이상의 프로세스 단계로부터의 상태 데이터는 계측 데이터에 적용되는 필터(210)를 미세 튜닝하기 위해서 사용된다.Fig. 5 shows another embodiment of the present invention, similar to the embodiment of Fig. 4, except as described below. In the embodiment of Figure 5, state data from one or more process steps is used to fine-tune the filter 210 applied to the metrology data.

도 6은 후술되는 바를 제외하고는 도 4의 실시예와 유사한, 본 발명의 다른 실시예를 도시한다. 도 6의 실시예에서, 하나 이상의 프로세스 단계로부터의 상태 데이터는, 후속 로트에 적용될 정정을 결정하기 위하여 주로 사용되고, 적절한 시간 필터(220)가 상태 데이터에 적용된다. 계측 측정이 이상(excursion)을 검증하고 그로부터 보호하기 위하여 사용된다. 그러므로, 계측이 후속 로트에 적용될 정정의 일차 결정인자(determinant)로서 사용되는 경우와 비교할 때 더 적은 횟수의 계측 측정만 수행하면 된다. 기판별로 수행되는 계측 측정의 횟수는 일정할 필요가 없다. 그러면 시간이 절약되고, 따라서 쓰루풋이 개선된다.Fig. 6 shows another embodiment of the present invention, similar to the embodiment of Fig. 4, except as described below. In the embodiment of Figure 6, state data from one or more process steps is primarily used to determine corrections to be applied to subsequent lots, and an appropriate temporal filter 220 is applied to the state data. Metrology measurements are used to verify and protect against excursions. Therefore, fewer metrology measurements need be performed compared to the case where metrology is used as the primary determinant of corrections to be applied to subsequent lots. The number of metrology measurements performed per substrate need not be constant. This saves time and thus improves throughput.

도 7은 상태 데이터만이 필터(220)에 의해서 필터링되고 후속 로트에 대한 정정을 결정하기 위하여 사용되는 일 실시예를 도시한다. 계측 데이터는 사용되지 않고, 따라서 계측 단계는 생략될 수 있다. 이러한 접근법은, 테스트 기판이 프로세스 단계들에 걸쳐서 순환될 수 있는, 대량 제조 이전의 램프-업 단계에서 특히 유용하다.7 shows an embodiment where only the state data is filtered by filter 220 and used to determine corrections for subsequent lots. No metrology data is used, so the metrology step can be omitted. This approach is particularly useful in the ramp-up step prior to mass manufacturing, where the test substrate can be cycled through process steps.

도 8은 사용자가 APC 루프를 위한 적절한 필터를 셋업하게 하기 위한, 본 발명의 다른 실시예에 따르는 프로세스를 도시한다. S1에서, 리소 클러스터로부터 초기 데이터가 획득된다. 초기 데이터는 하나 이상의 로트의 하나 이상의 기판으로부터의 계측 데이터 및/또는 상태 데이터를 포함할 수 있다. 초기 데이터는 학습 데이터라고 지칭될 수도 있다. S2에서, 전체 제조 프로세스의 서브-프로세스의 시간 변동이 결정될 수 있도록, 초기 데이터가 처리된다. 일 실시예에서, 이것은, 푸리에 변환 또는 다른 유사한 변환을 사용하여 파워 스펙트럼 밀도(PSD), 또는 유사한 그래프를 결정함으로써 수행될 수 있다. 이러한 변환은 초기 데이터를 시계열 데이터로부터 주파수 공간 데이터로 변환한다. 주파수 공간 데이터는 APC 모델링된 파라미터 데이터 흐름을 위하여 프로세스 파라미터마다 사용할 최적의 시간 필터를 찾기 위하여 사용된다. 일 실시예에서, 최적의 시간 필터는 알고리즘에 의하여 결정될 수 있다. 또는, 단계 S3에서 필터를 선택하기 위한 사용자 입력을 수신하기 위한 소프트웨어 인터페이스가 제공된다.8 shows a process according to another embodiment of the present invention for allowing a user to set up an appropriate filter for an APC loop. In S1, initial data is obtained from the resource cluster. The initial data may include metrology data and/or status data from one or more substrates of one or more lots. The initial data may be referred to as training data. In S2, the initial data is processed so that time variations of sub-processes of the overall manufacturing process can be determined. In one embodiment, this may be done by determining the power spectral density (PSD), or similar graph, using a Fourier transform or other similar transform. This transformation transforms the initial data from time series data to frequency space data. The frequency spatial data is used to find the optimal time filter to use for each process parameter for the APC modeled parameter data flow. In one embodiment, the optimal temporal filter may be determined by an algorithm. Alternatively, a software interface is provided for receiving user input for selecting a filter in step S3.

적절한 필터를 선택하는 하나의 접근법은, 특정한 프로세스 툴 파라미터 및 APC 모델 파라미터 사이의 상관 관계를 결정하는 것이다(S4). 공유된 시간 의존성에 기반하여 프로세스 툴 파라미터(들)를 계측-기초 파라미터(들)와 정확하게 페어업(pair up)하기 위하여, 상관 관계는 파워 또는 에너지 밀도의 스펙트럼들, 또는 상관 계수 행렬들 또는 유사한 것을 사용하여 결정될 수 있다. 도 9에 도시된 바와 같이, 상관 관계는 프로세스 툴, 예를 들어 어닐링 노(furnace) 내의 온도 T(상단에 표시됨) 및 계측 툴에 의해 측정되는 오버레이 지문(하단에 표시됨) 사이에 상관 관계가 관찰될 수 있는 것이 가능할 수 있다. 이러한 상관 관계는 사용자에게 제공될 수 있고, 공유된 시간 의존성에 대해서 어떤 프로세스 툴 및 어떤 APC 파라미터가 페어업 할지를 사용자가 결정하게 한다.One approach to selecting an appropriate filter is to determine the correlation between the particular process tool parameter and the APC model parameter (S4). In order to accurately pair up the process tool parameter(s) with metrology-based parameter(s) based on a shared time dependence, the correlation is a spectrum of power or energy density, or correlation coefficient matrices or similar. can be determined using As shown in Figure 9, a correlation is observed between the temperature T (shown at the top) in a process tool, e.g. an annealing furnace, and an overlay fingerprint (shown at the bottom) measured by a metrology tool. What can be can be possible This correlation can be provided to the user, allowing the user to decide which process tools and which APC parameters to pair up for a shared time dependency.

또한, 본 발명의 일 실시예는, 최적의 피드백 제어를 위해서 선택 파라미터에 대한 최적의 시간 필터를 조언 및/또는 순서를 매길 수 있고, 추가적 미세 튜닝을 위하여 어떤 프로세스 툴 파라미터를 페어업할지 조언할 수 있다.In addition, an embodiment of the present invention may advise and/or order the optimal time filters for selection parameters for optimal feedback control, and advise which process tool parameters to pair up for further fine tuning. can

또한, 본 발명의 일 실시예는 계측 샘플링을 위한 시간 및 웨이퍼 레이아웃 스킴을 제안할 수 있다. 예를 들어, 필드간 지문 정정만을 위해서는 적은 수의 포인트에서 더 많은 수의 기판을 측정하고, 필드내 정정을 위해서는 조밀한 측정 스킴으로 더 적은 수의 기판 또는 로트를 측정하는 것이 바람직할 수 있다.In addition, an embodiment of the present invention may propose a time and wafer layout scheme for metrology sampling. For example, it may be desirable to measure a larger number of substrates at fewer points for inter-field fingerprint correction only, and to measure fewer substrates or lots with a denser measurement scheme for in-field correction.

따라서, 본 발명의 바람직한 특징은 다음과 같다:Accordingly, preferred features of the present invention are as follows:

- 수반된 프로세스 단계에 대한 APC 필터 설정을 구체적으로 결정할 수 있다- APC filter settings can be specifically determined for the process steps involved

- 미세-튜닝된 제어를 위하여, APC 모델 파라미터에 대한 관련된 프로세스 툴 센서 데이터를 찾고 연계시킬 수 있다- For fine-tuned control, it is possible to find and correlate relevant process tool sensor data to APC model parameters.

- 이것을 APC 파라미터마다 독립적으로 수행할 수 있다- This can be done independently for each APC parameter

- 앞서 언급된 프로세스에 기반하여 계측 샘플링 조언을 제공한다.- Provide instrumentation sampling advice based on the aforementioned process.

본 발명이 적용될 수 있는 프로세스 파라미터의 예에는 오버레이, CD, CDU, 측벽각, 라인 에지 거칠기 및 초점이 있다. 이러한 파라미터를 측정하기에 적합한 마커 및 측정 기법이 당업계에 공지되어 있다.Examples of process parameters to which the present invention can be applied include overlay, CD, CDU, sidewall angle, line edge roughness and focus. Suitable markers and measurement techniques for measuring these parameters are known in the art.

비록 본 발명의 특정한 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다.Although specific embodiments of the invention have been described above, it will be understood that the invention may be practiced otherwise than as described.

일 실시예는, 도 1에서 도시되는 바와 같은 다양한 장치가 전술된 바와 같이 측정 및 최적화 단계를 수행하고 후속 노광 프로세스를 제어하도록 명령하게 구성된 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램을 포함할 수 있다. 이러한 컴퓨터 프로그램은 예를 들어 도 1의 제어 유닛(LACU) 또는 감독 제어 시스템(SCS) 또는 양자 모두의 조합 내에서 실행될 수 있다. 그 안에 저장된 이러한 컴퓨터 프로그램을 포함하는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기적 또는 광학적 디스크)가 역시 제공될 수 있다.One embodiment is a computer program comprising one or more sequences of machine-readable instructions configured to instruct various apparatus as shown in FIG. 1 to perform measurement and optimization steps as described above and to control subsequent exposure processes. may include Such a computer program may be executed, for example, within the control unit LACU of FIG. 1 or the supervisory control system SCS or a combination of both. A data storage medium (eg, semiconductor memory, magnetic or optical disk) containing such a computer program stored therein may also be provided.

비록 위에서 광 리소그래피의 콘텍스트에서 본 발명의 실시예를 사용하는 것에 대해 특정하여 언급하였지만, 본 발명이 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 적용함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.Although the above has specifically mentioned the use of embodiments of the invention in the context of optical lithography, the invention may also be used in other applications, for example imprint lithography, limited to optical lithography where the context permits. It will be acknowledged that this is not the case. In imprint lithography, the topography of a patterning device defines a pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist applied to a substrate, on which the resist is cured by applying electromagnetic radiation, heat, pressure, or a combination thereof. The patterning device is moved out of the resist after it is cured, leaving a pattern therein.

본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 1-100 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다. 산란계 및 다른 검사 장치의 구현형태는 적합한 소스를 사용하여 UV 및 EUV 파장에서 제작될 수 있고, 본 발명은 절대로 IR 및 가시광선을 사용한 시스템으로 한정되는 것이 아니다.As used herein, the terms "radiation" and "beam" refer to ultraviolet (UV) radiation (e.g., having a wavelength of about 365, 355, 248, 193, 157, or 126 nm) and extreme ultraviolet (EUV) radiation ( for example, with wavelengths in the range of 1-100 nm), and all types of electromagnetic radiation, including particle beams such as ion beams or electron beams. Implementations of scatterometers and other inspection devices can be fabricated at UV and EUV wavelengths using suitable sources, and the invention is in no way limited to systems using IR and visible light.

본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다. 반사성 컴포넌트는 UV 및/또는 EUV 범위에서 동작하는 장치 내에서 사용될 가능성이 있다.The term “lens” as used herein, if the context permits, may refer to any or combination of various types of optical components, including refractive, diffractive, reflective, magnetic, electromagnetic, and electrostatic optical components. . Reflective components have the potential to be used in devices that operate in the UV and/or EUV range.

본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안 되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.The scope and scope of application of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

Claims (15)

디바이스 제조 방법으로서,
노광 단계 및 프로세스 단계가 수행된 복수 개의 기판의 측정 데이터 시계열(time series)을 획득하는 단계;
프로세스 툴에 의해 상기 복수 개의 기판 중 적어도 일부에 상기 프로세스 단계가 수행되었을 때 상기 프로세스 툴에 존재하는 조건에 관련된 상태 데이터 시계열을 획득하는 단계;
필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열 및 상기 상태 데이터 시계열에 필터를 적용하는 단계; 및
상기 필터링된 데이터를 사용하여, 후속 기판에 수행되는 후속 노광 단계에 적용될 정정을 결정하는 단계를 포함하는, 디바이스 제조 방법.
A device manufacturing method comprising:
acquiring a time series of measurement data of a plurality of substrates on which the exposure step and the process step have been performed;
obtaining a time series of state data related to conditions present in the process tool when the process step is performed on at least a portion of the plurality of substrates by the process tool;
applying a filter to the measurement data time series and the state data time series to obtain filtered data; and
using the filtered data to determine a correction to be applied to a subsequent exposure step performed on a subsequent substrate.
제 1 항에 있어서,
상기 방법은,
추가 필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열 및 상기 상태 데이터 시계열 중 하나 또는 양자 모두에 추가 필터를 적용하는 단계; 및
상기 추가 필터링된 데이터를 사용하여, 상기 후속 기판에 수행되는 상기 후속 노광 단계에 적용될 추가 정정을 결정하는 단계를 더 포함하는, 디바이스 제조 방법.
The method of claim 1,
The method is
applying an additional filter to one or both of the measurement data time series and the state data time series to obtain further filtered data; and
using the further filtered data to determine further corrections to be applied to the subsequent exposure step performed on the subsequent substrate.
제 1 항 또는 제 2 항에 있어서,
필터 및 추가 필터 중 하나 또는 양자 모두를 적용하는 것은,
기판의 공간 좌표로의 항을 가지는 다항식 필터를 적용하는 것을 포함하는, 디바이스 제조 방법.
3. The method of claim 1 or 2,
Applying one or both of filters and additional filters is:
A method of manufacturing a device comprising applying a polynomial filter having a term in spatial coordinates of a substrate.
제 1 항 또는 제 2 항에 있어서,
필터 및 추가 필터 중 하나 또는 양자 모두를 적용하는 것은,
상기 측정 데이터 시계열 및 상기 상태 데이터 시계열을 주파수 공간 데이터로 변환하는 것,
필터링된 주파수 공간 데이터를 획득하도록 주파수 필터를 상기 주파수 공간 데이터에 적용하는 것, 및
상기 필터링된 주파수 데이터를 상기 필터링된 데이터로 변환하는 것을 포함하는, 디바이스 제조 방법.
3. The method of claim 1 or 2,
Applying one or both of filters and additional filters is:
converting the measurement data time series and the state data time series into frequency space data;
applying a frequency filter to the frequency spatial data to obtain filtered frequency spatial data, and
converting the filtered frequency data into the filtered data.
제 1 항 또는 제 2 항에 있어서,
필터 및 추가 필터 중 하나 또는 양자 모두를 적용하는 것은,
기판의 제 1 구역에 관련된 상기 측정 데이터 시계열의 측정 데이터에 제 1 필터를 적용하는 것 및
기판의 제 2 구역에 관련된 상기 측정 데이터 시계열의 측정 데이터에, 상기 제 1 필터와 상이한 제 2 필터를 적용하는 것을 포함하는, 디바이스 제조 방법.
3. The method of claim 1 or 2,
Applying one or both of filters and additional filters is:
applying a first filter to the measurement data of the measurement data time series related to the first region of the substrate; and
and applying a second filter different from the first filter to the measurement data of the measurement data time series related to a second region of the substrate.
제 5 항에 있어서,
상기 제 1 구역은 에지 구역이고, 상기 제 2 구역은 내부 구역인, 디바이스 제조 방법.
6. The method of claim 5,
wherein the first zone is an edge zone and the second zone is an inner zone.
디바이스 제조 방법으로서,
노광 단계 및 프로세스 단계가 수행된 복수 개의 기판의 측정 데이터 시계열을 획득하는 단계;
필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열에 필터를 적용하는 단계;
상기 필터링된 데이터를 사용하여, 후속 기판에 수행되는 후속 노광 단계에 적용될 정정을 결정하는 단계;
추가 필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열에 추가 필터를 적용하는 단계; 및
상기 추가 필터링된 데이터를 사용하여, 상기 후속 기판에 수행되는 상기 후속 노광 단계에 적용될 추가 정정을 결정하는 단계를 포함하는, 디바이스 제조 방법.
A device manufacturing method comprising:
acquiring a time series of measurement data of a plurality of substrates on which the exposure step and the process step have been performed;
applying a filter to the measurement data time series to obtain filtered data;
using the filtered data to determine a correction to be applied to a subsequent exposure step performed on a subsequent substrate;
applying an additional filter to the measurement data time series to obtain additional filtered data; and
using the further filtered data to determine further corrections to be applied to the subsequent exposure step performed on the subsequent substrate.
제 7 항에 있어서,
상기 방법은,
프로세스 툴에 의해 복수 개의 기판 중 적어도 일부에 상기 프로세스 단계가 수행되었을 때 상기 프로세스 툴에 존재하는 조건에 관련된 상태 데이터 시계열을 획득하는 단계를 더 포함하고,
필터를 적용하는 것은, 상기 필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열 및 상기 상태 데이터 시계열에 필터를 적용하는 것을 포함하는, 디바이스 제조 방법.
8. The method of claim 7,
The method is
obtaining a time series of state data related to conditions present in the process tool when the process step is performed on at least a portion of the plurality of substrates by the process tool;
and applying a filter comprises applying a filter to the measurement data time series and the state data time series to obtain the filtered data.
디바이스 제조 방법으로서,
노광 단계 및 프로세스 단계가 수행된 복수 개의 기판의 측정 데이터 시계열을 획득하는 단계;
프로세스 툴에 의해 상기 복수 개의 기판 중 적어도 일부에 상기 프로세스 단계가 수행되었을 때 상기 프로세스 툴에 존재하는 조건에 관련된 상태 데이터 시계열을 획득하는 단계;
상기 측정 데이터 시계열 및 상기 상태 데이터 시계열을 주파수 공간 데이터로 변환하는 단계;
필터링된 데이터를 획득하도록 상기 측정 데이터 시계열 및 상기 상태 데이터 시계열 중 적어도 하나에 적용될 필터를 상기 주파수 공간 데이터에 기반하여 결정하는 단계;
필터링된 데이터를 획득하도록, 상기 측정 데이터 시계열 및 상기 상태 데이터 시계열 중 상기 적어도 하나에 상기 필터를 적용하는 단계; 및
상기 필터링된 데이터를 사용하여, 후속 기판에 수행되는 후속 노광 단계에 적용될 정정을 결정하는 단계를 포함하는, 디바이스 제조 방법.
A device manufacturing method comprising:
acquiring a time series of measurement data of a plurality of substrates on which the exposure step and the process step have been performed;
obtaining a time series of state data related to conditions present in the process tool when the process step is performed on at least a portion of the plurality of substrates by the process tool;
converting the measurement data time series and the state data time series into frequency space data;
determining a filter to be applied to at least one of the measurement data time series and the state data time series based on the frequency spatial data to obtain filtered data;
applying the filter to the at least one of the measurement data time series and the state data time series to obtain filtered data; and
and using the filtered data to determine a correction to be applied to a subsequent exposure step performed on a subsequent substrate.
제 9 항에 있어서,
상기 상태 데이터 시계열은, 상기 기판에 수행된 복수 개의 프로세스 단계에 관련된 데이터를 포함하고,
상기 적용될 필터를 결정하는 단계는, 상기 정정을 결정하기 위해 필터링되고 사용될, 상기 프로세스 단계의 서브세트에 관련된 상기 상태 데이터 시계열의 서브세트를 선택하는 것을 포함하는, 디바이스 제조 방법.
10. The method of claim 9,
the state data time series includes data related to a plurality of process steps performed on the substrate;
wherein determining the filter to be applied comprises selecting a subset of the state data time series related to the subset of process steps to be filtered and used to determine the correction.
디바이스 제조 방법으로서,
노광 단계 및 프로세스 단계가 수행된 복수 개의 기판의 측정 데이터 시계열을 획득하는 단계;
프로세스 툴에 의해 상기 복수 개의 기판 중 적어도 일부에 상기 프로세스 단계가 수행되었을 때 상기 프로세스 툴에 존재하는 조건에 관련된 상태 데이터 시계열을 획득하는 단계;
상기 측정 데이터 시계열 및 상기 상태 데이터 시계열을 주파수 공간 데이터로 변환하는 단계; 및
측정 데이터 시계열을 생성하도록, 후속 기판에 적용될 샘플링 스킴을 상기 주파수 공간 데이터에 기반하여 결정하는 단계를 포함하는, 디바이스 제조 방법.
A device manufacturing method comprising:
acquiring a time series of measurement data of a plurality of substrates on which the exposure step and the process step have been performed;
obtaining a time series of state data related to conditions present in the process tool when the process step is performed on at least a portion of the plurality of substrates by the process tool;
converting the measurement data time series and the state data time series into frequency space data; and
determining, based on the frequency spatial data, a sampling scheme to be applied to a subsequent substrate to generate a measurement data time series.
제 1 항에 있어서,
상기 정정은 오버레이, 선량 및 초점 중 적어도 하나를 정정하도록 적용되는 것인, 디바이스 제조 방법.
The method of claim 1,
wherein the correction is applied to correct at least one of overlay, dose and focus.
제 7 항에 있어서,
상기 정정 및 추가 정정 중 하나 또는 양자 모두는 오버레이, 선량 및 초점 중 적어도 하나를 정정하도록 적용되는 것인, 디바이스 제조 방법.
8. The method of claim 7,
wherein one or both of the correction and the further correction are applied to correct at least one of overlay, dose and focus.
제 1 항에 있어서,
상기 프로세스 단계는 에칭, 어닐링, 주입(implantation), 증착, 및 연마의 군으로부터 선택된 적어도 하나의 프로세스인, 디바이스 제조 방법.
The method of claim 1,
wherein the process step is at least one process selected from the group of etching, annealing, implantation, deposition, and polishing.
하나 이상의 리소그래피 툴이 제 1 항에 따른 방법을 수행하도록 명령하기 위한 컴퓨터 판독가능 코드 수단을 포함하는 컴퓨터 프로그램을 저장하는 컴퓨터 판독가능 기록 매체.A computer readable recording medium storing a computer program comprising computer readable code means for instructing one or more lithographic tools to perform a method according to claim 1 .
KR1020197037173A 2017-06-14 2018-05-07 Device manufacturing method KR102454701B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227035198A KR102689275B1 (en) 2017-06-14 2018-05-07 Device manufacturing methods

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17175967.3A EP3415988A1 (en) 2017-06-14 2017-06-14 Device manufacturing methods
EP17175967.3 2017-06-14
PCT/EP2018/061696 WO2018228750A1 (en) 2017-06-14 2018-05-07 Device manufacturing methods

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227035198A Division KR102689275B1 (en) 2017-06-14 2018-05-07 Device manufacturing methods

Publications (2)

Publication Number Publication Date
KR20200004420A KR20200004420A (en) 2020-01-13
KR102454701B1 true KR102454701B1 (en) 2022-10-13

Family

ID=59067541

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197037173A KR102454701B1 (en) 2017-06-14 2018-05-07 Device manufacturing method
KR1020227035198A KR102689275B1 (en) 2017-06-14 2018-05-07 Device manufacturing methods

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227035198A KR102689275B1 (en) 2017-06-14 2018-05-07 Device manufacturing methods

Country Status (6)

Country Link
US (1) US11442366B2 (en)
EP (1) EP3415988A1 (en)
KR (2) KR102454701B1 (en)
CN (1) CN110785707B (en)
TW (1) TWI657486B (en)
WO (1) WO2018228750A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3734364A1 (en) 2019-04-29 2020-11-04 ASML Netherlands B.V. Method for generating a control scheme and device manufacturing method
WO2020212068A1 (en) 2019-04-17 2020-10-22 Asml Netherlands B.V. Method for a device manufacturing process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090244767A1 (en) * 2007-12-19 2009-10-01 Asml Netherlands B.V. Controller for a positioning device, method for controlling a positioning device, positioning device, and lithographic apparatus provided with a positioning device
US20130115723A1 (en) * 2011-11-09 2013-05-09 Advantest Corporation Method of manufacturing semiconductor device and semiconductor manufacturing system
US20130204418A1 (en) * 2012-02-02 2013-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Novel Design of Tool Function to Improve Fab Process in Semiconductor Manufacturing

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002202613A (en) * 2000-12-28 2002-07-19 Ngk Spark Plug Co Ltd Method of manufacturing substrate
KR101025527B1 (en) * 2002-09-30 2011-04-04 도쿄엘렉트론가부시키가이샤 Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US20050095515A1 (en) * 2003-08-29 2005-05-05 Inficon Lt, Inc. Methods and systems for processing overlay data
US6873938B1 (en) * 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
US7219033B2 (en) * 2005-02-15 2007-05-15 Magneto Inertial Sensing Technology, Inc. Single/multiple axes six degrees of freedom (6 DOF) inertial motion capture system with initial orientation determination capability
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7818073B2 (en) * 2006-04-20 2010-10-19 Asml Netherlands B.V. Method for obtaining improved feedforward data, a lithographic apparatus for carrying out the method and a device manufacturing method
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP2009237469A (en) * 2008-03-28 2009-10-15 Konica Minolta Medical & Graphic Inc Platemaking method of planographic printing plate material
US8612045B2 (en) 2008-12-24 2013-12-17 Asml Holding N.V. Optimization method and a lithographic cell
US9177219B2 (en) 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
NL2011276A (en) * 2012-09-06 2014-03-10 Asml Netherlands Bv Inspection method and apparatus and lithographic processing cell.
US9165376B2 (en) * 2013-03-15 2015-10-20 Applied Materials Israel Ltd. System, method and computer readable medium for detecting edges of a pattern
JP6381180B2 (en) * 2013-06-21 2018-08-29 キヤノン株式会社 Exposure apparatus, information management apparatus, exposure system, and device manufacturing method
CN103744267B (en) * 2013-11-28 2015-07-08 上海华力微电子有限公司 Layout design photoetching technology friendliness detection method based on regular figure filtering
CN107004060B (en) * 2014-11-25 2022-02-18 Pdf决策公司 Improved process control techniques for semiconductor manufacturing processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090244767A1 (en) * 2007-12-19 2009-10-01 Asml Netherlands B.V. Controller for a positioning device, method for controlling a positioning device, positioning device, and lithographic apparatus provided with a positioning device
US20130115723A1 (en) * 2011-11-09 2013-05-09 Advantest Corporation Method of manufacturing semiconductor device and semiconductor manufacturing system
US20130204418A1 (en) * 2012-02-02 2013-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Novel Design of Tool Function to Improve Fab Process in Semiconductor Manufacturing

Also Published As

Publication number Publication date
US11442366B2 (en) 2022-09-13
EP3415988A1 (en) 2018-12-19
US20200201191A1 (en) 2020-06-25
KR102689275B1 (en) 2024-07-26
TW201905967A (en) 2019-02-01
KR20200004420A (en) 2020-01-13
CN110785707B (en) 2022-01-11
KR20220143148A (en) 2022-10-24
CN110785707A (en) 2020-02-11
US20230004095A1 (en) 2023-01-05
WO2018228750A1 (en) 2018-12-20
TWI657486B (en) 2019-04-21

Similar Documents

Publication Publication Date Title
US11774862B2 (en) Method of obtaining measurements, apparatus for performing a process step, and metrology apparatus
US11480884B2 (en) Method for optimization of a lithographic process
CN113711129B (en) Method and computer program for generating a sampling plan for a device manufacturing process
KR102454701B1 (en) Device manufacturing method
KR102183619B1 (en) Method of monitoring and device manufacturing method
CN112585538B (en) Method for controlling a manufacturing process and associated device
US12078935B2 (en) Device manufacturing methods
JP2019532342A (en) Processing apparatus and method for correcting parameter variations across a substrate
EP3734364A1 (en) Method for generating a control scheme and device manufacturing method
US11181829B2 (en) Method for determining a control parameter for an apparatus utilized in a semiconductor manufacturing process
CN114174927A (en) Sub-field control of a lithographic process and associated apparatus
EP3339958A1 (en) A method for optimization of a lithographic process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant