KR101743313B1 - 플라즈마 프로세싱 시스템 내의 플라즈마 한정을 조작하기 위한 장치들 및 그 방법들 - Google Patents

플라즈마 프로세싱 시스템 내의 플라즈마 한정을 조작하기 위한 장치들 및 그 방법들 Download PDF

Info

Publication number
KR101743313B1
KR101743313B1 KR1020127005569A KR20127005569A KR101743313B1 KR 101743313 B1 KR101743313 B1 KR 101743313B1 KR 1020127005569 A KR1020127005569 A KR 1020127005569A KR 20127005569 A KR20127005569 A KR 20127005569A KR 101743313 B1 KR101743313 B1 KR 101743313B1
Authority
KR
South Korea
Prior art keywords
substrate
matching device
plasma
electrode
upper electrode
Prior art date
Application number
KR1020127005569A
Other languages
English (en)
Other versions
KR20120080166A (ko
Inventor
엘러 와이 주코
능호 신
윤상 김
앤드류 베일리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20120080166A publication Critical patent/KR20120080166A/ko
Application granted granted Critical
Publication of KR101743313B1 publication Critical patent/KR101743313B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 프로세싱 챔버 내의 플라즈마 프로세싱 동안 베벨 에칭율을 제어하기 위한 장치에 관한 것이다. 이 장치는 전원 및 가스 분배 시스템을 포함한다. 이 장치는 또한 적어도 기판을 지지하기 위해 구성된 하부 전극을 포함한다. 이 장치는 추가로 기판 위에 위치된 상부 링 전극 및 기판 밑에 위치된 하부 링 전극을 포함한다. 이 장치는 또한 상부 링 전극에 커플링되고, 기판 상부 에지의 적어도 일부를 에칭하기 위해 사용가능한 플라즈마의 양을 제어하기 위해 상부 링 전극을 통해 흐르는 전류를 제어하도록 적어도 구성되는 제 1 매칭 장치를 포함한다. 이 장치는 추가로 기판 하부 에지의 적어도 일부를 적어도 에칭하기 위해 사용가능한 플라즈마의 양을 제어하기 위해 하부 링 전극을 통해 흐르는 전류를 제어하도록 구성되는 제 2 매칭 장치를 포함한다.

Description

플라즈마 프로세싱 시스템 내의 플라즈마 한정을 조작하기 위한 장치들 및 그 방법들{ARRANGEMENTS FOR MANIPULATING PLASMA CONFINEMENT WITHIN A PLASMA PROCESSING SYSTEM AND METHODS THEREOF}
기술적 진보는 전자 산업에 있어 성장을 제공해왔다. 전자장치들에 대하여 세계적으로 증가하고 있는 요구들을 충족시키기 위해, 수많은 반도체 디바이스들이 요구된다. 반도체 디바이스들은 일반적으로 단일 처리 기판에서 절단된 다이들에 의해 생성된다.
기판을 처리하기 위해, 가스 혼합물 (예컨대, 에천트 가스 혼합물) 은 프로세싱 챔버로 유입하고, 무선 주파수 (RF) 전력에 의해 에너지가 공급되어 이온 에너지 클라우드 (즉, 플라즈마) 를 형성할 수도 있다. 그 후에, 플라즈마는 기판을 에칭하여 반도체 디바이스들을 형성하도록 이용될 수도 있다. 다수의 경우들에서, 에칭율은 기판의 에지에서 더 높을 수도 있는 것이 발견되었다. 기판 에지에서 더 적은 기판 표면 영역이 소정 양의 에천트에 대하여 에칭되는데 이용가능하기 때문에, 통상적으로 기판의 에지에서 더 높은 에칭율을 경험하고, 그로 인해 기판의 불균일성을 발생한다.
전술된 것과 같이, 기판의 중심에서보다 에지에서 더 많은 에천트가 사용가능하기 때문에, 기판에 걸쳐 형성된 플라즈마는 불균일할 수도 있다. 결함이 있는 반도체 디바이스들을 생성할 가능성을 감소시키기 위해 기판에 걸쳐 일정한 에칭율을 보장하도록 플라즈마 프로세싱 동안 플라즈마 균일성이 요구되기 때문에, 대부분의 기판 프로세싱은 기판의 벌크 영역 (즉, 기판의 에지로부터 떨어진 영역) 쪽으로 집중되며, 여기에서 플라즈마 균일성은 더 일관성 있게 관찰된다. 결과적으로, 기판의 에지 위의 영역들은 일부 제조업자들이 이러한 낭비를 제조 비용으로서 간주하기 때문에 폐기된다.
현재 경쟁 시장에서, 양질의 반도체 디바이스들 내로 기판들을 처리하면서 낭비를 최소화하는 것은 제조업자에게 경쟁적인 우위를 제공할 수도 있다. 낭비를 감소시키는 한가지 방식은, 처리되고 있는 기판의 실질적인 양 (real estate) 의 표면을 최대화하고 이러한 실질적인 양의 대부분을 가능하면 양질의 반도체 디바이스들을 생성하는데 활용하는 것이다. 결함이 있는 반도체 디바이스들의 수를 최소화하면서 기판의 실질적인 양의 표면의 활용을 최대화하는 능력은 특히 대형 기판들을 처리할 수 있는 플라즈마 프로세싱 시스템들을 활용하는 제조업자들에게 유리하다.
일반적으로 말하면, 대형 기판들을 처리할 수 있는 프로세싱 시스템을 이용함으로써, 더 작은 크기의 기판들을 처리할 수 있는 프로세싱 시스템과 비교할 때 더 많은 수의 반도체 디바이스들이 머신 구동 시간 단위마다 생성될 수도 있다. 그러나, 제조업자가 허용가능한 반도체 디바이스들을 생성하기 위해 대형 사이즈의 기판 에지 주위의 영역을 처리할 수 없다면, 제조업자들이 경험하는 수익의 손실은, 대형 사이즈의 기판이 더 큰 직경을 가지고 따라서 더 큰 주변 영역을 가지는 경향이 있는 것을 고려하면, 높을 수 있다.
따라서, 기판의 에지에서 기판 프로세싱을 개선하기 위해 플라즈마 한정을 조작하기 위한 장치가 요구된다.
일 실시형태에서, 본 발명은 플라즈마 프로세싱 시스템의 프로세싱 챔버 내의 플라즈마 프로세싱 동안 베벨 에칭율을 제어하기 위한 장치에 관한 것이다. 이 장치는 전원 및 가스 분배 시스템을 포함한다. 이 장치는 또한, 플라즈마 프로세싱 동안 기판을 지원하기 위해 적어도 구성된 하부 전극을 포함한다. 이 장치는 또한 기판 위에 위치된 상부 링 전극과 기판 밑에 위치된 하부 링 전극을 포함한다. 이 장치는 또한 상부 링 전극에 커플링된 제 1 매칭 장치를 포함한다. 제 1 매칭 장치는 기판 상부 에지의 적어도 일부를 에칭하기 위해 사용가능한 플라즈마의 양을 제어하기 위해 상부 링 전극을 흐르는 전류를 제어하도록 적어도 구성된다. 이 장치는 또한 기판 하부 에지의 적어도 일부를 적어도 에칭하기 위해 사용가능한 플라즈마의 양을 제어하기 위해 하부 링 전극을 통해 흐르는 전류를 제어하도록 구성된 제 2 매칭 장치를 포함한다.
앞서 개요 부분은 본 명세서에 개시된 본 발명의 다수의 실시형태들 중 오직 하나의 실시형태와 관련되며, 본 명세서의 청구항들에서 설명된 본 발명의 범위를 제한하도록 의도되는 것은 아니다. 본 발명의 이러한 다른 특징들은 하기의 도면들과 결합하여 본 발명의 상세한 설명에서 더 상세하게 설명될 것이다.
본 발명은 첨부된 도면들에서 제한의 방식이 아닌 예시의 방식으로서 설명되며, 유사한 도면 부호들은 유사한 엘리먼트들을 지칭한다:
도 1 은 본 발명의 일 실시형태에서 플라즈마 한정을 관리하기 위한 매칭 장치들의 세트를 갖는 베벨 프로세싱 챔버의 간단한 횡단면도를 도시한다.
도 2a, 2b, 2c 및 2d 는 본 발명의 실시형태들에서 베벨 플라즈마 챔버 내의 플라즈마 한정을 관리하기 위한 방법을 도시한다.
본 발명은 첨부된 도면들에 도시된 것과 같이 그 일부 실시형태들을 참조하여 상세히 설명될 것이다. 하기의 설명에서, 다수의 특정 세부사항들은 본 발명의 완전한 이해를 제공하기 위해 설명된다. 그러나, 본 발명은 이러한 특정 세부사항들 일부 또는 전부 없이 실행될 수도 있음이 당업자에게 명백할 것이다. 다른 경우들에서, 공지된 프로세스 단계들 및 구조들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 설명되지 않을 것이다.
방법들과 기술들을 포함하는 다양한 실시형태들이 하기에서 설명된다. 본 발명은 본 발명의 실시형태들을 수행하기 위한 컴퓨터 판독가능 명령들이 저장된 컴퓨터 판독가능 매체를 포함하는 제조 물품들을 커버할 수도 있음에 유념하여야 한다. 컴퓨터 판독가능 매체는 예컨대, 컴퓨터 판독가능 코드를 저장하기 위해 반도체, 자기, 광자기, 광학, 또는 다른 형태의 컴퓨터 판독가능 매체를 포함할 수도 있다. 추가로, 본 발명은 본 발명의 실시형태들을 실행하기 위한 장치들을 커버할 수도 있다. 그러한 장치는 본 발명의 실시형태들과 관련된 작업들을 실행하기 위한 전용의 및/또는 프로그래밍 가능한 회로들을 포함할 수도 있다. 그러한 장치의 예들은 범용 컴퓨터 및/또는 적절히 프로그래밍될 경우 전용 컴퓨팅 디바이스를 포함하며, 본 발명의 실시형태들과 관련된 다양한 작업들을 위해 채용된 전용의/프로그래밍 가능 회로들과 컴퓨터/컴퓨팅 디바이스의 조합을 포함할 수도 있다.
전술된 것과 같이, 플라즈마는 기판을 반도체 디바이스들 내로 에칭하는데 이용된다. 일반적으로, 적절한 가스들의 세트 (예컨대, C4F8, C4F6, CHF3, CH2F3, CF4, CH3F, C2F4, N2, 02, Ar, Xe, He, H2, NH3, SF6, BCl3, Cl2, 등등과 같은 에천트 가스들) 이 가스 분배 시스템으로부터의 주입구를 통해 플라즈마 프로세싱 시스템의 프로세싱 챔버로 유입된다. 이러한 가스들은 프로세싱 챔버 내의 플라즈마를 점화 (ignite) 하기 위해 RF 전력과 상호작용할 수 있다. 플라즈마는 기판의 노출된 영역을 에칭하기 위해 이용될 수도 있다.
전술된 더 높은 에지 에칭율로 인해, 플라즈마 불균일성이 존재할 수도 있다. 본 명세서에서 설명되는 것과 같이, 균일성은 기판 표면에 걸쳐 에칭율의 일관성을 지칭한다. 허용가능한 균일성 없이, 전체 기판을 처리하는 것은 특히 초과하는 에칭으로 인해 기판의 에지 주위의 영역 내에 형성된 디바이스들에 대하여 결함이 있는 반도체 디바이스들을 발생할 수도 있다.
그러나, 현재의 비용 의식적인 세계에서, 제조업자들은 기판 수율을 최대화하기 위한 방법들을 탐색하고 있다. 최근 몇 년간, 기판 에지 주위 영역을 폐기물로서 폐기하는 대신에, 상이한 레시피들을 이용하여 기판이 처리되도록 하는 기술들이 개발되었다. 일 예로서, 전체 기판을 하나의 레시피에 노출하는 대신에, 처리되지 않은 기판의 영역들이 커버되어 노출되지 않은 영역이 에칭되는 것을 방지한다. 노출되지 않은 영역은 향후 프로세싱을 위해 이용가능하다.
기판의 에지 주위의 영역을 에칭하기 위해, 베벨 에칭 (기판의 에지 쪽으로의 에칭) 을 수행하기 위한 기술들이 개발되었다. 한가지 인기있는 기술은 절연 세라믹들의 사용이다. 플라즈마가 에칭율을 결정하기 때문에, 플라즈마에 대한 제어는 에칭율에 대한 제어를 발생한다. 즉, 형성되고 있는 플라즈마를 조작함으로써, 프로세싱 레시피에 의해 요구되는 원하는 에칭율(들) 이 달성될 수도 있다. 일 예로서, 절연 세라믹들은 기판 프로세싱 동안 형성되는 플라즈마를 조작하기 위해 기판 위 및/또는 아래에 (기판의 에지 쪽으로) 위치될 수도 있다. 일 예에서, 기판 프로세싱 동안 레시피에 의해 요구될 수도 있는 상이한 에칭율들을 형성하기 위해 상이한 프로세스 키트들 (여기서 각각의 프로세스 키트는 특정 지오메트리 및 물질의 세라믹들을 가질 수도 있다) 이 채용될 수도 있다.
플라즈마를 제어하는 다른 방식은 RF 전류 흐름을 조작하는 것이다. 다시 말해서, 플라즈마는 RF 전류로 가스들을 스트라이킹 (striking) 함으로써 생성되기 때문에, 플라즈마 균일성은 RF 전류 흐름 경로를 제어함으로써 제어될 수도 있다. 당업자는 RF 전력이 프로세싱 챔버 내의 하나 이상의 전극들에 제공될 수도 있음을 인식하고 있다. 플라즈마는 일반적으로 전극들 근처에 형성된다. 본 발명의 일 양태에서, 발명자들은 본 명세서에서 매칭 엘리먼트들이 전극들을 통한 RF 전류 경로를 제어하는데 채용될 수도 있고, 따라서 플라즈마는 원하는 에칭율(들) 을 달성하기 위해 조작될 수도 있음을 인식하고 있다.
본 발명의 실시형태들에 따르면, 프로세싱 챔버 내의 플라즈마를 제어하기 위한 장치들 및 방법들이 제공된다. 본 발명의 실시형태들은 RF 전류 경로를 안내하기 위해 매칭 장치들의 세트를 채용하는 것을 포함한다. 본 발명의 실시형태들은 또한 원하는 에칭율을 가진 플라즈마를 생성하기 위해 기판 프로세싱 동안 RF 전류의 흐름을 조작하기 위한 방법을 포함한다. 본 발명의 실시형태들은 추가로 (챔버 클리닝) 과 같은 챔버 컨디셔닝을 수행하기 위해 RF 전류의 흐름을 조작하기 위한 방법을 포함한다.
본 명세서에서, 일 예로서, 용량성 커플링된 프로세싱 시스템을 이용하는 다양한 구현들이 논의될 수 있다. 그러나, 본 발명은 용량성 커플링된 프로세싱 시스템들에 제한되는 것은 아니며, 유도성 커플링된 프로세싱 시스템들에 적용될 수도 있다. 따라서, 논의들은 예들로서 여겨지며, 본 발명은 제시되는 예들에 의해 제한되지 않는다.
본 발명의 일 실시형태에서, RF 전류 경로를 안내함으로써 프로세싱 동안 형성되는 플라즈마를 조작하기 위한 장치들이 제공된다. 당업자는 플라즈마가 2 개의 전극들 사이의 영역 내에 형성되는 경향이 있음을 인식하고 있다. 일 예에서, 용량성 커플링된 프로세싱 시스템에서, 플라즈마는 일반적으로 접지된 상부 전극과 하부 전극 (예컨대, 정전 척) 사이에 생성된다. 이 영역에 형성된 플라즈마는, 일반적으로 하부 전극의 상부에 위치된 기판을 에칭하는데 채용된다. 이 영역에 형성된 플라즈마는 일반적으로 기판 표면의 대부분 (즉, 기판의 에지로부터 떨어진 영역) 을 에칭하는데 채용된다.
그러나, 베벨 에칭 동안, 상부 및 하부 전극들 사이에 형성된 플라즈마는 베벨 영역 대신에 기판의 중심 영역을 에칭할 것이기 때문에 바람직하지 않다. 본 발명의 일 양태에서, 발명자들은 본 명세서에서 매칭 장치를 상부 전극에 커플링함으로써, RF 전류 경로는 상부 및 하부 전극들 사이의 영역에 플라즈마의 형성을 방지하도록 조작될 수 있음을 인식하고 있다. 다시 말해서, 플라즈마 형성을 방지하기 위해, 접지된 상부 전극은 플로팅 전극으로 변환된다. 상부 전극을 플로팅하기 위해, 매칭 장치 내의 인덕터 및 캐패시터는 일 실시형태에서 프로세싱 챔버의 동작 주파수와 병렬 공진하도록 튜닝될 수도 있다.
상부 및 하부 전극들 이외에, 베벨 프로세싱 챔버와 같이 에지 에칭을 수행할 수 있는 프로세싱 챔버는 2 개의 추가 전극들을 포함할 수도 있다. 상부 링 전극 (즉, 상부 연장 전극) 은 기판 위에 위치된다. 하부 링 전극 (즉, 하부 연장 전극) 은 기판 밑에 위치된다. 이러한 전극들 양자는 기판의 에지에 인접하여 위치된다. 결과적으로, 이러한 2 개의 전극들 근처에 형성된 플라즈마는 기판의 에지를 에칭하는데 채용될 수도 있다.
일 실시형태에서, 매칭 장치들의 세트는 기판의 에지 근처에 형성된 플라즈마의 에칭율을 제어하기 위해 채용될 수도 있다. 따라서, RF 전류 경로는 세라믹 프로세스 키트들을 교체해야할 필요 없이 원하는 에칭율(들) 을 생성하도록 매칭 장치들의 세트를 통해 조작될 수도 있다. 일 실시형태에서, 매칭 장치들의 세트는 기판의 상부 에지 주변에 형성할 수 있는 플라즈마를 조작하기 위해 상부 링 전극에 커플링될 수도 있는 상부 매칭 장치를 포함할 수도 있다. 유사하게, 하부 매칭 장치는 기판의 하부 에지 근처에 형성할 수 있는 플라즈마를 제어하기 위해 하부 링 전극에 커플링될 수도 있다.
일 예로서, 기판의 상부 에지에서 에칭을 최소화하기 위해, RF 상부 매칭 장치는 프로세싱 챔버의 동작 주파수와 병렬 공진하도록 설정될 수도 있다. 그러나, 에칭율을 최소화하기 위해, RF 상부 매칭 장치는 직렬 공진하도록 설정될 수도 있다. 플라즈마를 특정 에칭율로 튜닝하기 위해, RF 전류의 공진은 프로세싱 챔버의 동작 주파수에서 오프셋될 수도 있다. 앞의 내용으로부터 인식될 수 있는 것과 같이, 하부 매칭 장치는 유사하게 기판의 하부 에지에 대한 에칭율을 제어하도록 조작될 수 있다. 따라서, 하나 이상의 매칭 장치들을 튜닝함으로써, 원하는 에칭율(들) 이 생성될 수도 있다.
본 발명의 특징들 및 장점들은 하기의 도면들 및 설명들을 참조하여 더 잘 이해될 수 있다.
도 1 은 본 발명의 일 실시형태에 따라 플라즈마 한정을 관리하기 위한 매칭 장치 세트를 갖는 베벨 프로세싱 챔버 (100) 의 간단한 횡단면도를 도시한다. 베벨 프로세싱 챔버 (100) 는 프로세싱 동안 기판 (104) 을 지지하기 위한 하부 전극 (102; 예컨대, 정전 척) 을 포함할 수도 있다. 기판 프로세싱 동안, RF 소스 (128) 로부터의 전력 흐름은 하부 전극 (102) 을 통해 베벨 프로세싱 챔버 (100) 로 유입하여 플라즈마를 형성하기 위해 가스 혼합물 (비도시) 과 상호작용한다. RF 소스 (128) 와 하부 전극 (102) 사이에 위치된 매칭 장치 (120) 는 RF 전류가 베벨 프로세싱 챔버 (100) 내로 흐르기 때문에 반사 전력을 최소화하도록 채용될 수도 있다. 플라즈마는 기판 (104) 을 처리 (예컨대, 에칭) 하기 위해 채용될 수도 있다.
당업자는 상부 전극 (106) 과 하부 전극 (102) 사이의 영역에 플라즈마가 형성될 수도 있음을 인식하고 있다. 이러한 영역에 형성된 플라즈마는 일반적으로 기판의 에지로부터 떨어진 기판의 표면 영역을 처리하기 위해 채용된다. 그러나, 기판 (104) 의 에지 쪽으로 존재할 수도 있는 전위 차이로 인해, 이러한 영역에 형성된 플라즈마는 기판의 에지 쪽으로의 기판 프로세싱을 위해 전도성이 되지 않으며, 이는 그 플라즈마의 에지에서의 에칭율이 기판의 중심 쪽으로의 에칭율 보다 일반적으로 높기 때문이다. 다시 말해서, 플라즈마는 기판의 중심으로부터 멀어질 때 덜 밀집되는 경향이 있다. 결과적으로, 불균일한 플라즈마가 형성될 수도 있고, 따라서 결함이 있는 반도체 디바이스들이 생성될 수도 있다.
상부 전극 (106) 과 하부 전극 (102) 사이의 영역에서 플라즈마의 전개를 최소화하는 한가지 방식은, 상부 전극 (106) 이 RF 전류가 가로지를 가능성이 가장 낮은 RF 경로가 되게 하는 것이다. 종래 기술에서, 상부 전극 (106) 은 일반적으로 플라즈마가 기판 프로세싱 동안 형성될 수 있도록 접지된다. 따라서, 플라즈마 전개를 최소화하기 위해, 프로세싱 챔버 (100) 내의 상부 전극 (106) 은 플로트 상태 (float state) 로 설정된다. 일 실시형태에서, 매칭 장치 (122) 는 상부 전극 (106) 을 통해 흐르는 전류의 양을 제어하기 위해 채용될 수도 있다. 일 예로서, 상부 전극 (106) 을 플로팅하기 위해, 스위치 (130) 는 폐쇄될 수도 있고, 병렬의 인덕터 (140) 와 캐패시터 (142) 는 베벨 프로세싱 챔버 (100) 의 동작 주파수와 병렬 공진하도록 튜닝될 수도 있다. 따라서, 상부 전극 (106) 과 하부 전극 (102) 사이의 영역으로의 RF 전류 흐름 없이, 플라즈마가 형성되는 것이 방지될 수도 있다.
상부 전극 (106) 과 하부 전극 (102) 이외에, 베벨 프로세싱 챔버 (100) 는 상부 링 전극 (상부 연장 전극 (108)) 및 하부 링 전극 (하부 연장 전극 (110)) 을 포함할 수도 있다. 상부 연장 전극은 기판 (104) 위에 위치되고, 하부 연장 전극 (110) 은 기판 (104) 밑에 위치된다. 이러한 2 개의 전극들 양자는 기판 (104) 의 에지에 인접하게 위치된다. 상부 전극 (106) 근처의 영역과 유사하게, 플라즈마 (112) 는 상부 연장 전극 및/또는 하부 연장 전극 (110) 근처에 형성될 수도 있다. 여기에서 형성된 플라즈마는 기판의 에지를 에칭하는데 채용될 수도 있다.
원하는 에칭율을 생성하도록 플라즈마를 조작하기 위해, 종래기술은 세라믹 프로세스 키트들을 채용하였고, 여기서 각각의 프로세스 키트들은 특정 지오메트리 및/또는 물질의 세라믹들을 포함할 수도 있다. 일 예로서, 세라믹들 (114 및/또는 116) 의 구조를 변경시킴으로서, 플라즈마 (112) 는 프로세싱 레시피에 의해 요구되는 원하는 에칭율을 생성하도록 조작될 수도 있다. 세라믹들을 변경시켜야하는 대신, 일 실시형태에서, 매칭 장치 세트는 프로세싱 챔버 내로 전달되고 있는 전력의 양을 제어하기 위해 채용될 수도 있다. 다시 말해서, 프로세싱 챔버 내로의 전력의 양을 조정함으로써, 전류 흐름이 조정되며, 따라서 균일한 플라즈마를 생성하도록 플라즈마 (112) 의 밀도를 조작하고, 차례로 기판 프로세싱 동안 에칭율을 제어할 수 있다.
일 실시형태에서, 매칭 장치 (124) 는 상부 연장 전극을 통해 흐르는 RF 전류를 제어하기 위해 상부 연장 전극에 커플링될 수도 있다. 매칭 장치 (124) 는 가변 캐패시터 (154) 와 직렬의 인덕터 (150) 및 인덕터 (152) 를 포함할 수도 있다. 매칭 장치를 조정함으로써, RF 전류 흐름이 변경될 수도 있다. 일 예로서, 인덕터 (150) 및 캐패시터 (154) 를 서로 오프셋하도록 튜닝함으로써, RF 전류 흐름은 원하는 에칭율로 균일한 플라즈마를 생성하도록 제어될 수도 있다. 결과적으로, 기판 (104) 의 상부 에지는 프로세스 레시피에 따라 처리될 수도 있다.
유사하게, 매칭 장치 (126) 는 일 실시형태에서 하부 연장 전극 (110) 을 통해 흐르는 RF 전류를 제어하기 위해 하부 연장 전극 (110) 에 커플링될 수도 있다. 매칭 장치 (126) 는 가변 캐패시터 (164) 와 직렬의 인덕터 (160) 및 제 2 인덕터 (162) 를 포함할 수도 있다. 하부 연장 전극 (110) 을 통해 흐르는 RF 전류를 조정하기 위해, 매칭 장치 (126) 가 조정될 수도 있다. 일 예로서, 가변 캐패시터 (164) 에 대하여 인덕터 (160) 의 공진을 오프셋시킴으로써, 플라즈마 한정은 조작될 수도 있다.
도 1 에서 보여질 수 있는 것과 같이, 매칭 장치들은 RF 전류 경로를 재지향시키기 위해 채용될 수도 있다. RF 전류의 흐름을 제어함으로써, 플라즈마의 형성물은 프로세싱 챔버의 특정 영역을 향해 안내될 수도 있다. 또한, 하나 이상의 매칭 장치들을 조정함으로써, 기판을 처리하기 위한 레시피 가이드라인을 충족하는 특정 에칭율(들) 이 생성될 수도 있다.
도 2a, 2b, 2c 및 2d 는 본 발명의 일 실시형태에서 베벨 플라즈마 챔버 내의 플라즈마 한정을 관리하기 위한 방법을 도시한다. 도 2a, 2b, 2c 및 2d 는 도 1 과 관련하여 논의될 것이다.
제 1 단계 (200) 에서, 기판은 프로세싱 챔버 내로 로딩된다. 기판을 로딩하기 전에, 하부 전극은 처리하기 위한 기판을 수용하기 위해 준비된다. 일 예로서, 하부 전극 (102) 상의 리프터 핀은 인입하는 기판 (104) 을 수용하는 것을 대비하여 상승될 수도 있다.
하부 전극 (102) 이 준비되면, 기판은 프로세싱 챔버 내로 이동된다. 일 예로서, 로봇 팔은 기판 (104) 을 베벨 프로세싱 챔버 (100) 내로 이동시킬 수도 있다. 기판 (104) 이 준비되면, 리프터 핀은 처리된 위치 내로 하강될 수 있고, 따라서 기판 (104) 을 하부 전극 (102) 에 고정한다.
다음 단계 (210) 에서, 매칭 엘리먼트는 상부 전극으로의 RF 전류 경로를 적어도 바람직하도록 조정된다. 전술된 것과 같이, 종래 기술의 상부 전극은 일반적으로 접지로의 RF 경로를 갖는다. 결과적으로, 플라즈마는 상부 전극 (106) 과 기판 (104) 사이의 영역에 형성되는 경향이 있다.
도 2b 를 참조하면, 이러한 영역에 플라즈마가 형성되는 가능성을 최소화하기 위해, 상부 전극 (106) 의 연장부 (118) 는 일 실시형태에서 연장부 (118) 와 기판 (104) 사이의 갭이 최소가 되도록 낮춰질 수도 있다 (단계 212). 일 실시형태에서, 갭은 약 0.35㎜ 이고, 따라서 플라즈마가 이러한 극미한 영역에 형성되는 가능성을 최소화한다.
추가로 또는 대안적으로, 접지로의 RF 전류 경로는 상부 전극 (106) 을 플로팅함으로써 제거될 수도 있다 (단계 214). 일 실시형태에서, 상부 전극 (106) 을 플로팅하는 것은 매칭 장치 (122) 를 조정하는 것을 포함할 수도 있다. 일 예로서, 스위치 (130) 는 폐쇄되는 동안 인덕터 (140) 와 캐패시터 (142) 가 베벨 플라즈마 챔버 (100) 의 동작 주파수와 병렬 공진하도록 튜닝될 수도 있다. 따라서, 상부 전극 (106) 과 기판 (104) 사이의 갭을 최소화하고 및/또는 상부 전극 (106) 을 플로팅함으로써, 플라즈마는 상부 전극과 기판 사이의 영역 내에 형성되는 것이 방지될 수도 있다.
도 2a 를 다시 참조하여, 다음 단계 (220) 에서, 상부 연장 전극 및/또는 하부 연장 전극 (110) 을 통해 흐르는 RF 전류 경로를 조정함으로써, 에칭율(들) 이 조작될 수도 있다. 일 실시형태에서, 기판 (104) 의 에지 근처에 상부 연장 전극과 하부 연장 전극 (110) 사이에 형성되는 플라즈마는 매칭 장치 (124) 및/또는 매칭 장치 (126) 를 조작함으로써 제어될 수도 있다.
도 2c 를 참조하면, 상부 연장 전극에 대한 접지로의 RF 전류 경로를 형성하기 위해, 스위치 (134) 는 단락 회로를 생성하도록 개방될 수도 있다 (단계 222). 최대 에칭율을 달성하기 위해, 인덕터 (152) 와 캐패시터 (154) 는 동작 주파수에서 직렬 공진할 수도 있다. 그러나, 에칭율을 제어하기 위해, 캐패시터 (154) 에 대하여 인덕터 (152) 를 오프셋시킴으로써 튜닝이 발생할 수도 있고, 따라서 인덕터 (152) 와 캐패시터 (154) 는 프로세싱 챔버의 동작 주파수와 공진할 수 있다. 다시 말해서, 소정의 에칭율로 균일한 플라즈마를 생성하기 위해, 매칭 장치 (124) 내의 인덕터 및/또는 캐패시터는 튜닝되어야 할 수도 있다. 매칭 장치 (124) 를 조정함으로써, 기판 (104) 의 상부 에지상의 에칭은 제어될 수도 있다.
유사하게, 기판 (104) 의 하부 에지 상의 에칭은 매칭 장치 (126) 를 조정함으로써 제어될 수도 있다 (단계 224). 스위치 (134) 와 유사하게, 스위치 (136) 는 하부 연장 전극 (110) 에 대한 접지로의 RF 전류 경로를 생성하기 위해 개방된다. 최대 에칭율을 획득하기 위해, 인덕터 (162) 와 캐패시터 (164) 는 베벨 프로세싱 챔버 (100) 의 동작 주파수에서 직렬 공진한다. 프로세싱 레시피에 의해 요구되는 것과 같이 원하는 에칭율을 생성하도록 플라즈마 (112) 를 제어하기 위해, RF 매칭 장치는 캐패시터 (164) 에 대하여 인덕터 (162) 를 오프셋시킴으로써 공진을 튜닝하는데 채용될 수도 있다.
도 2a 를 다시 참조하여, 다음 단계 (230) 에서 원하는 에칭율이 설정되면, 에칭은 기판에서 시작할 수도 있다.
다음 단계 (240) 에서, 기판 프로세싱이 종료된 후에 방전이 수행된다.
도 2d 를 참조하여, 에칭이 완료되면, 플라즈마는 턴 오프된다 (단계 242).
플라즈마가 턴 오프되면, 베벨 프로세싱 챔버 (100) 로부터 기판 (104) 을 제거하도록 준비된다.
먼저, 상부 전극은 플라즈마를 형성하기에 충분히 큰 갭을 생성하도록 기판으로부터 떨어져서 이동된다 (단계 244). 일 실시형태에서, 상부 전극 (106) 의 연장부 (118) 와 기판 (104) 사이의 갭은 넓어진다. 일 실시형태에서, 갭은 약 19㎜ 이다.
다음에 (단계 246), RF 매칭 장치 (122) 는 상부 전극 (106) 에 대한 접지로의 RF 전류 경로를 생성하도록 조작된다. 일 예로서, 스위치 (130) 는 스위치 (132) 가 턴 온 되는 동안 턴 오프 된다. 일 실시형태에서, 방전 양은 가변 저항 (R1) 에 의해 제어된다.
단계 (248) 에서, 압력은 이온 충격을 감소시키기 위해 증가되며, 따라서 에칭을 수행하기 위해 플라즈마가 생성되는 확률을 최소화한다. 일 실시형태에서, 압력 양은 기판 프로세싱 동안의 압력 양보다 약 40% 더 많을 수도 있다. 일 실시형태에서, 플라즈마를 형성하기 위해 채용되고 있는 가스는 비-에칭 가스이다. 다른 실시형태에서, RF 소스 (128) 는 프로세싱 챔버 내로 펌핑되고 있는 전력의 양이 방전을 수행할 수 있지만 기판을 에칭할 수 없는 최소-전력의 플라즈마를 생성하기에 충분하도록 감소된다. 일 실시형태에서, RF 소스 (128) 는 약 50 와트로 설정된다.
단계 (250) 에서, 기판 및/또는 하부 전극 상에 방전이 발생한다. 일 실시형태에서, 방전 기간은 약 5초 이다.
도 2a 를 다시 참조하면, 방전이 발생한 후에, 기판은 제거된다 (단계 260). 기판을 제거하기 전에, 플라즈마는 턴 오프 된다. 그 후에, 압력이 프로세싱 챔버 외부로 펌핑된다. 결국, 리프터 핀은 상승되고, 기판은 프로세싱 챔버로부터 제거된다.
유리하게, 전술된 매칭 장치는 또한 웨이퍼 없는 자동 클리닝을 수행하는데 적용될 수도 있다. 당업자는 일정한 플라즈마 처리 결과를 보장하기 위해, 프로세싱 챔버는 기판이 챔버로부터 부재인 동안 클리닝 될 수도 있음을 인식할 것이다. 일 예로서, 챔버 컨디셔닝은 예컨대, 각각의 기판의 프로세싱 사이에 수행될 수도 있다. 다시 말해서, 챔버 컨디셔닝을 수행함으로써, 프로세스 챔버는 클리닝되고, 다른 기판이 처리되기 전에 공지된 상태로 리셋 된다. 따라서, 챔버 컨디셔닝을 수행하는 프로세스는 폴리머 증착과 같은 부산물들을 허용하며, 이는 제거될 프로세싱 챔버의 표면 (예컨대, 벽 표면) 에 증착될 수도 있다.
일 실시형태에서, 매칭 장치 (122) 는 베벨 프로세스 챔버 내에서 웨이퍼 없는 클리닝 프로세싱을 수행하기 위해 채용될 수도 있다. 일 실시형태에서, 스위치 (130) 및 스위치 (132) 양자는 턴 온되고, 따라서 상부 전극 (106) 에 대한 접지로의 경로를 생성한다. 결과적으로, 플라즈마는 상부 전극 (106) 과 하부 전극 (102) 사이에 형성된다. 형성된 플라즈마는 프로세싱 챔버 내에 여전히 남아있는 부산물들을 제거하기 위해 채용될 수도 있다. 따라서, 웨이퍼없는 챔버 클리닝은 매칭 장치 (122) 를 조정함으로써 신속하게 수행될 수도 있다.
앞의 내용으로부터 인식될 수 있는 것과 같이, 본 발명의 하나 이상의 실시형태들은 베벨 프로세싱 챔버 내의 플라즈마 한정을 수행하기 위한 장치들 및 방법들을 제공한다. 하나 이상의 매칭 장치들을 조정함으로써, RF 경로는 플라즈마가 기판의 에지 근처에 형성되는 방식으로 안내될 수도 있다. 하나 이상의 인덕터/캐패시터를 튜닝함으로써, 레시피에 의해 요구되는 원하는 에칭율이 생성될 수도 있다. 따라서, 플라즈마 균일성을 제어하기 위해 어떤 추가의 컴포넌트도 요구되지 않으며, 따라서 소유권 비용을 감소시킨다. 결과적으로, 본 명세서에서 논의되는 것과 같은 장치들 및 방법들은 기판 수율을 증가시키기 위한 다른 저비용의 방법을 제공한다.
본 발명은 몇몇 실시형태들과 관련하여 설명되지만, 본 발명의 범위 내에서 그 변경물들, 치환물들 및 등가물들이 존재한다. 본 명세서 내에서 다양한 예들이 제공되지만, 상기 예들은 본 발명과 관련하여 예시하기 위한 것이며 본 발명을 제한하는 것은 아니다.
또한, 본 명세서에서 편리함을 위해 발명의 명칭 및 요약이 제공되며, 이들은 본 명세서 내의 청구항들의 범위를 제한하기 위해 사용되는 것은 아니어야 한다. 추가로, 매우 축약된 형태로 요약서가 기재되며, 이는 본 명세서 내에서 편리함을 위해 제공되고, 따라서 청구범위들에서 표현되는 전반적인 발명을 이해하거나 한정하기 위해 사용되는 것은 아니어야 한다. 본 명세서 내에서 용어 "세트 (set)" 가 사용되는 경우에, 상기 용어는 0, 1 또는 2 이상의 멤버를 커버하기 위한 공통으로 이해되는 수학적인 의미를 가지는 것으로 의도된다. 본 발명의 방법들 및 장치들을 구현하기 위해 다수의 선택적인 방식들이 존재함에 유의하여야 한다. 따라서 하기의 첨부된 청구항들은 본 발명의 사상 및 범위 내에 있기 때문에 그러한 변경물들, 치환물들 및 등가물들을 포함하는 것으로 해석될 수 있다.

Claims (20)

  1. 플라즈마 프로세싱 시스템의 프로세싱 챔버 내의 플라즈마 프로세싱 동안 베벨 (bevel) 에칭율을 제어하기 위한 장치로서,
    전원;
    가스 분배 시스템;
    상기 플라즈마 프로세싱 동안 기판을 적어도 지지하도록 구성된 하부 전극;
    상기 기판의 상부 에지를 덮도록 위치된 상부 링 전극;
    상기 기판의 하부 에지를 덮도록 위치된 하부 링 전극;
    상기 상부 링 전극 및 접지 사이에 배치되고, 상기 기판의 상기 상부 에지의 적어도 일부를 에칭하기 위해 사용가능한 플라즈마의 양을 제어하기 위해 상기 상부 링 전극을 통해 흐르는 전류를 적어도 제어하도록 구성되고, 제2 인덕터 및 스위치의 직렬 회로에 병렬로 연결된 제1 인덕터 및 가변 캐패시터의 직렬 회로를 포함하는 제1 병렬 회로를 포함하는 제 1 매칭 장치; 및
    상기 하부 링 전극 및 접지 사이에 배치되고, 상기 기판의 상기 하부 에지의 적어도 일부를 에칭하기 위해 사용가능한 플라즈마의 양을 제어하기 위해 상기 하부 링 전극을 통해 흐르는 상기 전류를 제어하도록 구성되고, 제2 인덕터 및 스위치의 직렬 회로에 병렬로 연결된 제1 인덕터 및 가변 커패시터의 직렬 회로를 포함하는 제2 병렬 회로를 포함하는 제 2 매칭 장치;
    상기 기판 상에 위치된 상부 전극으로서, 상기 상부 전극은 상기 기판의 상기 상부 에지를 제외한 상기 기판의 상면을 덮는 표면을 갖고, 상기 기판의 상기 상부 에지까지 상기 상부 전극의 상기 표면을 연장한 연장부를 갖고, 상기 상부 전극의 상기 연장부 및 상기 상부 전극은 상기 기판의 상기 상면 및 상기 상부 전극 사이의 영역에서 플라즈마의 형성을 방지하기 위해 상기 기판의 상기 상면 상에 배치되는, 상기 상부 전극; 및
    상기 상부 전극에 커플링되고, 상기 상부 전극을 접지된 상태로부터 플로팅 상태로 변경시키기 위해 상기 상부 전극을 통해 흐르는 상기 전류를 제어함으로써, 상기 상부 전극과 상기 하부 전극 사이의 플라즈마 형성을 감소시키도록 구성되는 제 3 매칭 장치를 포함하고,
    상기 제 3 매칭 장치는 적어도 스위치, 인덕터 및 가변 캐패시터를 포함하고, 상기 인덕터는 상기 제 3 매칭 장치의 상기 가변 캐패시터와 직렬로 연결되고,
    상기 제 3 매칭 장치의 상기 스위치는 폐쇄 위치로 설정되고,
    상기 제 3 매칭 장치의 상기 가변 캐패시터 및 인덕터는 상기 상부 전극을 상기 플로팅 상태로 설정하기 위해 상기 프로세싱 챔버의 동작 주파수와 병렬 공진하도록 튜닝되는, 베벨 에칭율을 제어하기 위한 장치.
  2. 삭제
  3. 삭제
  4. 제 1 항에 있어서,
    상기 연장부는 상기 상부 전극의 이동가능한 컴포넌트이며,
    상기 상부 전극의 상기 연장부는, 상기 상부 전극의 상기 연장부와 상기 기판 사이의 갭을 감소시키기 위해 상기 플라즈마 프로세싱 동안 낮춰지며,
    상기 상부 전극의 상기 연장부는 상기 기판을 접촉하지 않고, 그리고
    상기 갭은 플라즈마의 형성을 방지하는, 베벨 에칭율을 제어하기 위한 장치.
  5. 제 1 항에 있어서,
    상기 제 1 매칭 장치의 상기 스위치는 개방 위치로 설정되고,
    상기 제 1 매칭 장치의 상기 가변 캐패시터 및 상기 제1 인덕터는 상기 기판의 상기 상부 에지 상의 에칭을 제어하기 위해 상기 프로세싱 챔버의 동작 주파수와 직렬 공진하는, 베벨 에칭율을 제어하기 위한 장치.
  6. 제 1 항에 있어서,
    상기 제 2 매칭 장치의 상기 스위치는 개방 위치로 설정되고,
    상기 제 2 매칭 장치의 상기 가변 캐패시터 및 상기 제1 인덕터는 상기 기판의 상기 하부 에지 상의 에칭을 제어하기 위해 상기 프로세싱 챔버의 동작 주파수와 직렬 공진하는, 베벨 에칭율을 제어하기 위한 장치.
  7. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 시스템은 용량성 커플링된 프로세싱 시스템인, 베벨 에칭율을 제어하기 위한 장치.
  8. 삭제
  9. 제 1 항에 있어서,
    상기 전원은 RF 매칭 방식에 의해 상기 하부 전극에 커플링된 무선 주파수(RF) 전원인, 베벨 에칭율을 제어하기 위한 장치.
  10. 플라즈마 프로세싱 시스템의 프로세싱 챔버 내의 플라즈마 프로세싱 동안 베벨 에칭율을 제어하기 위한 방법으로서,
    기판을 하부 전극과 상기 프로세싱 챔버 내부에 고정시키는 단계;
    가스 혼합물을 상기 프로세싱 챔버 내로 유입하는 단계;
    플라즈마를 형성하도록 상기 가스 혼합물에 에너지를 공급하도록 전원으로부터 전력을 제공하는 단계;
    제 1 매칭 장치를 조정하는 단계로서, 상기 제 1 매칭 장치는 상부 전극에 커플링되고, 상기 상부 전극을 접지된 상태로부터 플로팅 상태로 변경시키기 위해 상기 상부 전극을 통해 흐르는 전류를 제어함으로써, 상기 상부 전극과 상기 하부 전극 사이의 플라즈마 형성을 감소시키도록 구성되는, 상기 제 1 매칭 장치를 조정하는 단계;
    제 2 매칭 장치를 조정하는 단계로서, 상기 제 2 매칭 장치는 상기 기판의 상부 에지 위에 형성된 제 1 플라즈마를 조작하기 위해 상부 링 전극을 통해 흐르는 상기 전류를 적어도 제어하도록 구성되고, 상기 제 1 플라즈마는 상기 기판의 상기 상부 에지의 일부를 적어도 에칭하도록 구성되는, 상기 제 2 매칭 장치를 조정하는 단계; 및
    제 3 매칭 장치를 조정하는 단계로서, 상기 제 3 매칭 장치는 상기 기판의 하부 에지 상에 형성된 제 2 플라즈마를 조작하기 위해 하부 링 전극을 통해 흐르는 상기 전류를 적어도 제어하도록 구성되고, 상기 제 2 플라즈마는 상기 기판의 상기 하부 에지의 일부를 적어도 에칭하도록 구성되는, 상기 제 3 매칭 장치를 조정하는 단계를 포함하고,
    상기 제 3 매칭 장치를 조정하는 단계는 상기 기판의 상기 하부 에지 상에서 에칭을 제어하기 위해 상기 프로세싱 챔버의 동작 주파수와 직렬 공진하는 상기 제 3 매칭 장치의 가변 캐패시터 및 인덕터를 설정하는 단계 및 스위치를 개방 위치로 설정하는 단계를 포함하고,
    상기 제 3 매칭 장치를 조정하는 단계는 상기 기판의 상기 하부 에지 상에서 에칭을 감소시키기 위해 상기 프로세싱 챔버의 상기 동작 주파수와 병렬 공진하는 상기 제 3 매칭 장치의 상기 가변 캐패시터 및 상기 인덕터를 설정하는 단계를 포함하고,
    상기 상부 전극은 연장부를 포함하고, 상기 연장부는 상기 상부 전극의 이동가능한 컴포넌트이며,
    상기 상부 전극의 상기 연장부는 상기 기판 및 상기 상부 전극의 상기 연장부 사이의 갭을 감소시키기 위해 상기 플라즈마 프로세싱 동안 낮춰지며,
    상기 상부 전극의 상기 연장부는 상기 기판을 접촉하지 않고, 상기 갭은 플라즈마를 유지할 수 없는, 에칭율을 제어하기 위한 방법.
  11. 삭제
  12. 제 10 항에 있어서,
    상기 제 1 매칭 장치를 조정하는 단계는, 상기 제 1 매칭 장치의 스위치를 폐쇄 위치로 설정하는 단계 및 상기 상부 전극을 상기 플로팅 상태로 설정하기 위해 상기 프로세싱 챔버의 동작 주파수와 병렬 공진하도록 상기 제 1 매칭 장치의 인덕터와 가변 캐패시터를 튜닝하는 단계를 포함하는, 에칭율을 제어하기 위한 방법.
  13. 제 10 항에 있어서,
    상기 제 2 매칭 장치를 조정하는 단계는, 상기 제 2 매칭 장치의 스위치를 개방 위치로 설정하는 단계 및 상기 기판의 상기 상부 에지 상의 에칭을 제어하기 위해 상기 프로세싱 챔버의 동작 주파수와 직렬 공진하도록 상기 제 2 매칭 장치의 인덕터와 가변 캐패시터를 설정하는 단계를 포함하는, 에칭율을 제어하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 제 2 매칭 장치를 조정하는 단계는, 상기 기판의 상기 상부 에지 상의 에칭을 감소시키기 위해 상기 프로세싱 챔버의 상기 동작 주파수와 병렬 공진하도록 상기 제 2 매칭 장치의 상기 인덕터와 상기 가변 캐패시터를 설정하는 단계를 포함하는, 에칭율을 제어하기 위한 방법.
  15. 제 13 항에 있어서,
    상기 제 2 매칭 장치를 조정하는 단계는, 상기 프로세싱 챔버의 상기 동작 주파수에서 상기 전류의 공진을 오프셋시킴으로써 상기 제 1 플라즈마를 특정 에칭율로 튜닝하는 단계를 포함하는, 에칭율을 제어하기 위한 방법.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 제 10 항에 있어서,
    상기 제 3 매칭 장치를 조정하는 단계는, 상기 프로세싱 챔버의 상기 동작 주파수에서 상기 전류의 공진을 오프셋시킴으로써 상기 제 2 플라즈마를 특정 에칭율로 튜닝하는 단계를 포함하는, 에칭율을 제어하기 위한 방법.
  20. 플라즈마 프로세싱 시스템 내에서 챔버 컨디셔닝을 수행하기 위한 방법으로서,
    매칭 장치를 제공하는 단계로서, 상기 매칭 장치는 상부 전극에 커플링되고, 적어도 제 1 스위치 및 제 2 스위치를 포함하는, 상기 매칭 장치를 제공하는 단계;
    상기 제 1 스위치를 개방 위치로 설정하는 단계;
    상기 제 2 스위치를 폐쇄 위치로 설정하는 단계;
    전원을 프로세싱 챔버 내로 유입시키는 단계;
    가스 혼합물을 상기 프로세싱 챔버 내로 유입시키는 단계; 및
    상기 상부 전극과 하부 전극 사이에 플라즈마를 생성하는 단계를 포함하며,
    상기 플라즈마는 상기 프로세싱 챔버 내의 부산물들을 제거하도록 구성되고,
    상기 매칭 장치는 기판의 하부 에지 상에 형성된 제 2 플라즈마를 조작하기 위해 하부 링 전극을 통해 흐르는 전류를 적어도 제어하도록 구성되고, 상기 제 2 플라즈마는 상기 기판의 상기 하부 에지의 일부를 적어도 에칭하도록 구성되고,
    상기 매칭 장치를 조정하는 단계는 상기 기판의 상기 하부 에지 상에서 에칭을 제어하기 위해 상기 프로세싱 챔버의 동작 주파수와 직렬 공진하는 캐패시터 및 인덕터를 설정하는 단계 및 스위치를 개방 위치로 설정하는 단계를 포함하고,
    상기 매칭 장치를 조정하는 단계는 상기 기판의 상기 하부 에지 상에서 에칭을 감소시키기 위해 상기 프로세싱 챔버의 상기 동작 주파수와 병렬 공진하는 상기 캐패시터 및 상기 인덕터를 설정하는 단계를 포함하고,
    상기 상부 전극은 연장부를 포함하고, 상기 연장부는 상기 상부 전극의 이동가능한 컴포넌트이며,
    상기 상부 전극의 상기 연장부는 상기 기판 및 상기 상부 전극의 상기 연장부 사이의 갭을 감소시키기 위해 상기 플라즈마 프로세싱 동안 낮춰지며,
    상기 상부 전극의 상기 연장부는 상기 기판을 접촉하지 않고, 상기 갭은 플라즈마를 유지할 수 없는, 챔버 컨디셔닝을 수행하기 위한 방법.
KR1020127005569A 2009-09-02 2010-08-26 플라즈마 프로세싱 시스템 내의 플라즈마 한정을 조작하기 위한 장치들 및 그 방법들 KR101743313B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/552,474 US9275838B2 (en) 2009-09-02 2009-09-02 Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
US12/552,474 2009-09-02
PCT/US2010/046790 WO2011028600A2 (en) 2009-09-02 2010-08-26 Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof

Publications (2)

Publication Number Publication Date
KR20120080166A KR20120080166A (ko) 2012-07-16
KR101743313B1 true KR101743313B1 (ko) 2017-06-02

Family

ID=43623310

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127005569A KR101743313B1 (ko) 2009-09-02 2010-08-26 플라즈마 프로세싱 시스템 내의 플라즈마 한정을 조작하기 위한 장치들 및 그 방법들

Country Status (7)

Country Link
US (2) US9275838B2 (ko)
JP (1) JP5788388B2 (ko)
KR (1) KR101743313B1 (ko)
CN (1) CN102763197B (ko)
SG (2) SG178375A1 (ko)
TW (1) TWI515787B (ko)
WO (1) WO2011028600A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210071066A (ko) * 2018-11-20 2021-06-15 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 에칭 균일도 조절 장치 및 방법

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008052216B3 (de) * 2008-10-17 2010-05-20 Johann Wolfgang Goethe-Universität Plasma-Induktionsschalter und Verfahren zum Schalten hoher Spannungen
US9564285B2 (en) * 2013-07-15 2017-02-07 Lam Research Corporation Hybrid feature etching and bevel etching systems
US10937634B2 (en) 2013-10-04 2021-03-02 Lam Research Corporation Tunable upper plasma-exclusion-zone ring for a bevel etcher
JP6539113B2 (ja) * 2015-05-28 2019-07-03 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10128082B2 (en) * 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US9954508B2 (en) * 2015-10-26 2018-04-24 Lam Research Corporation Multiple-output radiofrequency matching module and associated methods
CN107301941B (zh) 2016-04-14 2019-04-23 北京北方华创微电子装备有限公司 等离子体处理设备及其操作方法
US9978621B1 (en) * 2016-11-14 2018-05-22 Applied Materials, Inc. Selective etch rate monitor
CN110416049B (zh) * 2018-04-28 2022-02-11 中微半导体设备(上海)股份有限公司 可调节边缘射频等离子体分布的ccp刻蚀装置及其方法
CN111276381A (zh) * 2018-12-04 2020-06-12 江苏鲁汶仪器有限公司 一种调节晶圆刻蚀均匀性的装置和方法
CN112103163B (zh) * 2019-06-17 2022-06-17 北京北方华创微电子装备有限公司 下电极装置及相关等离子体系统
CN114762079A (zh) 2019-12-02 2022-07-15 朗姆研究公司 射频辅助等离子体生成中的阻抗变换
KR20220139355A (ko) * 2020-02-10 2022-10-14 램 리써치 코포레이션 프로세스 챔버의 입력 신호 전도체들로부터 rf 신호들 디커플링
US11994542B2 (en) 2020-03-27 2024-05-28 Lam Research Corporation RF signal parameter measurement in an integrated circuit fabrication chamber
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
WO2008109239A1 (en) * 2007-03-05 2008-09-12 Lam Research Corporation Edge electrodes with variable power

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4392932A (en) * 1981-11-12 1983-07-12 Varian Associates, Inc. Method for obtaining uniform etch by modulating bias on extension member around radio frequency etch table
JPH0661185A (ja) * 1992-08-06 1994-03-04 Tokyo Electron Ltd プラズマ処理装置
JPH06275222A (ja) 1993-03-17 1994-09-30 Nissin Electric Co Ltd 複数電極による自己バイアス制御装置
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US6677711B2 (en) * 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
WO2003029513A1 (en) * 2001-09-28 2003-04-10 Tokyo Electron Limited Hybrid plasma processing apparatus
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
JP4704087B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US7575638B2 (en) * 2007-02-02 2009-08-18 Lam Research Corporation Apparatus for defining regions of process exclusion and process performance in a process chamber
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US7858898B2 (en) * 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US20090230089A1 (en) * 2008-03-13 2009-09-17 Kallol Bera Electrical control of plasma uniformity using external circuit

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
WO2008109239A1 (en) * 2007-03-05 2008-09-12 Lam Research Corporation Edge electrodes with variable power

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210071066A (ko) * 2018-11-20 2021-06-15 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 에칭 균일도 조절 장치 및 방법
KR102589701B1 (ko) 2018-11-20 2023-10-16 장쑤 루벤 인스트루먼츠 컴퍼니 리미티드 에칭 균일도 조절 장치 및 방법

Also Published As

Publication number Publication date
JP2013504203A (ja) 2013-02-04
JP5788388B2 (ja) 2015-09-30
TWI515787B (zh) 2016-01-01
WO2011028600A3 (en) 2011-06-23
KR20120080166A (ko) 2012-07-16
US20160126070A1 (en) 2016-05-05
SG178375A1 (en) 2012-03-29
WO2011028600A2 (en) 2011-03-10
SG10201405258YA (en) 2014-10-30
US10217610B2 (en) 2019-02-26
TW201130039A (en) 2011-09-01
US9275838B2 (en) 2016-03-01
US20110049101A1 (en) 2011-03-03
CN102763197A (zh) 2012-10-31
CN102763197B (zh) 2016-08-24

Similar Documents

Publication Publication Date Title
KR101743313B1 (ko) 플라즈마 프로세싱 시스템 내의 플라즈마 한정을 조작하기 위한 장치들 및 그 방법들
KR100926380B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR102153141B1 (ko) 플라즈마 균일성 튜닝을 위한 멀티-무선주파수 임피던스 제어
CN101557885B (zh) 具有多个电容性和电感性电源的等离子处理反应器
KR101495368B1 (ko) Rf-전력공급 전극의 dc 전압 제어 방법 및 장치
KR100777151B1 (ko) 하이브리드형 플라즈마 반응장치
JP5554705B2 (ja) 基材処理のための方法および装置
TWI517764B (zh) 多頻電容耦合電漿蝕刻腔室
JP5548457B2 (ja) 電力が可変であるエッジ電極
TWI386111B (zh) 電漿的磁侷限設備及方法
US20060196605A1 (en) Method and apparatus for plasma processing
JP6120527B2 (ja) プラズマ処理方法
KR20130023390A (ko) 전극 피스의 독립적 움직임을 이용한 에칭 레이트 균일성 개선
TW201643932A (zh) 電漿產生設備
EP1118115A1 (en) Techniques for forming contact holes through to a silicon layer of a substrate
US20080314408A1 (en) Plasma etching apparatus and chamber cleaning method using the same
JP2009164365A (ja) 半導体装置の製造方法及び基板処理装置
CN112201557A (zh) 基板处理装置和方法
CN108140531B (zh) 基板处理设备及方法
CN111937114A (zh) 用于在加工等离子体时控制离子能量分布的装置和方法
TWI796727B (zh) 電漿處理裝置及電漿處理方法
JP2004335523A (ja) エッチング方法及びrie装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant