KR101446225B1 - Transfer chamber for vacuum processing system - Google Patents

Transfer chamber for vacuum processing system Download PDF

Info

Publication number
KR101446225B1
KR101446225B1 KR1020080067743A KR20080067743A KR101446225B1 KR 101446225 B1 KR101446225 B1 KR 101446225B1 KR 1020080067743 A KR1020080067743 A KR 1020080067743A KR 20080067743 A KR20080067743 A KR 20080067743A KR 101446225 B1 KR101446225 B1 KR 101446225B1
Authority
KR
South Korea
Prior art keywords
surface portion
curved
transfer chamber
processing system
chamber
Prior art date
Application number
KR1020080067743A
Other languages
Korean (ko)
Other versions
KR20100007216A (en
Inventor
안성일
김재근
Original Assignee
주식회사 원익아이피에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 원익아이피에스 filed Critical 주식회사 원익아이피에스
Priority to KR1020080067743A priority Critical patent/KR101446225B1/en
Publication of KR20100007216A publication Critical patent/KR20100007216A/en
Application granted granted Critical
Publication of KR101446225B1 publication Critical patent/KR101446225B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

본 발명은 진공압에서 웨이퍼, LCD 패널용 유리기판 등의 기판을 처리할 수 있는 진공처리시스템의 반송챔버에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a transfer chamber of a vacuum processing system capable of processing a wafer, a substrate such as a glass substrate for an LCD panel at a vacuum pressure.

특히 본 발명에 따른 진공처리시스템의 반송챔버는 저면부의 적어도 일부가 원기둥의 옆면 일부인 곡면형상으로 형성됨으로써 평면형상보다 더 큰 진공압을 견딜 수 있으므로 동일한 진공압을 견디기 위한 저면부의 두께를 줄일 수 있어 제조비를 절감할 수 있으며, 그 자중이 감소하게 되므로 운송이 용이한 이점이 있다.Particularly, since the conveying chamber of the vacuum processing system according to the present invention is formed in a curved shape which is a part of the side surface of the cylinder, at least a part of the bottom surface portion can withstand a larger vacuum pressure than a plane shape, so that the thickness of the bottom surface for enduring the same vacuum pressure can be reduced The manufacturing cost can be reduced, and the self weight can be reduced.

진공처리시스템, 반송챔버, 기판, 플라즈마, 진공압 Vacuum processing system, transfer chamber, substrate, plasma, vacuum pressure

Description

진공처리시스템의 반송챔버{Transfer chamber for vacuum processing system}[0001] The present invention relates to a transfer chamber for a vacuum processing system,

본 발명은 진공처리시스템에 관한 것으로서, 보다 상세하게는 웨이퍼, LCD 패널용 유리기판 등의 기판을 처리하는 진공처리장치와 기판을 교환하는 진공처리시스템의 반송챔버에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a vacuum processing system, and more particularly, to a vacuum processing system for processing a substrate such as a wafer, a glass substrate for an LCD panel, and a transfer chamber of a vacuum processing system for exchanging a substrate.

진공처리시스템은 로드락챔버와; 로드락챔버로부터 기판을 반송받는 반송챔버와; 반송챔버와 결합되어 진공처리를 수행하는 하나 이상의 공정챔버를 포함하여 구성된다.The vacuum processing system includes a load lock chamber; A transfer chamber for transferring the substrate from the load lock chamber; And one or more process chambers coupled to the transfer chamber to perform a vacuum process.

그리고 상기 반송챔버 내에는 반송로봇이 설치되고, 상기 반송로봇들은 공정챔버 및 로드락챔버에서 기판의 인출 및 인입을 수행하게 된다.In the transfer chamber, a transfer robot is provided, and the transfer robots perform the process of drawing out and pulling in the substrate from the process chamber and the load lock chamber.

한편 최근에는 기판의 크기가 점점 대형화되는 추세이기 때문에 반송챔버도 대형화되어 반송챔버의 제작비 상승과 반송챔버의 운송이 용이하지 않은 문제점을 시급히 해결해야될 실정이다.On the other hand, since the size of the substrate is increasingly becoming larger in recent years, the size of the transfer chamber is also enlarged, and the problem that the production cost of the transfer chamber is increased and the transfer of the transfer chamber is not easy is urgently needed.

그리고, 상기 반송챔버가 대형화됨에 따라 반송챔버의 진공압 형성 시 대형화된 반송챔버의 진공압이 증가하고, 증가된 진공압은 반송챔버를 이루는 저면부에 가해지는 부하(load)를 증가시켜, 결과적으로 증가된 부하를 견딜 수 있도록 반송챔버의 저면부의 두께도 증가하고 있다.As the transport chamber is enlarged, the vacuum pressure of the enlarged transport chamber increases when vacuum pressure is applied to the transport chamber, and the increased vacuum pressure increases the load applied to the bottom surface of the transport chamber, The thickness of the bottom portion of the transfer chamber is also increased to withstand the increased load.

따라서 종래의 진공처리시스템의 반송챔버는 저면부의 두께 증가에 따른 제작비 상승 및 하중의 증가에 따른 운송이 곤란한 문제점이 있다.Accordingly, there is a problem in that the transportation chamber of the conventional vacuum processing system is difficult to transport due to an increase in manufacturing cost and an increase in load due to an increase in the thickness of the bottom portion.

본 발명은 상기와 같은 문제점을 해결하기 위하여 안출된 것으로서, 저면부의 적어도 일부를 곡면형상으로 형성함으로써, 동일한 조건 하에서 저면부의 두께를 현저하게 줄일 수 있으면서 저면부의 강도는 충분히 확보될 수 있는 진공처리시스템의 반송챔버를 제공함을 그 목적으로 한다.SUMMARY OF THE INVENTION It is an object of the present invention to provide a vacuum processing system capable of sufficiently reducing the thickness of the bottom portion under the same condition and sufficiently securing the strength of the bottom portion by forming at least a part of the bottom portion in a curved shape, And to provide a delivery chamber of the present invention.

또한 본 발명은 저면부의 가능한 많은 부분, 특히 저면부의 전체가 곡면형상으로 형성될 수 있는 진공처리시스템의 반송챔버를 제공하는 데 다른 목적이 있다.Another object of the present invention is to provide a transfer chamber of a vacuum processing system in which as much of the bottom surface portion as possible, in particular, the entire bottom surface portion can be formed in a curved shape.

또한 본 발명은 저면부를 곡면형상으로 형성하되, 저면부가 용이하게 제조될 수 있는 진공처리시스템의 반송챔버를 제공하는 데 또 다른 목적이 있다.Another object of the present invention is to provide a transfer chamber of a vacuum processing system in which the bottom portion is formed in a curved shape, and the bottom portion can be easily manufactured.

상기한 과제를 해결하기 위해 본 발명은 저면부의 적어도 일부가 원기둥의 옆면 일부인 곡면형상으로 형성되고, 기판을 반송하는 반송로봇이 설치되는 것을 특징으로 하는 진공처리시스템의 반송챔버를 제시한다.In order to solve the above problems, the present invention proposes a transfer chamber of a vacuum processing system, wherein at least a part of the bottom surface portion is formed in a curved shape as a part of a side surface of a cylinder, and a transfer robot for transferring the substrate is provided.

상기 저면부의 일부는 상기 곡면형상으로 형성되고, 그 나머지는 평면형상으로 형성되는 것을 특징으로 할 수 있다.A part of the bottom surface portion is formed in the curved surface shape, and the remainder is formed in a planar shape.

상기 저면부의 평면형상부분은 상기 저면부의 곡면형상부분의 상측과 연결되는 것을 특징으로 할 수 있다.And the planar portion of the bottom portion is connected to the upper portion of the curved portion of the bottom portion.

상기 저면부의 곡면형상은 하나 이상의 곡률로 형성되는 것을 특징으로 할 수 있다.The curved surface shape of the bottom portion may be formed of one or more curvatures.

상기 저면부의 곡면형상부분은 복수 개이고, 서로 평행한 것을 특징으로 할 수 있다.And a plurality of curved surface portions of the bottom portion are parallel to each other.

상기 저면부의 곡면형상부분은 복수 개이고, 적어도 일부가 교차되는 것을 특징으로 할 수 있다.And a plurality of curved surface portions of the bottom surface portion are formed, and at least a part of the curved surface portions intersect with each other.

상기 반송로봇은 상기 저면부의 곡면형상부분에 설치되는 것을 특징으로 할 수 있다.And the conveying robot is installed on the curved surface portion of the bottom surface portion.

상기 저면부의 곡면형상부분에는 상기 반송로봇을 지지하는 로봇 지지부가 설치되는 것을 특징으로 할 수 있다.And a curved surface portion of the bottom surface portion is provided with a robot supporting portion for supporting the transportation robot.

상기 반송로봇은 상기 저면부의 평면형상부분에 의해 지지되도록 설치되는 것을 특징으로 할 수 있다.And the conveying robot is installed to be supported by the planar portion of the bottom surface portion.

상기 저면부의 외측면에는 상기 저면부의 곡면형상부분과 대응되는 부분에 복수 개의 보강리브들이 돌출 형성되는 것을 특징으로 할 수 있다.And a plurality of reinforcing ribs protruding from the outer surface of the bottom surface portion at portions corresponding to the curved surface portions of the bottom surface portion.

본 발명에 따른 진공처리시스템의 반송챔버는 저면부의 적어도 일부가 두께 대비 강도가 우수한 곡면형상으로 형성됨으로써, 저면부에 가해지는 부하가 동일한 조건 하에서 저면부의 두께를 최소화할 수 있는 이점을 가질 수 있다.The transfer chamber of the vacuum processing system according to the present invention can be advantageous in that at least a part of the bottom portion is formed in a curved shape with excellent strength against thickness so that the thickness of the bottom portion can be minimized under the same load applied to the bottom portion .

또한 본 발명에 따른 진공처리시스템의 반송챔버는, 저면부의 곡면형상이 원기둥의 옆면 일부인 형상으로 형성됨으로써, 반송챔버의 저면의 일부가 돔형상을 이루는 종래의 돔구조에 비하여 저면부의 제조가 용이하다는 이점을 가질 수 있다.Further, since the conveying chamber of the vacuum processing system according to the present invention is formed in a shape in which the curved shape of the bottom face portion is a part of the side face of the cylinder, it is easy to manufacture the bottom face portion as compared with the conventional dome structure in which a part of the bottom face of the conveyance chamber forms a dome- It can have an advantage.

또한 본 발명에 따른 진공처리시스템의 반송챔버는, 저면부의 곡면형상이 원 기둥의 옆면 일부인 형상으로 형성됨으로써, 저면부 전체가 곡면으로 형성될 수 있는 이점을 가질 수 있다.Further, the conveying chamber of the vacuum processing system according to the present invention may have the advantage that the curved surface of the bottom surface portion is formed as a part of the side surface of the circular column, whereby the entire bottom surface portion can be formed into a curved surface.

또한 본 발명에 따른 진공처리시스템의 반송챔버는 저면부의 일부는 곡면형상으로 형성되고 그 나머지는 평면형상으로 형성됨으로써, 제조가 용이할 뿐만 아니라 저면부와 측면부의 결합이 보다 용이한 이점을 가질 수 있다.In addition, a part of the bottom portion of the transfer chamber of the vacuum processing system according to the present invention is formed in a curved shape, and the remainder is formed in a planar shape, thereby making it easy to manufacture and also to have a merit of combining the bottom portion and the side portion have.

또한 본 발명에 따른 진공처리시스템의 반송챔버는 저면부가 곡면형상부분을 기준으로 복수 개로 분할 형성됨으로써, 저면부의 제조 및 운반이 보다 용이해질 수 있는 이점을 가질 수 있다.In addition, the delivery chamber of the vacuum processing system according to the present invention may have the advantage that the bottom portion is divided into a plurality of portions based on the curved portion, thereby making it easier to manufacture and transport the bottom portion.

또한 본 발명에 따른 진공처리시스템의 반송챔버는 곡면형상부분과 대응되는 저면부의 외측면에, 보강리브들이 돌출 형성됨으로써, 진공압을 견딜 수 있도록 구조적으로 보강할 수 있는 이점을 가질 수 있다. Further, the conveying chamber of the vacuum processing system according to the present invention may have the advantage that the reinforcing ribs are protruded on the outer surface of the bottom surface portion corresponding to the curved surface portion so that the conveying chamber can be structurally reinforced so as to withstand the vacuum pressure.

이하, 도 1 내지 도 3을 참조하여 본 발명의 제1실시예에 따른 진공처리시스템의 반송챔버에 관하여 상세히 설명한다.Hereinafter, the transport chamber of the vacuum processing system according to the first embodiment of the present invention will be described in detail with reference to FIGS. 1 to 3. FIG.

도 1은 본 발명의 제1실시예에 따른 진공처리시스템의 반송챔버의 평단면도이고, 도 2는 도 1의 A-A선에 따른 단면도이고, 도 3은 본 발명의 제1실시예에 따른 진공처리시스템의 반송챔버의 저면부의 사시도이다.2 is a cross-sectional view taken along line AA of FIG. 1, and FIG. 3 is a cross-sectional view of a vacuum processing system according to a first embodiment of the present invention. 1 is a perspective view of a bottom portion of a transfer chamber of the system.

본 발명의 제1실시예에 따른 진공처리시스템의 반송챔버(10)는 기판(2)이 진공 처리되는 공정챔버(50) 및 기판(2)이 적재되는 로드락챔버(60) 사이에서 기판(2)을 반송하도록 구성된다. 이때 진공처리시스템이 로드락챔버(60)를 구비하고 있지 않은 경우 상기 반송챔버(10)는 대기압 상태 및 소정의 진공압 상태로 번갈아 가면서 압력이 변화되어 외부로부터 기판(2)을 공급받아 공정챔버(50)와 기판(2)을 교환하도록 구성될 수 있다.The transfer chamber 10 of the vacuum processing system according to the first embodiment of the present invention is configured to transfer the substrate 2 between the process chamber 50 where the substrate 2 is subjected to vacuum processing and the load lock chamber 60 where the substrate 2 is loaded 2). At this time, when the vacuum processing system is not provided with the load lock chamber 60, the transfer chamber 10 alternately changes from the atmospheric pressure state to the predetermined vacuum pressure state, (50) and the substrate (2).

상기 반송챔버(10)는 반송로봇(4)이 설치되며, 공정챔버 및 로드락챔버들과 연결될 수 있도록 게이트밸브(미도시)에 의하여 개폐되는 게이트(12)가 형성된다. 그리고 상기 반송챔버(10)는 그 하측에 설치되는 지지프레임(14)에 의해 지지되어 설치될 수 있다.The transfer chamber 10 is provided with a transfer robot 4 and is formed with a gate 12 opened and closed by a gate valve (not shown) so as to be connected to the process chamber and the load lock chambers. The transfer chamber 10 may be supported by a support frame 14 disposed below the transfer chamber 10.

이러한 반송챔버(10)는 지지프레임(14)에 의해 지지되고 반송로봇(4)이 설치되는 저면부(20)와, 저면부(20)의 가장자리에 결합되고 게이트 밸브(미도시) 등에 의해 개폐되는 게이트(12)가 형성되는 측면부(30)와, 측면부(30)의 상측에 결합되는 상면부(40)를 포함하여 구성될 수 있다.The transfer chamber 10 is supported by the support frame 14 and is provided with a bottom surface portion 20 on which the transfer robot 4 is installed and a control portion 20 which is coupled to the edge of the bottom surface portion 20, And a top surface portion 40 coupled to the top of the side surface portion 30. The top surface portion 40 is formed on the top surface of the side surface portion 30,

상기 측면부(30)는 어떠한 구조이든 무방하나, 사각형, 육각형, 팔각형 등 각형 구조로 형성되는 것이 제조가 용이하고, 공정챔버(50) 및 로드락챔버(60)와 용이하고 밀접하게 연결될 수 있어 바람직하다.The side portion 30 may have any structure, but may be formed in a square, hexagonal, or octagonal square shape. Since the side portion 30 can be easily manufactured and easily and closely connected to the process chamber 50 and the load lock chamber 60, Do.

특히 상기 저면부(20)는 반송챔버(10)의 진공압이 형성될 때, 반송챔버(10)의 내부에 작용하는 응력이 집중되지 않게 함으로써 두께 대비 강도가 우수하도록, 적어도 일부가 곡면형상으로 형성된다.Particularly, when the vacuum pressure of the transfer chamber 10 is formed, the bottom surface portion 20 is formed so that at least a part of the bottom surface portion 20 is curved so as not to concentrate the stress acting inside the transfer chamber 10, .

특히 상기 저면부(20)의 곡면형상부분(22)은 원기둥의 옆면 일부형상이고 하측을 향해 볼록한 형상으로 형성되는 것이 바람직하다. Particularly, it is preferable that the curved surface portion 22 of the bottom surface portion 20 is formed in a part of the side surface of the cylinder and convex toward the lower side.

상기 저면부(20)의 곡면형상부분(22)은 저면부(20)의 일부에만 형성될 수 있 다. 이때, 상기 저면부(20)의 곡면형상부분(22)은 반송챔버(10)의 내부에 작용하는 진공압을 최대한 분산시킬 수 있도록, 적어도 저면부(20)의 중앙부에 형성되는 것이 바람직하다. 상기와 같은 목적을 위해, 상기 저면부(20)가 전반적으로 곡면형상으로 형성되는 것이 바람직하다. The curved surface portion 22 of the bottom surface portion 20 may be formed only on a part of the bottom surface portion 20. [ At this time, the curved surface portion 22 of the bottom surface portion 20 is preferably formed at least at the central portion of the bottom surface portion 20 so as to disperse the vacuum pressure acting inside the transportation chamber 10 as much as possible. For the same purpose, it is preferable that the bottom portion 20 is formed in a curved shape as a whole.

한편, 상기 저면부(20)의 곡면형상부분(22)은 본 실시예에 한정되지 않고 반송챔버(10)의 크기, 진공압 등의 설계 조건 등에 따라 다양하게 구성될 수 있다.The curved surface portion 22 of the bottom portion 20 is not limited to the present embodiment and may be variously configured according to the design conditions such as the size of the transport chamber 10 and the vacuum pressure.

또한 상기 저면부(20)의 곡면형상부분(22)은 반송챔버(10)의 내부에 작용하는 진공압을 최대한 분산시킬 수 있도록, 반경이 일정한 원의 일부 형상처럼 하나의 곡률로 형성될 수 있다. 이때 상기 저면부(20)의 곡면형상부분(22)에 적용되는 곡률은 특정수치로 한정되지 않고 설계조건 등에 따라 결정될 수 있다. 물론, 상기 저면부(20)의 곡면형상부분(22)은 본 실시예에 한정되지 않고 설계 조건 등에 따라서 둘 이상의 곡률로 형성될 수 있다.The curved surface portion 22 of the bottom surface portion 20 may be formed with a single curvature as a part of a circle having a constant radius so as to disperse the vacuum pressure acting inside the transfer chamber 10 as much as possible . At this time, the curvature applied to the curved surface portion 22 of the bottom surface portion 20 is not limited to a specific value but may be determined according to design conditions and the like. Of course, the curved surface portion 22 of the bottom surface portion 20 is not limited to the present embodiment, but may be formed with two or more curvatures depending on design conditions and the like.

상기 저면부(20)의 곡면형상부분(22)에는 상기 반송로봇(4)이 용이하게 설치될 수 있도록, 반송로봇(4)을 지지하는 로봇 지지부(5)가 설치될 수 있다. 이때 상기 저면부(20)의 곡면형상부분(22)에는 로봇 지지부(5)와 대응되는 부분에 반송로봇(4) 구동부의 설치를 위해 로봇용 개구부(20A)가 형성될 수 있다. 상기 저면부(20)의 로봇용 개구부(20A) 가장자리에는 누설 방지 및 로봇 지지부(5)의 설치 용이성 등을 위해, 저면부(20)의 로봇용 개구부(20A)를 둘러싸고 상기 로봇 지지부(5)가 밀착 결합되는 보스(20B)(boss)가 돌출 형성될 수 있다.The curved surface portion 22 of the bottom surface portion 20 may be provided with a robot supporting portion 5 for supporting the carrying robot 4 so that the carrying robot 4 can be easily installed. At this time, the curved surface portion 22 of the bottom surface portion 20 may be provided with a robot opening 20A for installing the driving unit of the transportation robot 4 at a portion corresponding to the robot supporting portion 5. [ The robot supporting portion 5 surrounds the robot opening 20A of the bottom portion 20 for preventing leakage and facilitating the installation of the robot supporting portion 5 at the edge of the robot opening portion 20A of the bottom portion 20, The bosses 20B (bosses) can be protruded.

한편, 상기 저면부(20)는 전체가 곡면형상으로 형성될 수 있지만, 이보다는 일부는 곡면형상으로 형성되고 그 나머지는 수평면과 평행하고 편평한 평면형상으로 형성되는 것이, 실질적으로 용이하게 제조될 수 있고, 상기 측면부(30)와 용이하게 결합될 수 있다는 점에서 더 바람직하다. On the other hand, the bottom portion 20 may be formed in a curved shape as a whole, but the bottom portion 20 may be formed substantially in a curved shape and the other is formed in a flat and flat shape parallel to the horizontal surface. And it is more preferable that it can be easily combined with the side portion 30.

이때, 상기 저면부(20)의 평면형상부분(24)은 본 실시예와 같이 측면부(30)와 용이하게 결합되거나, 반송로봇(4)을 용이하게 지지하거나(도 4 참조), 이외 목적을 위해 저면부(20)의 곡면형상부분(22)의 상측과 연결되는 것이 바람직하다.At this time, the planar portion 24 of the bottom portion 20 can be easily coupled to the side portion 30 as in the present embodiment, or can be easily supported by the carrier robot 4 (see FIG. 4) Is preferably connected to the upper side of the curved surface portion (22) of the bottom surface portion (20).

이와 아울러, 상기 저면부(20)의 외측면에는 두께 대비 강도가 향상될 수 있도록, 저면부(20)의 곡면형상부분(22)과 대응되는 부분에 복수 개의 보강리브(20C)들이 돌출 형성될 수 있다. 상기 복수 개의 보강리브(20C)들은 저면부(20)를 보강할 수 있다면 어떠한 형상으로 형성되든 무방하다.A plurality of reinforcing ribs 20C are formed on the outer surface of the bottom surface portion 20 so as to correspond to the curved surface portion 22 of the bottom surface portion 20 so as to improve the strength against the thickness . The plurality of reinforcing ribs 20C may be formed in any shape as long as they can reinforce the bottom surface portion 20.

상기한 바와 같은 저면부(20)는, 일체형으로 형성될 수도 있지만, 상기 저면부(20)의 제조 및 운송이 용이하도록 복수 개로 분할 형성될 수 있다. 이때 상기 저면부(20)는 제조 용이성 등을 위해 곡면형상부분(22)을 기준으로 분할되는 것이 더 바람직하다. 즉 상기 저면부(20)는 곡면형상이 형성된 제1저면부재, 즉 상기 곡면형상부분(22)과, 상기 제1저면부재(20)와 결합되는 적어도 하나의 제2저면부재, 즉 상기 평면형상부분(24)으로 분할 형성될 수 있다. 여기서, 상기 곡면형상부분(22)은 평면형상부분(24)과의 결합이 용이하고 누설이 방지될 수 있도록, 상기 평면형상부분(24)의 저면에 밀착 결합되는 플랜지(24A)가 형성될 수 있다.The bottom portion 20 may be integrally formed, but may be divided into a plurality of portions so that the bottom portion 20 can be easily manufactured and transported. At this time, it is preferable that the bottom surface portion 20 is divided based on the curved surface portion 22 for ease of manufacturing and the like. That is, the bottom surface portion 20 includes a first bottom surface member formed with a curved surface shape, that is, the curved surface portion 22, at least one second bottom surface member coupled with the first bottom surface member 20, Portion 24 as shown in FIG. The curved surface portion 22 may be formed with a flange 24A which is tightly coupled to the bottom surface of the planar portion 24 so that the curved surface portion 22 can be easily coupled with the planar portion 24 and leakage can be prevented. have.

상기한 저면부(20)의 곡면형상부분(22) 및 평면형상부분(24)은 용접 등의 결합방법에 의해 서로 견고하게 결합되는 것이 바람직하다.It is preferable that the curved surface portion 22 and the planar surface portion 24 of the bottom surface portion 20 are firmly coupled to each other by welding or the like.

도 4a는 돔형구조를 가지는 종래의 반송챔버의 저면부의 저면도이며, 도 4b는 본 발명에 따른 반송챔버의 저면도이다.FIG. 4A is a bottom view of a bottom portion of a conventional transfer chamber having a dome structure, and FIG. 4B is a bottom view of a transfer chamber according to the present invention.

한편 종래의 반송챔버의 일례로서, 종래의 반송챔버는 저면부의 두께를 줄이기 위하여 저면부가 돔형구조를 가지도록 구성될 수 있는데 이러한 돔형구조를 가지는 종래의 반송챔버에 비하여 본 발명은 다음과 같은 이점이 있다.As an example of a conventional transport chamber, a conventional transport chamber may be configured to have a dome-shaped bottom portion to reduce the thickness of the bottom portion. Compared to a conventional transport chamber having such a dome-shaped structure, the present invention has the following advantages have.

먼저 돔형구조를 가지는 종래의 반송챔버의 경우 돔형구조를 형성하는데 제조상 곤란함이 있으나, 원기둥의 옆면구조를 가지는 본 발명은 돔형구조에 비하여 제조가 용이한 이점이 있다.In the case of the conventional transfer chamber having the dome-type structure, it is difficult to manufacture the dome-shaped structure, but the present invention having the cylindrical side-wall structure is advantageous in manufacturing compared to the dome-shaped structure.

한편 돔형구조를 가지는 종래의 반송챔버를 보면 저면부의 곡면형상부분이 돔의 일부 형상인 경우로서, 도 4a의 'A'로 지시된 영역만 곡면형상으로 형성할 수 있을 뿐이며, 'B'로 지시된 저면부의 모서리 영역은 곡면형상으로 형성될 수 없다.On the other hand, in the conventional transfer chamber having the dome-shaped structure, the curved surface portion of the bottom surface portion is a part of the dome, only the area indicated by 'A' in FIG. 4A can be formed into a curved surface shape, The edge area of the bottom surface portion can not be formed into a curved shape.

이에 대하여 본 발명은 도 4b에 도시된 바와 같이, 저면부의 곡면형상부분이 원기둥의 옆면 일부 형상으로 형성됨으로써, 상기 측면부가 각형 구조일지라도 도 4b의 'C, D, E'로 지시된 바와 같이 상기 저면부의 전 영역이 곡면형상으로 형성될 수 있다. 이 결과 상기 저면부의 두께를 전반적으로 최소화할 수 있다. 4B, since the curved surface portion of the bottom surface portion is formed as a part of the side surface of the cylinder, even if the side surface portion is a rectangular shape, as shown by 'C, D, E' The entire area of the bottom surface portion can be formed in a curved shape. As a result, the thickness of the bottom portion can be minimized overall.

이하, 도 5 및 도 6를 참조하여 본 발명의 제2실시예에 따른 진공처리시스템의 반송챔버에 관하여 상세히 설명한다. 설명함에 앞서 본 발명의 제2실시예는 반송챔버의 저면부 형상을 제외하고는 상술한 본 발명의 제1실시예와 동일하여 중복 설명을 생략하므로 상술한 본 발명의 제1실시예를 참조한다.Hereinafter, the transport chamber of the vacuum processing system according to the second embodiment of the present invention will be described in detail with reference to FIGS. 5 and 6. FIG. The second embodiment of the present invention is the same as the first embodiment of the present invention except for the shape of the bottom part of the transfer chamber and thus the duplicated description will be omitted so that the first embodiment of the present invention described above is referred to .

도 5는 본 발명의 제2실시예에 따른 진공처리시스템의 반송챔버의 평단면도 이고, 도 6는 도 5의 B-B선에 따른 단면도이다.FIG. 5 is a plan sectional view of the transfer chamber of the vacuum processing system according to the second embodiment of the present invention, and FIG. 6 is a sectional view taken along line B-B of FIG.

본 발명의 제2실시예에 따른 반송챔버(10)의 저면부(120)는 도 5 및 도 6에 도시된 바와 같이, 곡면형상부분(122)을 복수 개 가진다. The bottom portion 120 of the transfer chamber 10 according to the second embodiment of the present invention has a plurality of curved portions 122, as shown in Figs.

상기 복수 개의 곡면형상부분(122)은 반송챔버(10)의 크기, 진공압 등의 설계 조건 등에 따라, 저면부(120)의 중앙에 한정되어 위치되지 않으며, 본 실시예와 같이 서로 평행하거나 적어도 일부가 교차(예를 들면 '+'자 형태)되거나 이외 다양한 형태로 구성될 수 있다.The plurality of curved portions 122 are not limited at the center of the bottom portion 120 depending on the size of the transport chamber 10 and the design conditions such as the vacuum pressure. Some may intersect (eg, '+') or may be composed of various other forms.

상기 반송챔버(10)의 저면부(120)는 복수 개의 곡면형상부분(122)이 서로 평행하게 형성되는 경우, 복수 개의 곡면형상부분(122) 사이에 형성되는 적어도 하나의 평면형상부분(124)을 더 포함할 수 있다.The bottom surface portion 120 of the transfer chamber 10 has at least one planar portion 124 formed between the plurality of curved portions 122 when a plurality of curved portions 122 are formed parallel to each other, As shown in FIG.

이때, 상기 복수 개의 곡면형상부분(122)과 적어도 하나의 평면형상부분(124)은, 평면형상부분(124)에 의해 반송로봇(4)을 지지함으로써, 본 발명의 제1실시예에서 상술한 로봇 지지부가 필요하지 않도록 구성될 수 있다.At this time, the plurality of curved portions 122 and the at least one planar portion 124 support the conveying robot 4 by the planar portion 124, So that the robot support portion is not required.

이때, 상기 저면부(120)에 형성되는 반송로봇(4)의 구동부의 설치를 위한 로봇용 개구부(120A)는, 본 실시예와 같이 반송로봇(4)의 2개의 평면형상부분(124)에 걸쳐져 지지된 경우, 2개의 평면형상부분(124) 사이에 위치된 곡면형상부분(122)에 형성되는 것이 바람직하다. 물론, 최적 설계를 위해 상기 로봇용 개구부(120A)는 이외 곡면형상부분(122)에 형성되는 것도 가능하고, 상기 평면형상부분(124)에 형성되는 것도 가능하다.At this time, the robot opening 120A for installing the driving unit of the carrier robot 4 formed on the bottom part 120 is formed on the two planar parts 124 of the carrier robot 4 as in this embodiment It is preferable to be formed in the curved portion 122 located between the two planar portions 124 when it is supported. Of course, for optimal design, the opening 120A for the robot may be formed on the curved surface portion 122, or may be formed on the planar portion 124. FIG.

이상은 본 발명에 의해 구현될 수 있는 바람직한 실시예의 일부에 관하여 설명한 것에 불과하므로, 주지된 바와 같이 본 발명의 범위는 위의 실시예에 한정되어 해석되어서는 안 될 것이며, 위에서 설명된 본 발명의 기술적 사상과 그 근본을 함께 하는 기술적 사상은 모두 본 발명의 범위에 포함된다고 할 것이다. It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit or scope of the invention as defined in the appended claims. It is to be understood that both the technical idea and the technical spirit of the invention are included in the scope of the present invention.

도 1은 본 발명의 제1실시예에 따른 진공처리시스템의 반송챔버의 평단면도이다.1 is a plan sectional view of a transfer chamber of a vacuum processing system according to a first embodiment of the present invention.

도 2는 도 1의 A-A선에 따른 단면도이다.2 is a cross-sectional view taken along the line A-A in Fig.

도 3은 본 발명의 제1실시예에 따른 진공처리시스템의 반송챔버의 저면부의 사시도이다.3 is a perspective view of a bottom portion of the transfer chamber of the vacuum processing system according to the first embodiment of the present invention.

도 4의 (a)는 돔형구조를 가지는 종래의 반송챔버의 저면부의 저면도이며, 도 4의 (b)는 본 발명에 따른 반송챔버의 저면도이다.Fig. 4 (a) is a bottom view of a bottom portion of a conventional transfer chamber having a dome-shaped structure, and Fig. 4 (b) is a bottom view of the transfer chamber according to the present invention.

도 5는 본 발명의 제2실시예에 따른 진공처리시스템의 반송챔버의 평단면도이다.5 is a plan sectional view of the transfer chamber of the vacuum processing system according to the second embodiment of the present invention.

도 6은 도 2와 대응되는 도면으로서, 본 발명의 제2실시예에 따른 진공처리시스템의 반송챔버의 측단면도이다.Fig. 6 is a cross-sectional view of the transfer chamber of the vacuum processing system according to the second embodiment of the present invention, corresponding to Fig. 2;

<도면의 주요 부분에 관한 부호의 설명>DESCRIPTION OF THE REFERENCE NUMERALS

2; 기판 4; 반송로봇2; Substrate 4; Conveying robot

5; 로봇 지지부 6; 반송로봇 구동계5; A robot supporting portion 6; Conveying robot driving system

10; 반송챔버 12; 게이트10; A transfer chamber 12; gate

20; 저면부 20C; 보강리브20; A bottom surface portion 20C; Reinforcing rib

22; 곡면형상부분 24; 평면형상부분22; A curved surface portion 24; Planar portion

30; 측면부 40; 상면부30; A side portion 40; The upper surface portion

Claims (10)

삭제delete 저면부와, 상기 저면부의 가장자리에 결합되고 게이트 밸브에 의해 개폐되는 기판이 통과하는 복수의 게이트들이 형성되는 측면부와, 상기 측면부의 상측에 결합되는 상면부를 포함하며,A bottom portion, a side portion coupled to an edge of the bottom portion and having a plurality of gates through which the substrate is opened and closed by the gate valve, and a top portion coupled to the top side of the side portion, 상기 저면부는, 적어도 일부가 원기둥의 옆면 일부인 곡면형상을 가지며 하측을 향하여 볼록한 곡면형상부분이 형성되고,Wherein the bottom portion has a curved surface portion at least a part of the side surface of the cylinder and a convex curved surface portion toward the bottom side, 상기 저면부는, 상기 복수의 게이트들을 통하여 기판을 반송하는 반송로봇이 설치되며,Wherein the bottom surface portion is provided with a transport robot for transporting the substrate through the plurality of gates, 상기 저면부는, 일부가 상기 곡면형상부분으로 형성되고, 그 나머지는 수평면과 평행하고 편평한 평면형상으로 형성되며,Wherein the bottom portion is formed in a curved shape portion in a part thereof and the other is formed in a flat and flat shape parallel to the horizontal plane, 상기 저면부의 평면형상 부분은 상기 측면부에 결합되며,Wherein the planar portion of the bottom portion is coupled to the side portion, 상기 곡면형상부분은, 상기 저면부의 중앙에 설치되고,Wherein the curved surface portion is provided at the center of the bottom surface portion, 상기 평면형상부분은, 상기 곡면형상부분을 사이에 두고 상기 곡면형상부분의 양측에 한 쌍으로 설치되며,Wherein the planar portion is provided on both sides of the curved portion with the curved portion interposed therebetween, 상기 한 쌍의 평면형상부분들 각각은 상기 곡면형상부분에 대향되는 측에서 상기 측면부와 결합되며,Wherein each of the pair of planar top portions is engaged with the side portion on a side opposed to the curved portion, 상기 반송로봇은 상기 저면부의 곡면형상부분에 설치되는 것을 특징으로 하는 진공처리시스템의 반송챔버.Wherein the conveying robot is installed on a curved portion of the bottom surface portion. 청구항 2에 있어서,The method of claim 2, 상기 저면부의 평면형상부분은 상기 저면부의 곡면형상부분의 상측과 연결되는 것을 특징으로 하는 진공처리시스템의 반송챔버.And the planar portion of the bottom portion is connected to the upper side of the curved portion of the bottom portion. 청구항 2 내지 청구항 3 중 어느 한 항에 있어서,The method according to any one of claims 2 to 3, 상기 저면부의 곡면형상은 하나 이상의 곡률로 형성되는 것을 특징으로 하는 진공처리시스템의 반송챔버.Wherein the curved surface shape of the bottom portion is formed with one or more curvatures. 삭제delete 삭제delete 삭제delete 청구항 2 내지 3 중 어느 하나의 항에 있어서,The method according to any one of claims 2 to 3, 상기 저면부의 곡면형상부분에는 상기 반송로봇을 지지하는 로봇 지지부가 설치되는 것을 특징으로 하는 진공처리시스템의 반송챔버.Wherein a curved surface portion of the bottom surface portion is provided with a robot supporting portion for supporting the conveying robot. 삭제delete 청구항 2 내지 청구항 3 중 어느 한 항에 있어서,The method according to any one of claims 2 to 3, 상기 저면부의 외측면에는 상기 저면부의 곡면형상부분과 대응되는 부분에 복수 개의 보강리브들이 돌출 형성되는 것을 특징으로 하는 진공처리시스템의 반송챔버.And a plurality of reinforcing ribs protruding from the outer surface of the bottom surface portion at portions corresponding to the curved surface portions of the bottom surface portion.
KR1020080067743A 2008-07-11 2008-07-11 Transfer chamber for vacuum processing system KR101446225B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080067743A KR101446225B1 (en) 2008-07-11 2008-07-11 Transfer chamber for vacuum processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080067743A KR101446225B1 (en) 2008-07-11 2008-07-11 Transfer chamber for vacuum processing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020140112874A Division KR101542169B1 (en) 2014-08-28 2014-08-28 Transfer chamber for vacuum processing system

Publications (2)

Publication Number Publication Date
KR20100007216A KR20100007216A (en) 2010-01-22
KR101446225B1 true KR101446225B1 (en) 2014-10-01

Family

ID=41816236

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080067743A KR101446225B1 (en) 2008-07-11 2008-07-11 Transfer chamber for vacuum processing system

Country Status (1)

Country Link
KR (1) KR101446225B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5585238B2 (en) * 2010-06-24 2014-09-10 東京エレクトロン株式会社 Substrate processing equipment
KR102100775B1 (en) * 2018-03-14 2020-04-14 우범제 EFEM, Equipment Front End Module
KR102132422B1 (en) * 2018-03-14 2020-08-05 우범제 EFEM, Equipment Front End Module

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990036146A (en) * 1995-08-03 1999-05-25 러셀 엔. 페어뱅크스, 쥬니어 Process chamber with internal support
JP2005531149A (en) * 2002-06-21 2005-10-13 アプライド マテリアルズ インコーポレイテッド Transfer chamber for vacuum processing system
KR20070082056A (en) * 2006-02-14 2007-08-20 브룩스오토메이션아시아(주) Transfer chamber for vacuum processing apparatus of substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990036146A (en) * 1995-08-03 1999-05-25 러셀 엔. 페어뱅크스, 쥬니어 Process chamber with internal support
JP2005531149A (en) * 2002-06-21 2005-10-13 アプライド マテリアルズ インコーポレイテッド Transfer chamber for vacuum processing system
KR20070082056A (en) * 2006-02-14 2007-08-20 브룩스오토메이션아시아(주) Transfer chamber for vacuum processing apparatus of substrate

Also Published As

Publication number Publication date
KR20100007216A (en) 2010-01-22

Similar Documents

Publication Publication Date Title
US7018517B2 (en) Transfer chamber for vacuum processing system
KR100727499B1 (en) Electronic device manufacturing chamber and methods of forming the same
KR100856145B1 (en) Vacuum chamber and vacuum processing apparatus
KR101446225B1 (en) Transfer chamber for vacuum processing system
KR101542169B1 (en) Transfer chamber for vacuum processing system
KR101947426B1 (en) Vacuum chamber with sealing member and sealing member used in it
KR101308389B1 (en) A chamber for a substrate processing apparatus
KR20070082056A (en) Transfer chamber for vacuum processing apparatus of substrate
KR101962896B1 (en) Vacuum chamber with reinforced part
KR102083562B1 (en) Floating structure
KR101019532B1 (en) Plasma processing apparatus
KR101368809B1 (en) Supporting Member for Slot Port of Chamber and Apparatus for Treating Substrate Having the Same
KR20200012631A (en) Liquid dome and floating structure including the same
KR101598176B1 (en) Vacuum chamber
KR101977888B1 (en) Vacuum chamber with chamber inside wall of aspherical surface
JP5665526B2 (en) Transport tray for transported plate-like articles
KR100831950B1 (en) chamber
KR101117119B1 (en) Chemical vapor deposition apparatus
KR20070071304A (en) Flat panel display manufacturing machine
KR102189814B1 (en) Liquid dome and floating structure including the same
EP2896868B1 (en) Pressure container for liquid cargo storage
JP5519485B2 (en) Transport tray for transported plate-like articles
KR101488877B1 (en) Vacuum treatment apparatus
KR101713630B1 (en) Substrate processing system, and Transfer module therefor
KR200429043Y1 (en) Electronic device manufacturing chamber

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170621

Year of fee payment: 4