KR101095041B1 - Method for forming the fine pattern of semiconductor devices - Google Patents

Method for forming the fine pattern of semiconductor devices Download PDF

Info

Publication number
KR101095041B1
KR101095041B1 KR1020090102303A KR20090102303A KR101095041B1 KR 101095041 B1 KR101095041 B1 KR 101095041B1 KR 1020090102303 A KR1020090102303 A KR 1020090102303A KR 20090102303 A KR20090102303 A KR 20090102303A KR 101095041 B1 KR101095041 B1 KR 101095041B1
Authority
KR
South Korea
Prior art keywords
pattern
insulating film
forming
photoresist
mask
Prior art date
Application number
KR1020090102303A
Other languages
Korean (ko)
Other versions
KR20110045646A (en
Inventor
남병섭
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020090102303A priority Critical patent/KR101095041B1/en
Publication of KR20110045646A publication Critical patent/KR20110045646A/en
Application granted granted Critical
Publication of KR101095041B1 publication Critical patent/KR101095041B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

본 발명은 반도체소자의 미세패턴 형성방법에 관한 것으로, 피식각층이 형성된 반도체기판 상부에 제1 절연막 및 제2 절연막을 순차적으로 형성하고 상기 트렌치 형태의 제1 감광막패턴을 형성한 다음, 상기 제1 감광막패턴 측벽에 스페이서를 형성하고 상기 제1 감광막패턴을 제거한 다음, 상기 스페이서를 마스크로 하여 제2 절연막패턴을 형성하고 상기 제2 절연막 패턴 상에 제2 감광막패턴을 형성한 다음, 상기 제2 감광막패턴, 상기 스페이서 및 상기 제2 절연막패턴을 마스크로 하여 제1 절연막패턴을 형성하고 상기 제1 절연막패턴 상부의 구조물을 제거한 다음, 상기 제1 절연막패턴 상부에 제3 감광막패턴을 형성하고, 상기 제3 감광막패턴을 마스크로 하여 더미패턴 영역의 상기 제1 절연막패턴을 식각하는 공정을 포함하는 구성을 제공하여 추가 공정없이 리소그래피 공정 마진을 근본적으로 개선시킬 수 있고, 피치 ( Pitch ) 가 변경되는 구간에서도 버티컬한 감광막패턴을 형성할 수 있도록 하는 기술이다.The present invention relates to a method of forming a fine pattern of a semiconductor device, and sequentially forming a first insulating film and a second insulating film on an upper surface of a semiconductor substrate on which an etched layer is formed, and forming a trench-shaped first photoresist film pattern. A spacer is formed on the photoresist pattern sidewalls, the first photoresist pattern is removed, a second insulation film pattern is formed using the spacer as a mask, a second photoresist film pattern is formed on the second insulation film pattern, and then the second photoresist film is formed. A first insulating film pattern is formed by using the pattern, the spacer, and the second insulating film pattern as a mask, a structure on the first insulating film pattern is removed, and a third photoresist film pattern is formed on the first insulating film pattern. 3 is provided by providing a configuration including a step of etching the first insulating film pattern of the dummy pattern region using the photosensitive film pattern as a mask It is possible to essentially improve the lithography process margins without information, in the section where the pitch (Pitch) a technique for changing to form a photosensitive pattern vertical.

미세패턴, 균일성, 촛점심도 Fine Pattern, Uniformity, Depth of Focus

Description

반도체소자의 미세패턴 형성방법{METHOD FOR FORMING THE FINE PATTERN OF SEMICONDUCTOR DEVICES}METHOD FOR FORMING THE FINE PATTERN OF SEMICONDUCTOR DEVICES

본 발명은 반도체소자의 미세패턴 형성방법에 관한 것으로서, 특히 셀부의 경계지역에 패턴의 균일성 ( uniformity ) 및 촛점심도 ( DOF, depth of focus ) 를 향상시킬 수 있도록 하는 기술이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of forming a fine pattern of a semiconductor device, and more particularly, to a technique for improving the uniformity and depth of focus of a pattern at a boundary region of a cell portion.

반도체 소자를 형성하는데 있어서 가장 중요한 요소로는 증착(deposition) 공정과 식각(etch) 공정을 들 수 있으며, 이 중에서도, 반도체 소자의 집적도가 증가함에 따라 식각 공정의 중요도가 더욱 증가하고 있다. The most important elements in forming a semiconductor device include a deposition process and an etch process, and among these, as the degree of integration of semiconductor devices increases, the importance of the etching process increases.

특히, 식각 공정 중에서 패터닝(pattering) 공정은 반도체 소자의 집적도 증가에 직접적인 영향을 주는 공정이라 할 수 있으며, 패터닝 공정에 따라 반도체 제조 공정의 수율 및 반도체 소자의 신뢰도가 달라질 수도 있다. 이에 대하여, 예를 들어 설명하면 다음과 같다. In particular, the patterning process in the etching process may be a process directly affecting the increase in the integration degree of the semiconductor device, and the yield and reliability of the semiconductor device may vary depending on the patterning process. This will be described below with an example.

반도체 소자에 포함되는 다수의 금속배선들의 형성 공정을 예를 들어 설명하면, 반도체 기판 상에 금속배선들 간을 전기적으로 격리시키기 위한 절연막을 형성한다. 절연막을 패터닝(patterning)하기 위해서는, 절연막의 상부에 하드 마스크 패턴을 형성하는데, 하드 마스크 패턴은 포토레지스트 패턴에 따라 식각 공정을 실시하여 형성할 수 있다. 특히, 포토레지스트 패턴은 노광 및 현상 공정을 실시하여 형성하는데, 이때 실시하는 노광 공정에 의해 패턴의 폭이 주로 결정된다.For example, a process of forming a plurality of metal wires included in a semiconductor device will be described. An insulating film for electrically isolating metal wires is formed on a semiconductor substrate. In order to pattern the insulating film, a hard mask pattern is formed on the insulating film, and the hard mask pattern may be formed by performing an etching process according to the photoresist pattern. In particular, the photoresist pattern is formed by performing an exposure and development process, and the width of the pattern is mainly determined by the exposure process performed at this time.

노광 공정의 해상도(resolution; R)는 패턴의 폭을 결정하는 주요 요소가 될 수 있는데, 해상도(R)는 다음의 수학식 1과 같이 나타낼 수 있다.The resolution R of the exposure process may be a major factor in determining the width of the pattern, and the resolution R may be expressed by Equation 1 below.

Figure 112009065782821-pat00001
Figure 112009065782821-pat00001

수학식 1을 참조하면, 'R'은 해상도(resolution)이며, 'Ki'는 공정능력 변수(coherence <6> factor)로써 일반적으로 0.5 내지 0.8의 값을 가진다. 'λ'는 노광 공정에 사용되는 광원의 파장(wavelength)이며, 'NA'는 노광 장비의 렌즈 개구수(numerical aperture)를 나타낸다.Referring to Equation 1, 'R' is the resolution, and 'Ki' is a coherence factor, and generally has a value of 0.5 to 0.8. 'λ' is the wavelength of the light source used in the exposure process, and 'NA' represents the lens numerical aperture of the exposure equipment.

이 중에서, 공정능력 변수(Ki)는 제조 공정상 임의로 조절하기가 매우 어렵기 때문에 광원의 파장(λ)을 낮추거나 렌즈 개구수(NA)를 증가시켜 해상도를 조절하는 것이 바람직하다.Among these, since the process capability variable Ki is very difficult to be arbitrarily adjusted in the manufacturing process, it is preferable to adjust the resolution by lowering the wavelength? Of the light source or increasing the lens numerical aperture NA.

한편, 상술한 바와 같이 광원 또는 렌즈 개구수를 바꾸기 위해서는 노광 장비의 교체가 이루어져야 하지만, 이는 고가의 설비 및 제조 비용을 필요로 한다.On the other hand, in order to change the light source or lens numerical aperture as described above, the replacement of the exposure equipment must be made, but this requires expensive equipment and manufacturing cost.

하여, 최근에는 하드마스크 및 유기 반사방지막을 이용하여 반도체소자의 미세패턴을 형성하였다. In recent years, fine patterns of semiconductor devices have been formed using hard masks and organic antireflection films.

도 1a 내지 도 1c 는 종래기술에 따른 반도체소자의 미세패턴 형성방법을 도시한 단면도이다.  1A to 1C are cross-sectional views illustrating a method for forming a fine pattern of a semiconductor device according to the prior art.

도 1a 을 참조하면, 반도체기판(미도시) 상부에 피식각층(10)을 형성하고 그 상부에 제1 하드마스크층(12), 제2 하드마스크층(14), 반사방지막(16) 및 감광막패턴(18)을 형성한다. 여기서, 제1 및 제2 하드마스크층(12,14)은 질화막, 산화막 또는 질화산화막으로 형성한 것이다. Referring to FIG. 1A, an etched layer 10 is formed on a semiconductor substrate (not shown), and a first hard mask layer 12, a second hard mask layer 14, an anti-reflection film 16, and a photoresist film are formed on the etched layer 10. The pattern 18 is formed. Here, the first and second hard mask layers 12 and 14 are formed of a nitride film, an oxide film, or a nitride oxide film.

이때, 감광막패턴(18)은 반사방지막(16) 상부에 감광막을 도포하고, 미세패턴을 형성하기 위한 노광마스크를 이용한 노광 및 현상 공정으로 형성한 것이다. At this time, the photoresist pattern 18 is formed by an exposure and development process using an exposure mask for coating a photoresist on the anti-reflection film 16 and forming a fine pattern.

그리고, 반사방지막(16)은 유기막으로 형성한 것이다. The antireflection film 16 is formed of an organic film.

도 1b 를 참조하면, 감광막패턴(18)을 마스크로 하여 반사방지막(16)을 식각하여 제2 하드마스크층(14)을 노출시킨다.Referring to FIG. 1B, the antireflection film 16 is etched using the photoresist pattern 18 as a mask to expose the second hard mask layer 14.

연속적으로, 남아있는 감광막패턴(18)과 반사방지막(16)을 마스크로 하여 제2 하드마스크층(14)을 식각함으로써 제2 하드마스크층(14)패턴을 형성한다. Subsequently, the second hard mask layer 14 pattern is formed by etching the second hard mask layer 14 using the remaining photoresist pattern 18 and the anti-reflection film 16 as a mask.

이때, 식각물질의 상부에 존재하는 물질이 마스크로 작용하여 하부층의 식각시 동시에 식각되고, 과도식각공정을 수반하여 피식각 물질, 도 1b 의 제2 하드마스크층(14) 패턴 상부에는 반사방지막(16) 및 감광막패턴(18)이 남지 않는다. 물론, 식각공정은 각 층간의 식각선택비 차이를 이용하여 습식 또는 건식 방법으로 실시한다. 여기서, 반사방지막(16) 및 감광막패턴(18) 중 남는 부분은 타 구조물과의 식각선택비 차이를 이용하여 제거한다. At this time, the material existing on the upper portion of the etching material acts as a mask and is simultaneously etched during the etching of the lower layer, and the anti-etching film (on the second hard mask layer 14 pattern of FIG. 16) and the photosensitive film pattern 18 remain. Of course, the etching process is performed by a wet or dry method using the difference in the etching selectivity between the layers. Here, the remaining portion of the anti-reflection film 16 and the photoresist pattern 18 is removed using the difference in etching selectivity with other structures.

도 1c 를 참조하면, 제2 하드마스크층(14) 패턴을 마스크로 하여 노출된 제1 하드마스크층(12)을 식각하여 피식각층(10)을 노출시키는 제1 하드마스크층(12)패 턴을 형성한다. Referring to FIG. 1C, a pattern of the first hard mask layer 12 exposing the etched layer 10 by etching the exposed first hard mask layer 12 using the second hard mask layer 14 pattern as a mask. To form.

이때, 제2 하드마스크층(14)패턴은 제1 하드마스크층(12)의 식각공정시 제거되되, 남는 부분은 타 구조물과의 식각선택비 차이를 이용하여 제거한다. At this time, the second hard mask layer 14 pattern is removed during the etching process of the first hard mask layer 12, but the remaining part is removed using the difference in etching selectivity with other structures.

도시되지 않았으나, 후속 공정으로 제1 하드마스크층(12)패턴을 마스크로 하여 피식각층(10)을 식각함으로써 미세패턴을 형성한다. Although not shown, a fine pattern is formed by etching the etched layer 10 using the first hard mask layer 12 pattern as a mask in a subsequent process.

이때, 제1 하드마스크층(12)패턴은 제2 하드마스크층(14)패턴 제거와 동일한 방법으로 제거된다. At this time, the first hard mask layer 12 pattern is removed in the same manner as removing the second hard mask layer 14 pattern.

상기한 바와 같이 종래기술에 따른 반도체소자의 미세패턴 형성방법은 다음과 같은 문제점이 있다. As described above, the method for forming a fine pattern of a semiconductor device according to the prior art has the following problems.

1. 하드마스크층을 형성하기 위하여 하드마스층의 층수만큼 CVD 형성 공정을 수반하여야 함.1. In order to form the hard mask layer, the number of layers of the hard mask layer must be accompanied by the CVD forming process.

2. 미세패턴의 해상도를 증가시키기 위하여 반사방지막을 사용.2. Use anti-reflection film to increase the resolution of fine pattern.

3. 상기한 구조물 사용에 따른 비용 증가3. Increased cost of using the above structure

4. 감광막을 한계 선폭으로 패터닝하기 위하여 리소그래피 공정의 현상 공정지 감광막패턴의 쓰러짐 현상이 유발.4. Developing process of lithography to pattern the photoresist to the limit line width.

5. 1-4 의 공정에 따른 파티클 유발 등으로인한 오염도 증가.5. Contamination increases due to particle generation following 1-4 process.

최근에는 이러한 문제점을 해결하고 반도체소자의 고집적화에 따른 미세패턴을 형성하기 위하여, SPT ( Spacer Patterning Technology ) 공정을 사용한다. Recently, in order to solve this problem and to form a fine pattern according to the high integration of the semiconductor device, SPT (Spacer Patterning Technology) process is used.

SPT 공정은 패턴의 피치 ( pitch ) 가 너무 작아서 노광기로 직접 패터닝하는 것이 불가능함에 따라 2 피치당 1개의 파티션 패터닝 ( partion patterning ) 후, 이를 이용해서 스페이서를 형성함으로써 라인 하나에 2개 라인패턴을 만드는 기술이다.In the SPT process, since the pitch of the pattern is too small to be directly patterned by the exposure machine, one partition patterning per two pitches is used to form two line patterns in one line by forming spacers using the same. Technology.

하지만 도 2a 의 다크 톤 마스크 ( Dark tone mask ) 에서 피치가 변하는 가장 가장자리 라인 패턴은 공정 마진에 가장 취약한 모습을 보여주고 있다. 특히 도 2b 에 도시된 클리어 톤 마스크 ( clear tone mask ) 의 가장 가장자리 패턴은 CD 타겟 ( target ) 이 셀과 동일한 관계로 CD 를 크게 디파인 ( define ) 하는 것이 불가능하고, 패턴이 없는 지역이 오픈되어 플레어 노이즈 ( flare noise ) 에 매우 취약하다. 플레어 노이즈의 영향을 받으면 가장 가장자리 패턴 뿐만 아니라 안쪽 라인 패턴의 CD 까지 영향을 받아서 CD 균일성이 저하되게 되는 문제점이 있다.However, in the dark tone mask of FIG. 2A, the most edge line pattern having a pitch change is most vulnerable to process margin. In particular, the edge pattern of the clear tone mask shown in FIG. 2B is impossible to define a CD largely because the CD target is the same as a cell, and an area without the pattern is opened to flare. Very vulnerable to flare noise. If the flare noise is affected, CD uniformity is degraded by affecting not only the most edge pattern but also the CD of the inner line pattern.

본 발명은 특히 셀부의 경계지역에 패턴의 균일성 ( uniformity ) 및 촛점심도 ( DOF, depth of focus ) 를 향상시킬 수 있도록 하는 반도체소자의 미세패턴 형성방법을 제공하는데 그 목적이 있다.SUMMARY OF THE INVENTION An object of the present invention is to provide a method for forming a fine pattern of a semiconductor device, which can improve the uniformity and depth of focus of a pattern at a boundary region of a cell part.

본 발명에 따른 반도체소자의 미세패턴 형성방법은, Method for forming a fine pattern of a semiconductor device according to the present invention,

피식각층이 형성된 반도체기판 상부에 제1 절연막 및 제2 절연막을 순차적으로 형성하는 공정과,Sequentially forming a first insulating film and a second insulating film on the semiconductor substrate on which the etched layer is formed;

상기 트렌치 형태의 제1 감광막패턴을 형성하는 공정과,Forming a trench-shaped first photoresist pattern;

상기 제1 감광막패턴 측벽에 스페이서를 형성하는 공정과,Forming a spacer on sidewalls of the first photoresist pattern;

상기 제1 감광막패턴을 제거하는 공정과,Removing the first photoresist pattern;

상기 스페이서를 마스크로 하여 제2 절연막패턴을 형성하는 공정과,Forming a second insulating film pattern using the spacer as a mask;

상기 제2 절연막 패턴 상에 제2 감광막패턴을 형성하는 공정과,Forming a second photoresist pattern on the second insulation pattern;

상기 제2 감광막패턴, 상기 스페이서 및 상기 제2 절연막패턴을 마스크로 하여 제1 절연막패턴을 형성하는 공정과,Forming a first insulating film pattern using the second photosensitive film pattern, the spacer and the second insulating film pattern as a mask;

상기 제1 절연막패턴 상부의 구조물을 제거하는 공정과,Removing the structure above the first insulating layer pattern;

상기 제1 절연막패턴 상부에 제3 감광막패턴을 형성하는 공정과,Forming a third photoresist pattern on the first insulation pattern;

상기 제3 감광막패턴을 마스크로 하여 더미패턴 영역의 상기 제1 절연막패턴을 식각하는 공정을 포함하는 것과,Etching the first insulating film pattern in the dummy pattern region using the third photoresist pattern as a mask;

상기 제1 절연막은 TEOS 산화막으로 형성하는 것과,The first insulating film is formed of a TEOS oxide film,

상기 제2 절연막은 SiON 으로 형성하는 것과,The second insulating film is formed of SiON,

상기 스페이서는 ULTO 로 형성하는 것과,The spacer is formed of ULTO,

상기 제2 감광막패턴은 실제 패턴 영역과 더미패턴 영역 사이의 경계부에 형성된 것과, 상기 제2 감광막패턴은 패드 마스크를 이용하여 형성하는 것과,The second photoresist pattern is formed at a boundary between an actual pattern region and a dummy pattern region, the second photoresist pattern is formed using a pad mask,

상기 제3 감광막패턴은 스페이서가 형성된 영역을 활성영역으로 패터닝하는 커팅 마스크를 이용하여 실시하는 것과,The third photoresist pattern may be performed using a cutting mask for patterning a region where a spacer is formed into an active region,

상기 제1 절연막패턴을 마스크로 하여 상기 피식각층을 패터닝하는 공정을 포함하는 것을 특징으로 한다.And patterning the etched layer using the first insulating film pattern as a mask.

본 발명에 따른 반도체소자의 미세패턴 형성방법은 다음과 같다. A method of forming a fine pattern of a semiconductor device according to the present invention is as follows.

1. 선택적인 트랜지스터 지역에서의 리소그래피 공정 마진을 근본적으로 개선시킬 수 있다. 1. It can fundamentally improve the lithography process margin in select transistor regions.

2. 실제 패턴 ( Real cell ) 패턴 삽입으로 플레어 노이즈 ( flare noise ) 가 해결된다. 2. Real cell pattern insertion eliminates flare noise.

3. 피치 ( Pitch ) 가 변경되는 구간에서 버티컬한 감광막패턴을 형성할 수 있도록 한다. 3. It is possible to form a vertical photoresist pattern in a section where pitch is changed.

4. 다크 톤 마스크와 클리어 톤 마스크 그리고 네가티브 감광막과 포지티브 감광막을 적용하여 사용할 수 있다. 4. Dark tone mask, clear tone mask, negative photoresist and positive photoresist can be applied.

5. 기존 공정에 대한 추가 공정이 불필요하다.5. No further process is required for existing processes.

이하, 첨부한 도면을 참조하여 본 발명의 실시예에 대해 상세히 설명하고자 한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 3a 내지 도 3g 는 본 발명의 실시예에 따른 반도체소자의 미세패턴 형성방법을 도시한 단면도이다. 3A to 3G are cross-sectional views illustrating a method for forming a fine pattern of a semiconductor device according to an embodiment of the present invention.

도 3a 를 참조하면, 반도체기판 상부에 폴리실리콘층(11)을 형성한다. Referring to FIG. 3A, the polysilicon layer 11 is formed on the semiconductor substrate.

그 다음, 피식각층인 폴리실리콘층(11) 상부에 제1 절연막(13), 예를들면 TEOS 산화막을 형성한다. Next, a first insulating layer 13, for example, a TEOS oxide layer, is formed on the polysilicon layer 11 as an etched layer.

그리고, 제1 절연막(13) 상부에 제2 절연막(15), 예를들면 실리콘산화질화막을 형성한다. A second insulating film 15, for example, a silicon oxynitride film, is formed on the first insulating film 13.

그 다음, 제2 절연막(15) 상부에 트렌치 형태의 제1 감광막패턴(17)을 형성한다. Next, a first photoresist layer pattern 17 having a trench shape is formed on the second insulation layer 15.

이때, 제1 감광막패턴(17)은 스페이서를 형성하기 위한 셀 레이아웃도에 따라 형성된 것이다. In this case, the first photoresist pattern 17 is formed according to a cell layout diagram for forming a spacer.

도 3b 를 참조하면, 제1 감광막패턴(17)의 측벽에 스페이서(19)를 형성한다. Referring to FIG. 3B, spacers 19 are formed on sidewalls of the first photoresist pattern 17.

이때, 스페이서(19)는 초저온 산화막 ( Ultra Low Temperature Oxide ) 을 전체표면상부에 형성하고 이를 이방성식각하여 형성한 것이다. In this case, the spacer 19 is formed by forming an ultra low temperature oxide on the entire surface and anisotropically etching it.

도 3c 를 참조하면, 제1 감광막패턴(17)을 제거하여 제2 절연막(15) 상부에 스페이서(19)로 하드마스크층을 형성한다. Referring to FIG. 3C, the first photoresist layer pattern 17 is removed to form a hard mask layer as a spacer 19 on the second insulating layer 15.

도 3d 를 참조하면, 전체표면상부에 패드 마스크를 이용한 리소그래피 공정 으로 제2 감광막패턴(21)을 형성한다. Referring to FIG. 3D, the second photosensitive film pattern 21 is formed on the entire surface by a lithography process using a pad mask.

이때, 제2 감광막패턴(21)은 선택적인 트랜지스터 영역(300)을 형성하기 위한 패드 형태로 실제 패턴 영역(100)과 더미 패턴 영역(200) 사이에 형성된다. In this case, the second photoresist layer pattern 21 is formed between the actual pattern region 100 and the dummy pattern region 200 in the form of a pad for forming the selective transistor region 300.

여기서, 선택적인 트랜지스터 영역(300)은 실제 패턴과 더미 패턴과의 경계부에 형성되는 패턴이다. Here, the optional transistor region 300 is a pattern formed at the boundary between the actual pattern and the dummy pattern.

도 3e 를 참조하면, 제2 감광막패턴(21) 및 스페이서(19)를 마스크로 하여 제1 절연막(13)을 식각함으로써 제1 절연막(13)패턴을 형성한다. Referring to FIG. 3E, the first insulating film 13 is etched using the second photoresist film pattern 21 and the spacer 19 as a mask to form the first insulating film 13 pattern.

그 다음, 제2 감광막패턴(21)과 스페이서(19)를 제거한다. 이때, 제1 절연막(13) 패턴 하부로 폴리실리콘층(11)이 노출된다. Next, the second photosensitive film pattern 21 and the spacer 19 are removed. In this case, the polysilicon layer 11 is exposed under the first insulating layer 13 pattern.

도 3f 를 참조하면, 전체표면상부에 제3 감광막패턴(23)을 형성한다. Referring to FIG. 3F, a third photosensitive film pattern 23 is formed on the entire surface.

이때, 제3 감광막패턴(23)은 더미 패턴 영역(200)을 노출시키는 패턴 형태로 형성된 것이다. In this case, the third photoresist layer pattern 23 is formed in a pattern form that exposes the dummy pattern region 200.

도 3g 를 참조하면, 제3 감광막패턴(23)을 마스크로 하여 더미 패턴 영역(200)에 노출된 제1 절연막(13)패턴을 제거한다. Referring to FIG. 3G, the first insulating layer 13 pattern exposed to the dummy pattern region 200 is removed using the third photoresist pattern 23 as a mask.

그 다음, 제3 감광막패턴을 제거한다. Then, the third photosensitive film pattern is removed.

도시되지 않았으나, 후속 공정으로 실제 패턴 영역(100)과 선택적인 트랜지스터 영역(300)에 남아 있는 제1 절연막(13)패턴을 하드마스크층으로 사용하여 패터닝 공정을 완료한다. Although not shown, the patterning process is completed by using the first insulating film 13 pattern remaining in the actual pattern region 100 and the optional transistor region 300 as a hard mask layer in a subsequent process.

본 발명의 다른 실시예는 본 발명에서 하드마스크층으로 사용되는 절연막 구조를 반사방지막, 실리콘 산화질화막(SiON), 산화막, 질화막, 비정질 카본층 ( amorphose carbon layer, ACL ), 폴리실리콘층, 테오스 ( TEOS ), SOC ( spin on carbon ) 및 이들이 조합된 적층구조 중 한가지로 형성하는 것이다. According to another embodiment of the present invention, the insulating film structure used as the hard mask layer may include an antireflection film, a silicon oxynitride film (SiON), an oxide film, a nitride film, an amorphous carbon layer (ACL), a polysilicon layer, and a teos (TEOS), spin on carbon (SOC), and one of these laminated structures.

아울러, 본 발명의 바람직한 실시예는 예시의 목적을 위한 것으로, 당업자라면 첨부된 특허청구범위의 기술적 사상과 범위를 통해 다양한 수정, 변경, 대체 및 부가가 가능할 것이며, 이러한 수정 변경 등은 이하의 특허청구범위에 속하는 것으로 보아야 할 것이다. In addition, the preferred embodiment of the present invention is for the purpose of illustration, those skilled in the art will be able to various modifications, changes, substitutions and additions through the spirit and scope of the appended claims, such modifications and modifications are as follows It should be regarded as belonging to the claims.

도 1a 내지 도 1c 는 종래기술에 따른 반도체소자의 미세패턴 형성방법을 도시한 단면도.1A to 1C are cross-sectional views illustrating a method for forming a fine pattern of a semiconductor device according to the prior art.

도 2a 및 도 2b 는 종래기술의 문제점을 도시한 사진.2A and 2B are photographs showing problems of the prior art.

도 3a 내지 도 3g 는 본 발명의 실시예에 따른 반도체소자의 미세패턴 형성방법을 도시한 단면도. 3A to 3G are cross-sectional views illustrating a method for forming a fine pattern of a semiconductor device according to an embodiment of the present invention.

Claims (7)

피식각층이 형성된 반도체기판 상부에 제1 절연막 및 제2 절연막을 순차적으로 형성하는 공정과,Sequentially forming a first insulating film and a second insulating film on the semiconductor substrate on which the etched layer is formed; 상기 제 2 절연막 상부에 트렌치 형태의 제1 감광막패턴을 형성하는 공정과,Forming a trench-shaped first photoresist pattern on the second insulating layer; 상기 제1 감광막패턴 측벽에 스페이서를 형성하는 공정과,Forming a spacer on sidewalls of the first photoresist pattern; 상기 제1 감광막패턴을 제거하는 공정과,Removing the first photoresist pattern; 상기 스페이서를 마스크로 하여 제2 절연막패턴을 형성하는 공정과,Forming a second insulating film pattern using the spacer as a mask; 상기 제2 절연막 패턴 상에 제2 감광막패턴을 형성하는 공정과,Forming a second photoresist pattern on the second insulation pattern; 상기 제2 감광막패턴, 상기 스페이서 및 상기 제2 절연막패턴을 마스크로 하여 제1 절연막패턴을 형성하는 공정과,Forming a first insulating film pattern using the second photosensitive film pattern, the spacer and the second insulating film pattern as a mask; 상기 제1 절연막패턴 상부의 구조물을 제거하는 공정과,Removing the structure above the first insulating layer pattern; 상기 제1 절연막패턴 상부에 제3 감광막패턴을 형성하는 공정과,Forming a third photoresist pattern on the first insulation pattern; 상기 제3 감광막패턴을 마스크로 하여 더미패턴 영역의 상기 제1 절연막패턴을 식각하는 공정을 포함하는 반도체소자의 미세패턴 형성방법.And etching the first insulating film pattern in the dummy pattern region using the third photoresist pattern as a mask. 청구항 2은(는) 설정등록료 납부시 포기되었습니다.Claim 2 has been abandoned due to the setting registration fee. 제 1 항에 있어서, The method of claim 1, 상기 제1 절연막은 TEOS 산화막으로 형성하는 것을 특징으로 하는 반도체소자의 미세패턴 형성방법.And the first insulating film is formed of a TEOS oxide film. 청구항 3은(는) 설정등록료 납부시 포기되었습니다.Claim 3 was abandoned when the setup registration fee was paid. 상기 제2 절연막은 SiON 으로 형성하는 것을 특징으로 하는 반도체소자의 미세패턴 형성방법.And the second insulating film is formed of SiON. 청구항 4은(는) 설정등록료 납부시 포기되었습니다.Claim 4 was abandoned when the registration fee was paid. 제 1 항에 있어서, The method of claim 1, 상기 스페이서는 ULTO 로 형성하는 것을 특징으로 하는 반도체소자의 미세패턴 형성방법.The spacer is a fine pattern forming method of a semiconductor device, characterized in that formed by ULTO. 청구항 5은(는) 설정등록료 납부시 포기되었습니다.Claim 5 was abandoned upon payment of a set-up fee. 제 1 항에 있어서, The method of claim 1, 상기 제2 감광막패턴은 실제 패턴 영역과 더미패턴 영역 사이의 경계부에 형성된 것을 특징으로 하는 반도체소자의 미세패턴 형성방법.And the second photoresist pattern is formed at the boundary between the actual pattern region and the dummy pattern region. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.Claim 6 was abandoned when the registration fee was paid. 제 5 항에 있어서, The method of claim 5, 상기 제2 감광막패턴은 패드 마스크를 이용하여 형성하는 것을 특징으로 하는 반도체소자의 미세패턴 형성방법.The second photosensitive film pattern is a fine pattern forming method of a semiconductor device, characterized in that formed using a pad mask. 청구항 7은(는) 설정등록료 납부시 포기되었습니다.Claim 7 was abandoned upon payment of a set-up fee. 제 1 항에 있어서, The method of claim 1, 상기 제1 절연막패턴을 마스크로 하여 상기 피식각층을 패터닝하는 공정을 포함하는 것을 특징으로 하는 반도체소자의 미세패턴 형성방법.And patterning the etched layer using the first insulating film pattern as a mask.
KR1020090102303A 2009-10-27 2009-10-27 Method for forming the fine pattern of semiconductor devices KR101095041B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090102303A KR101095041B1 (en) 2009-10-27 2009-10-27 Method for forming the fine pattern of semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090102303A KR101095041B1 (en) 2009-10-27 2009-10-27 Method for forming the fine pattern of semiconductor devices

Publications (2)

Publication Number Publication Date
KR20110045646A KR20110045646A (en) 2011-05-04
KR101095041B1 true KR101095041B1 (en) 2011-12-20

Family

ID=44240668

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090102303A KR101095041B1 (en) 2009-10-27 2009-10-27 Method for forming the fine pattern of semiconductor devices

Country Status (1)

Country Link
KR (1) KR101095041B1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100784062B1 (en) 2006-01-20 2007-12-10 주식회사 하이닉스반도체 Method for forming micro pattern in semiconductor device
KR100941861B1 (en) 2008-03-31 2010-02-11 주식회사 하이닉스반도체 Patterning method of semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100784062B1 (en) 2006-01-20 2007-12-10 주식회사 하이닉스반도체 Method for forming micro pattern in semiconductor device
KR100941861B1 (en) 2008-03-31 2010-02-11 주식회사 하이닉스반도체 Patterning method of semiconductor device

Also Published As

Publication number Publication date
KR20110045646A (en) 2011-05-04

Similar Documents

Publication Publication Date Title
KR100819673B1 (en) Semiconductor device and method for forming pattern of the same
US8309463B2 (en) Method for forming fine pattern in semiconductor device
KR100784062B1 (en) Method for forming micro pattern in semiconductor device
KR100827534B1 (en) Semiconductor device and method for forming fine pattern of the same
US7384874B2 (en) Method of forming hardmask pattern of semiconductor device
KR101150639B1 (en) Method for forming pattern of the semiconductor device
KR101093241B1 (en) Method of manufacturing patterns for a semiconductor device
KR100905827B1 (en) Method for forming hard mask pattern in semiconductor device
KR20080061860A (en) Semiconductor device and method for forming fine pattern of the same
KR20150107584A (en) Method for integrated circuit patterning
KR20120044005A (en) Semiconductor device and method for forming the same
JP4956370B2 (en) Pattern formation method of semiconductor element
JP2008066713A (en) Manufacturing method for flash memory device
US10734284B2 (en) Method of self-aligned double patterning
KR20090070674A (en) Method of forming metal wiring in flash memory device
JP2013534045A (en) Lithographic method for producing a network of conductors connected by via holes
KR101095041B1 (en) Method for forming the fine pattern of semiconductor devices
KR100894102B1 (en) Method for fabricating highly integrated semiconductor memory device
KR20070087728A (en) Method for forming gate of semiconductor device by polymer
KR20090001078A (en) Method for forming the alignment key of semiconductor device
TWI833601B (en) Method of processing a substrate
KR100939168B1 (en) Method for forming a patten of semiconductor device
KR100944344B1 (en) Manufacturing method for semiconductor device
JPH06196691A (en) Forming method for gate electrode and gate electrode
KR20090103145A (en) The Method for Manufacturing Semiconductor Device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee