KR100851237B1 - Substrate treating method - Google Patents

Substrate treating method Download PDF

Info

Publication number
KR100851237B1
KR100851237B1 KR1020070025552A KR20070025552A KR100851237B1 KR 100851237 B1 KR100851237 B1 KR 100851237B1 KR 1020070025552 A KR1020070025552 A KR 1020070025552A KR 20070025552 A KR20070025552 A KR 20070025552A KR 100851237 B1 KR100851237 B1 KR 100851237B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
wafer
pressure
gas
Prior art date
Application number
KR1020070025552A
Other languages
Korean (ko)
Inventor
김진우
Original Assignee
피에스케이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피에스케이 주식회사 filed Critical 피에스케이 주식회사
Priority to KR1020070025552A priority Critical patent/KR100851237B1/en
Priority to TW097108865A priority patent/TW200839858A/en
Priority to JP2008063438A priority patent/JP2008227506A/en
Priority to CNA200810084739XA priority patent/CN101266921A/en
Application granted granted Critical
Publication of KR100851237B1 publication Critical patent/KR100851237B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Abstract

A substrate processing method is provided to easily adjust the temperature of a wafer by using purge gas or process gas. A substrate is loaded into a process chamber(120). Process gas for processing the substrate is supplied to the process chamber so that the pressure in the process chamber is increased to a predetermined pressure to stabilize the temperature of the substrate. The pressure in the process chamber is reduced to a process pressure, and a process is performed on the substrate by using the process gas. The substrate is unloaded to the outside of the process chamber. The process performed on the substrate can include the following steps. While an electric field is formed in the process chamber, the process gas is supplied to the inside of the process chamber to generate plasma. The substrate is processed by using the generated plasma.

Description

기판 처리 방법{substrate treating method}Substrate treating method

도 1은 본 발명에 따른 공정모듈들을 포함하는 반도체 제조설비를 개략적으로 나타내는 도면이다.1 is a view schematically showing a semiconductor manufacturing apparatus including process modules according to the present invention.

도 2는 도 1의 제1 공정모듈을 개략적으로 나타내는 도면이다.FIG. 2 is a diagram schematically illustrating a first process module of FIG. 1.

도 3은 도 1의 제2 공정모듈을 개략적으로 나타내는 도면이다.3 is a view schematically illustrating a second process module of FIG. 1.

도 4는 본 발명에 따른 기판 처리 방법을 나타내는 흐름도이다.4 is a flowchart showing a substrate processing method according to the present invention.

도 5는 도 2의 제1 공정챔버 및 도 3의 제2 공정챔버 내의 압력변화를 나타내는 그래프이다.FIG. 5 is a graph showing pressure changes in the first process chamber of FIG. 2 and the second process chamber of FIG. 3.

도 6은 도 2의 제1 공정모듈에서 측정한 웨이퍼(W)의 온도변화를 나타내는 그래프이다.FIG. 6 is a graph illustrating a temperature change of the wafer W measured by the first process module of FIG. 2.

도 7은 도 3의 제2 공정모듈에서 측정한 웨이퍼(W)의 온도변화를 나타내는 그래프이다.FIG. 7 is a graph illustrating a temperature change of the wafer W measured by the second process module of FIG. 3.

< 도면의 주요 부분에 대한 부호의 설명 ><Description of Symbols for Main Parts of Drawings>

1 : 반도체 제조설비 10a, 10b : 공정모듈1: semiconductor manufacturing equipment 10a, 10b: process module

120, 220 : 공정챔버 140, 240 : 플레이트120, 220: process chamber 140, 240: plate

160, 260 : 배기라인 170 : 코일160, 260: exhaust line 170: coil

180, 280 : 공급라인180, 280: Supply Line

본 발명은 기판 처리 방법에 관한 것으로, 더욱 상세하게는 플레이트 상에 놓여진 기판 처리 방법에 관한 것이다.The present invention relates to a substrate processing method, and more particularly to a substrate processing method placed on a plate.

웨이퍼 표면 상에 증착된 박막들은 에칭을 통해 선택적으로 제거되며, 웨이퍼 표면 상에는 원하는 패턴이 형성된다. 이와 같은 공정은 반도체 제조과정에서 반복적으로 이루어진다. 또한, 증착된 막들 뿐만 아니라, 트렌치(trench)를 생성하기 위하여 실리콘 기판 자체도 에칭될 수 있다. 박막은 포토레지스트(photoresist) 또는 실리콘 산화막(silicon dioxide) 또는 실리콘 질화막(silicon nitride)과 같은 다른 박막일 수 있다. 산화막 또는 질화막은 포토레지스트에 비해 더 나은 에칭조건을 제공한다.Thin films deposited on the wafer surface are selectively removed by etching, and a desired pattern is formed on the wafer surface. This process is repeated in the semiconductor manufacturing process. In addition to the deposited films, the silicon substrate itself can also be etched to create a trench. The thin film may be a photoresist or other thin film such as silicon dioxide or silicon nitride. Oxide or nitride films provide better etching conditions than photoresists.

기본적인 플라스마 에칭장치(plasma etching apparatus)에 대하여 설명하면 다음과 같다. 공정가스가 챔버 내에 공급되고 두 전극(electrode) 사이에 전계(electric field)가 형성되면, 가스 원자들(gas atoms)의 일부는 이온화되며, 양이온들(positive ions)과 자유 전자들(free electrons)을 생성하여 플라스마를 형성한다. 플라스마 에칭장치에서, 에너지는 13.56㎒로 동작하는 고주파 발생기(RF generator)에 의해 공급된다.A basic plasma etching apparatus will be described below. When the process gas is supplied into the chamber and an electric field is formed between the two electrodes, some of the gas atoms are ionized, positive ions and free electrons. To form plasma. In the plasma etching apparatus, energy is supplied by an RF generator operating at 13.56 MHz.

플라스마 에칭에 주로 관련되는 두 가지 요소는 자유 라디칼들(free radicals)과 이온들(ions)이다. 자유 라디칼들은 불충분한 결합(incomplete bonding)을 가지고 전기적 중성이다. 따라서, 자유 라디칼들은 불충분한 결합으로 인하여 매우 반응성이 크며, 웨이퍼(W) 상의 물질과 주로 화학적인 작용을 통하여 공정을 수행한다. 그러나, 이온들은 전하를 띠므로 전위차에 따라 일정한 방향으로 가속되며, 웨이퍼(W) 상의 물질과 주로 물리적인 작용을 통하여 공정을 수행한다.Two factors that are primarily involved in plasma etching are free radicals and ions. Free radicals are electrically neutral with incomplete bonding. Thus, the free radicals are very reactive due to insufficient binding and perform the process mainly through chemical action with the material on the wafer W. However, since the ions are charged, they are accelerated in a constant direction according to the potential difference, and the process is mainly performed through physical action with the material on the wafer (W).

한편, 웨이퍼(W)는 챔버 내에 로딩되며, 챔버 내에 설치된 척 상에 놓여진다. 이후, 웨이퍼(W)의 온도조건을 공정에 적합하도록 조절하며, 온도조건이 충족되면 공정을 개시한다. 그러나, 일반적인 장치에는 몇 가지 문제점이 있다.On the other hand, the wafer W is loaded into the chamber and placed on a chuck installed in the chamber. Thereafter, the temperature condition of the wafer W is adjusted to suit the process, and the process is started when the temperature condition is satisfied. However, there are some problems with the general device.

공정의 정밀도를 높이기 위해서는 공정조건이 정확하게 조절되어야 하며, 그 중에서도 웨이퍼(W)의 온도조건은 매우 중요하다. 그러나, 웨이퍼(W)가 척으로부터 이격된 상태에서 챔버 내에 공급된 가스 분자들이 웨이퍼(W)와 척 사이의 온도전달 매개체 역할을 한다. 따라서, 웨이퍼(W)의 온도를 조절하는 것은 매우 어려우며, 특히 고진공 상태에서 공정이 이루어지는 경우에는 챔버 내의 가스 분자들이 극소수 존재하므로 이와 같은 문제는 심화될 수 있다.In order to increase the precision of the process, the process conditions must be precisely controlled, and the temperature condition of the wafer W is very important. However, gas molecules supplied into the chamber with the wafer W spaced apart from the chuck serve as a temperature transfer medium between the wafer W and the chuck. Therefore, it is very difficult to control the temperature of the wafer W. In particular, when the process is performed in a high vacuum state, since there are very few gas molecules in the chamber, this problem may be exacerbated.

이를 해결하기 위하여 웨이퍼(W)의 후면에 헬륨 가스를 분사하기도 하나, 헬륨 가스를 분사하는 경우 웨이퍼(W)를 고정하기 위한 별도의 장치가 요구된다. 종래에는 기계식 클램프(mechanical clamp) 또는 정전척(Electro Static Chuck:ESC)을 사용하였으나, 기계식 클램프는 웨이퍼(W)에 균일한 힘을 가할 수 없고 파티클을 발생시키는 단점이 있다. 또한, 정전척을 적용하는 경우, 장치의 구조가 복잡해지고 생산비용이 증가하며, 공정진행시 척킹(chucking)/디척킹(dechucking)의 과정 이 필요하다.In order to solve this problem, the helium gas may be sprayed on the rear surface of the wafer W, but in the case of spraying the helium gas, a separate device for fixing the wafer W is required. In the related art, a mechanical clamp or an electrostatic chuck (ESC) is used, but a mechanical clamp may not apply uniform force to the wafer W and generate particles. In addition, when applying the electrostatic chuck, the structure of the device is complicated, the production cost increases, and the process of chucking (dechucking) during the process is required.

본 발명은 상술한 문제점을 해결하기 위한 것으로, 본 발명의 목적은 웨이퍼의 온도조건을 용이하게 조절할 수 있는 기판처리방법을 제공하는 데 있다.The present invention is to solve the above problems, an object of the present invention is to provide a substrate processing method that can easily adjust the temperature conditions of the wafer.

본 발명의 다른 목적은 빠르게 웨이퍼의 온도 균일도를 확보할 수 있는 기판처리방법을 제공하는 데 있다.Another object of the present invention to provide a substrate processing method that can quickly ensure the temperature uniformity of the wafer.

본 발명의 또 다른 목적들은 다음의 상세한 설명과 첨부한 도면으로부터 보다 명확해질 것이다.Still other objects of the present invention will become more apparent from the following detailed description and the accompanying drawings.

본 발명의 일 실시예에 의하면, 기판 처리 방법은 공정챔버 내에 기판을 로딩하는 단계, 상기 공정챔버에 상기 기판을 처리하기 위한 공정가스를 공급하여서 상기 공정챔버 내의 압력을 기설정된 압력으로 증압하여 상기 기판의 온도를 안정화시키는 단계, 상기 공정챔버 내의 압력을 공정압력으로 감압하여 상기 기판에 대한 공정을 수행하는 단계, 그리고 상기 기판을 상기 공정챔버의 외부로 언로딩하는 단계를 포함한다.According to an embodiment of the present invention, a substrate processing method includes loading a substrate in a process chamber, supplying a process gas for processing the substrate to the process chamber, and increasing the pressure in the process chamber to a predetermined pressure to increase the pressure. Stabilizing a temperature of the substrate, performing a process on the substrate by reducing the pressure in the process chamber to a process pressure, and unloading the substrate to the outside of the process chamber.

삭제delete

상기 공정가스는 에칭가스 또는 세정가스를 포함할 수 있다.The process gas may include an etching gas or a cleaning gas.

상기 기판에 대한 공정을 수행하는 단계는 상기 공정챔버 내에 전계를 형성한 상태에서 상기 공정챔버 내에 공정가스를 공급하여 플라스마를 생성하고, 생성된 플라스마를 이용하여 상기 기판을 처리하는 단계를 포함할 수 있다.The performing of the process on the substrate may include supplying a process gas into the process chamber while generating an electric field in the process chamber to generate plasma, and processing the substrate using the generated plasma. have.

상기 기판에 대한 공정을 수행하는 단계는 상기 기판을 가열하여 상기 기판의 상부면에 형성된 공정부산물을 제거하는 단계를 포함할 수 있다.The performing of the process on the substrate may include heating the substrate to remove process by-products formed on the upper surface of the substrate.

본 발명의 다른 실시예에 의하면, 기판 처리 방법은 제1 챔버 내에 기판을 로딩하는 단계, 상기 제1 챔버에 상기 기판을 처리하기 위한 공정가스를 공급하여서 상기 제1 챔버 내의 압력을 기설정된 압력으로 증압하여 상기 기판의 온도를 안정화시키는 단계, 상기 제1 챔버 내의 압력을 공정압력으로 감압하여 상기 기판에 대한 제1 공정을 수행하는 단계, 상기 기판을 상기 제1 챔버의 외부로 언로딩하고 제2 챔버 내에 로딩하는 단계, 상기 제2 챔버 내의 압력을 기설정된 압력으로 증압하여 상기 기판의 온도를 안정화시키는 단계, 상기 제2 챔버 내의 압력을 공정압력으로 감압하여 상기 기판에 대한 제2 공정을 수행하는 단계, 그리고 상기 기판을 상기 제2 챔버의 외부로 언로딩하는 단계를 포함한다.According to another embodiment of the present invention, the substrate processing method includes loading a substrate into a first chamber, supplying a process gas for processing the substrate to the first chamber, and thereby setting the pressure in the first chamber to a predetermined pressure. Increasing the temperature of the substrate by increasing the pressure, reducing the pressure in the first chamber to a process pressure to perform a first process on the substrate, and unloading the substrate to the outside of the first chamber; Loading the chamber, increasing the pressure in the second chamber to a predetermined pressure to stabilize the temperature of the substrate, and reducing the pressure in the second chamber to a process pressure to perform a second process on the substrate. And unloading the substrate out of the second chamber.

상기 제1 챔버 내에서 상기 기판에 대한 제1 공정을 수행하는 단계는 상기 제1 챔버 내에 전계를 형성한 상태에서 상기 제1 챔버 내에 공정가스를 공급하여 플라스마를 생성하고, 생성된 플라스마를 이용하여 상기 기판을 처리하는 단계를 포함할 수 있다.The performing of the first process on the substrate in the first chamber may be performed by supplying a process gas into the first chamber in a state in which an electric field is formed in the first chamber to generate a plasma, and using the generated plasma. And processing the substrate.

상기 제2 챔버 내에서 상기 기판에 대한 제2 공정을 수행하는 단계는 상기 기판을 가열하여 상기 기판의 상부면에 형성된 공정부산물을 제거하는 단계를 포함할 수 있다.The performing of the second process on the substrate in the second chamber may include heating the substrate to remove process by-products formed on the upper surface of the substrate.

상기 공정가스는 에칭가스 또는 세정가스를 포함할 수 있다.The process gas may include an etching gas or a cleaning gas.

삭제delete

이하, 본 발명의 바람직한 실시예들을 첨부된 도 1 내지 도 7을 참고하여 더욱 상세히 설명한다. 본 발명의 실시예들은 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예들에 한정되는 것으로 해석되어서는 안 된다. 본 실시예들은 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다.Hereinafter, preferred embodiments of the present invention will be described in more detail with reference to FIGS. 1 to 7. Embodiments of the invention may be modified in various forms, the scope of the invention should not be construed as limited to the embodiments described below. These embodiments are provided to explain in detail the present invention to those skilled in the art. Accordingly, the shape of each element shown in the drawings may be exaggerated to emphasize a more clear description.

한편, 이하에서는 기판의 일례로 웨이퍼(W)를 들어 설명하나, 본 발명의 기술적 사상과 범위는 이에 한정되지 않는다. 또한, 이하에서는 본 발명을 설명하기 위하여 플라스마 에칭장치를 예로 들어 설명하나, 본 발명은 웨이퍼를 플레이트에 올려놓은 상태에서 공정을 진행하는 다양한 반도체 제조장치에 응용될 수 있다. 또한, 이하에서는 유도 결합 플라스마(Inductively Coupled Plasma:ICP) 타입의 플라스마장치를 예로 들어 설명하고 있으나, 전자 사이클로트론 공명(Electron Cyclotron Resonance:ECR) 타입을 포함하는 다양한 플라스마장치에 응용될 수 있 다.Meanwhile, hereinafter, the wafer W will be described as an example of the substrate, but the spirit and scope of the present invention are not limited thereto. In addition, hereinafter, the plasma etching apparatus will be described as an example in order to explain the present invention. However, the present invention can be applied to various semiconductor manufacturing apparatuses which perform a process while a wafer is placed on a plate. In addition, hereinafter, although an inductively coupled plasma (ICP) type plasma apparatus has been described as an example, it may be applied to various plasma apparatuses including an electron cyclotron resonance (ECR) type.

도 1은 본 발명에 따른 공정모듈들(10a, 10b)을 포함하는 반도체 제조설비(1)를 개략적으로 나타내는 도면이다.1 is a view schematically showing a semiconductor manufacturing apparatus 1 including process modules 10a and 10b according to the present invention.

도 1을 살펴보면, 반도체 제조설비(1)는 공정설비(2), 설비 전방 단부 모듈(Equipment Front End Module:EFEM)(3), 그리고 경계벽(interface wall)(4)을 포함한다.Referring to FIG. 1, a semiconductor manufacturing facility 1 includes a process facility 2, an Equipment Front End Module (EFEM) 3, and an interface wall 4.

설비 전방 단부 모듈(3)은 공정설비(2)의 전방에 장착되어, 웨이퍼들(W)이 수용된 용기(도시안됨)와 공정설비(2) 간에 웨이퍼(W)를 이송한다. 설비 전방 단부 모듈(3)은 복수의 로드포트들(loadports)(60)과 프레임(frame)(50)을 가진다. 프레임(50)은 로드포트(60)와 공정 설비(2) 사이에 위치한다. 웨이퍼(W)를 수용하는 용기는 오버헤드 트랜스퍼(overhead transfer), 오버헤드 컨베이어(overhead conveyor), 또는 자동 안내 차량(automatic guided vehicle)과 같은 이송 수단(도시안됨)에 의해 로드포트(60) 상에 놓여진다. 용기는 전면 개방 일체식 포드(Front Open Unified Pod:FOUP)와 같은 밀폐용 용기가 사용될 수 있다. 프레임(50) 내에는 로드포트(60)에 놓여진 용기와 공정설비(2) 간에 웨이퍼(W)를 이송하는 프레임 로봇(70)이 설치된다. 프레임(50) 내에는 용기의 도어를 자동으로 개폐하는 도어 오프너(도시안됨)가 설치될 수 있다. 또한, 프레임(50)에는 청정 공기가 프레임(50) 내 상부에서 하부로 흐르도록 청정 공기를 프레임(50) 내로 공급하는 팬필터 유닛(Fan Filter Unit:FFU)(도시안됨)이 제공될 수 있다.The plant front end module 3 is mounted in front of the process plant 2 to transfer the wafer W between the vessel (not shown) in which the wafers W are housed and the process plant 2. The facility front end module 3 has a plurality of loadports 60 and a frame 50. The frame 50 is located between the load port 60 and the process equipment 2. The container containing the wafer W is placed on the load port 60 by a transfer means (not shown), such as an overhead transfer, an overhead conveyor, or an automatic guided vehicle. Is put on. The container may be a closed container such as a front open unified pod (FOUP). In the frame 50, a frame robot 70 for transferring the wafer W is installed between the vessel placed in the load port 60 and the process facility 2. In the frame 50, a door opener (not shown) for automatically opening and closing the door of the container may be installed. In addition, the frame 50 may be provided with a fan filter unit (FFU) (not shown) for supplying clean air into the frame 50 so that clean air flows from the top to the bottom in the frame 50. .

웨이퍼(W)는 공정설비(2) 내에서 소정의 공정이 수행된다. 공정설비(2)는 로드록 챔버(loadlock chamber)(20), 트랜스퍼 챔버(transfer chamber)(30), 그리고 공정모듈들(process modules)(10)을 가진다. 트랜스퍼 챔버(30)는 상부에서 바라볼 때 대체로 다각의 형상을 가진다. 트랜스퍼 챔버(30)의 측면에는 로드록 챔버(20) 또는 공정모듈들(10a, 10b)이 위치된다. 로드록 챔버(20)는 트랜스퍼 챔버(30)의 측부들 중 설비 전방 단부 모듈(3)과 인접한 측부에 위치되고, 공정모듈들(10a, 10b)은 다른 측부에 위치된다. 로드록 챔버(20)는 공정 진행을 위해 공정설비(2)로 유입되는 웨이퍼들(W)이 일시적으로 머무르는 로딩 챔버(20a)와 공정이 완료되어 공정설비(2)로부터 유출되는 웨이퍼들(W)이 일시적으로 머무르는 언로딩 챔버(20b)를 가진다. 트랜스퍼 챔버(30) 및 공정모듈들(10a, 10b) 내부는 진공으로 유지되고, 로드록 챔버(20) 내부는 진공 및 대기압으로 전환된다. 로드록 챔버(20)는 외부 오염물질이 트랜스퍼 챔버(30) 및 공정모듈들(10a, 10b)로 유입되는 것을 방지한다. 로드록 챔버(20)와 트랜스퍼 챔버(30) 사이, 그리고 로드록 챔버(20)와 설비 전방 단부 모듈(3) 사이에는 게이트 밸브(도시안됨)가 설치된다. 설비 전방 단부 모듈(3)과 로드록 챔버(20) 간에 웨이퍼(W)가 이동하는 경우, 로드록 챔버(20)와 트랜스퍼 챔버(30) 사이에 제공된 게이트 밸브가 닫히고, 로드록 챔버(20)와 트랜스퍼 챔버(30) 간에 웨이퍼(W)가 이동되는 경우, 로드록 챔버(20)와 설비 전방 단부 모듈(3) 사이에 제공되는 게이트 밸브가 닫힌다.The wafer W is subjected to a predetermined process in the process facility 2. The process facility 2 has a loadlock chamber 20, a transfer chamber 30, and process modules 10. The transfer chamber 30 has a generally polygonal shape when viewed from the top. The load lock chamber 20 or the process modules 10a and 10b are positioned at the side of the transfer chamber 30. The loadlock chamber 20 is located on the side adjacent to the facility front end module 3 of the sides of the transfer chamber 30, and the process modules 10a, 10b are located on the other side. The load lock chamber 20 includes a loading chamber 20a in which the wafers W flowing into the process facility 2 temporarily stay in order to proceed with the process, and wafers W exiting the process facility 2 after the process is completed. ) Has an unloading chamber 20b that temporarily stays. The transfer chamber 30 and the process modules 10a and 10b are maintained in a vacuum, and the load lock chamber 20 is converted into a vacuum and atmospheric pressure. The load lock chamber 20 prevents foreign contaminants from entering the transfer chamber 30 and the process modules 10a and 10b. A gate valve (not shown) is installed between the load lock chamber 20 and the transfer chamber 30 and between the load lock chamber 20 and the facility front end module 3. When the wafer W moves between the facility front end module 3 and the load lock chamber 20, the gate valve provided between the load lock chamber 20 and the transfer chamber 30 is closed and the load lock chamber 20 is closed. When the wafer W is moved between the transfer chamber 30 and the transfer chamber 30, the gate valve provided between the load lock chamber 20 and the facility front end module 3 is closed.

트랜스퍼 챔버(30) 내에는 이송 로봇(40)이 장착된다. 이송 로봇(40)은 공정모듈들(10a, 10b)로 웨이퍼(W)를 로딩하거나 공정모듈들(10a, 10b)로부터 웨이퍼(W)를 언로딩한다. 또한, 이송 로봇(40)은 공정모듈들(10a, 10b)과 로드록 챔버(20) 간에 웨이퍼(W)를 이송한다.The transfer robot 40 is mounted in the transfer chamber 30. The transfer robot 40 loads the wafer W into the process modules 10a and 10b or unloads the wafer W from the process modules 10a and 10b. In addition, the transfer robot 40 transfers the wafer W between the process modules 10a and 10b and the load lock chamber 20.

공정모듈들(10a, 10b)은 웨이퍼(W)에 대하여 소정의 공정, 예컨대 에칭, 세정(cleaning), 애싱(ashing)과 같은 공정을 수행한다. 공정모듈들(10a, 10b)은 한 개의 조를 이루며, 웨이퍼(W)에 대한 공정을 연속적으로 수행한다.Process modules 10a and 10b perform a predetermined process on the wafer W, such as etching, cleaning, and ashing. The process modules 10a and 10b form a pair and continuously perform the process on the wafer W.

도 2는 도 1의 제1 공정모듈(10a)을 개략적으로 나타내는 도면이다.FIG. 2 is a diagram schematically illustrating the first process module 10a of FIG. 1.

제1 공정모듈(10a)은 제1 공정챔버(120), 제1 플레이트(140), 제1 배기라인(160), 코일(170), 그리고 제1 공급라인(180)을 포함한다.The first process module 10a includes a first process chamber 120, a first plate 140, a first exhaust line 160, a coil 170, and a first supply line 180.

제1 공정챔버(120)는 에칭 공정이 이루어지는 내부공간을 제공하며, 공정진행시 제1 공정챔버(120)의 내부공간은 외부로부터 차단된다. 제1 공정챔버(120)의 일측에는 웨이퍼(W)가 출입하는 통로(122)가 형성된다. 통로(122)는 슬릿도어(slit door)(도시안됨)와 같은 개폐부재에 의해 개폐된다. 제1 공정챔버(120)의 타측에는 제1 공급홀(126)이 형성된다. 후술하는 제1 공급라인(180)을 통해 공급되는 가스는 제1 공급홀(126)을 통해 제1 공정챔버(120)의 내부로 유입된다. 제1 공정챔버(120)의 바닥벽에는 제1 공정챔버(120) 내 가스를 배출하는 제1 배기홀(124)이 형성된다. 제1 배기홀(124)은 후술하는 제1 플레이트(140)의 둘레에 형성되며, 제1 배기홀(124)에는 후술하는 제1 배기라인(160)이 형성된다.The first process chamber 120 provides an internal space where an etching process is performed, and the internal space of the first process chamber 120 is blocked from the outside during the process. One side of the first process chamber 120 is formed with a passage 122 through which the wafer W enters and exits. The passage 122 is opened and closed by an opening and closing member such as a slit door (not shown). The first supply hole 126 is formed at the other side of the first process chamber 120. Gas supplied through the first supply line 180 to be described later is introduced into the first process chamber 120 through the first supply hole 126. The first exhaust hole 124 for discharging the gas in the first process chamber 120 is formed in the bottom wall of the first process chamber 120. The first exhaust hole 124 is formed around the first plate 140 to be described later, and the first exhaust hole 124 is formed with a first exhaust line 160 to be described later.

제1 공정챔버(120)의 내부공간에는 제1 플레이트(140)가 설치된다. 제1 플레 이트(140)는 지지축(142)에 의해 지지된다. 웨이퍼(W)는 제1 플레이트(140)의 상부면에 놓여진다. 제1 플레이트(140)는 접지되며, 후술하는 코일(170)과 함께 제1 공정챔버(120)의 내부에 전계를 형성한다. 제1 플레이트(140) 상에는 복수의 지지돌기들(140a)이 설치되며, 웨이퍼(W)의 배면은 복수의 지지돌기들(140a)에 의해 지지된다. 따라서, 웨이퍼(W)는 제1 플레이트(140)의 상부면으로부터 일정거리 이격된 상태를 유지한다.The first plate 140 is installed in the internal space of the first process chamber 120. The first plate 140 is supported by the support shaft 142. The wafer W is placed on the upper surface of the first plate 140. The first plate 140 is grounded and forms an electric field inside the first process chamber 120 together with the coil 170 to be described later. A plurality of support protrusions 140a are installed on the first plate 140, and a rear surface of the wafer W is supported by the plurality of support protrusions 140a. Thus, the wafer W is maintained at a distance from the upper surface of the first plate 140.

제1 배기라인(160)은 제1 배기홀(124)에 연결되어 제1 공정챔버(120) 내부의 압력조절 및 내부 공기의 배기를 수행한다. 제1 배기라인(160) 상에는 강제배기를 위한 별도의 펌프(pump)(도시안됨)가 설치될 수 있다. 따라서, 펌프를 이용하여 제1 공정챔버(120)의 내부 압력을 강제로 낮출 수 있다.The first exhaust line 160 is connected to the first exhaust hole 124 to control the pressure inside the first process chamber 120 and exhaust the internal air. On the first exhaust line 160, a separate pump (not shown) for forced exhaust may be installed. Therefore, the internal pressure of the first process chamber 120 may be forcibly reduced by using a pump.

제1 공정챔버(120)의 상부에는 코일(170)이 설치되며, 코일(170)에는 고주파 발생기(도시안됨)가 연결된다. 코일(170)에 연결된 고주파발생기를 작동시키면, 코일(170)에서 고주파 에너지가 발생되며, 발생된 에너지는 제1 공정챔버(120)의 상부벽을 통하여 제1 공정챔버(120)의 내부에 전달되어 제1 공정챔버(120)의 내부에 전계를 형성한다. 한편, 본 실시예에서는 코일(170)이 제1 공정챔버(120)의 상부에 제공되는 것으로 설명하고 있으나, 코일(170)의 위치는 다양하게 변형될 수 있다.A coil 170 is installed above the first process chamber 120, and a high frequency generator (not shown) is connected to the coil 170. When the high frequency generator connected to the coil 170 is operated, high frequency energy is generated in the coil 170, and the generated energy is transferred to the inside of the first process chamber 120 through the upper wall of the first process chamber 120. To form an electric field in the first process chamber 120. Meanwhile, in the present embodiment, the coil 170 is described as being provided above the first process chamber 120, but the position of the coil 170 may be variously modified.

제1 공급라인(180)은 공정가스 라인(182) 및 퍼지가스 라인(184)으로 분기되며, 공정가스 라인(182)의 내부에는 공정가스가 흐르고 퍼지가스 라인(184)의 내부 에는 퍼지가스가 흐른다. 따라서, 공정가스 및 퍼지가스는 제1 공급라인(180)을 통해 제1 공정챔버(120)의 내부에 공급된다. 한편, 공정가스 라인(182) 상에는 공정가스 라인(182)을 개폐하는 밸브(182a)가 설치되며, 퍼지가스 라인(184) 상에는 퍼지가스 라인(184)을 개폐하는 밸브(184a)가 설치된다.The first supply line 180 is branched into the process gas line 182 and the purge gas line 184, and a process gas flows inside the process gas line 182, and a purge gas flows inside the purge gas line 184. Flow. Therefore, the process gas and the purge gas are supplied into the first process chamber 120 through the first supply line 180. On the other hand, a valve 182a for opening and closing the process gas line 182 is provided on the process gas line 182, and a valve 184a for opening and closing the purge gas line 184 is provided on the purge gas line 184.

공정가스는 제1 공정챔버(120) 내에서 이루어지는 공정에 따라 결정되므로, 제1 공정챔버(120) 내에서 에칭 공정이 이루어지는 경우 공정가스는 에칭가스이며, 세정 공정이 이루어지는 경우 공정가스는 세정가스이다. 퍼지가스는 제1 공정챔버(120)의 유지보수시, 내부의 유독가스를 외부로 배출하기 위하여 제1 공정챔버(120)의 내부에 공급된다. 퍼지가스는 질소(N2)와 같은 비활성가스를 포함한다.Since the process gas is determined according to the process performed in the first process chamber 120, when the etching process is performed in the first process chamber 120, the process gas is an etching gas, and when the cleaning process is performed, the process gas is a cleaning gas. to be. The purge gas is supplied to the inside of the first process chamber 120 to discharge the toxic gas therein to the outside during maintenance of the first process chamber 120. The purge gas includes an inert gas such as nitrogen (N 2 ).

도 3은 도 1의 제2 공정모듈(10b)을 개략적으로 나타내는 도면이다.3 is a view schematically illustrating the second process module 10b of FIG. 1.

제2 공정모듈(10b)은 제2 공정챔버(220), 제2 플레이트(240), 제2 배기라인(260), 그리고 제2 공급라인(280)을 포함한다.The second process module 10b includes a second process chamber 220, a second plate 240, a second exhaust line 260, and a second supply line 280.

제2 공정챔버(220)는 에칭 공정이 이루어지는 내부공간을 제공하며, 공정진행시 제2 공정챔버(220)의 내부공간은 외부로부터 차단된다. 제2 공정챔버(220)의 일측에는 웨이퍼(W)가 출입하는 통로(222)가 형성된다. 통로(222)는 슬릿도어(slit door)(도시안됨)와 같은 개폐부재에 의해 개폐된다. 제2 공정챔버(220)의 타측에는 제2 공급홀(226)이 형성된다. 후술하는 제2 공급라인(280)을 통해 공급되는 가스는 제2 공급홀(226)을 통해 제2 공정챔버(220)의 내부로 유입된다. 제2 공정챔버(220) 의 바닥벽에는 제2 공정챔버(220) 내 가스를 배출하는 제2 배기홀(224)이 형성된다. 제2 배기홀(224)은 후술하는 제2 플레이트(240)의 둘레에 형성되며, 제2 배기홀(224)에는 후술하는 제2 배기라인(260)이 형성된다.The second process chamber 220 provides an internal space where an etching process is performed, and the internal space of the second process chamber 220 is blocked from the outside during the process. One side of the second process chamber 220 is formed with a passage 222 through which the wafer W enters and exits. The passage 222 is opened and closed by an opening and closing member such as a slit door (not shown). The second supply hole 226 is formed at the other side of the second process chamber 220. Gas supplied through the second supply line 280 to be described later is introduced into the second process chamber 220 through the second supply hole 226. A second exhaust hole 224 for discharging gas in the second process chamber 220 is formed in the bottom wall of the second process chamber 220. The second exhaust hole 224 is formed around the second plate 240 to be described later, and the second exhaust line 260 is formed in the second exhaust hole 224.

제2 공정챔버(220)의 내부공간에는 제2 플레이트(240)가 설치된다. 제2 플레이트(240)는 지지축(244)에 의해 지지된다. 웨이퍼(W)는 제2 플레이트(240)의 상부면에 놓여진다. 제2 플레이트(240)의 내부에는 히터(242)가 설치된다. 히터(242)는 제2 플레이트(240)의 상부면에 안착된 웨이퍼(W)를 공정온도로 가열한다. 제2 플레이트(240) 상에는 복수의 지지돌기들(240a)이 설치되며, 웨이퍼(W)의 배면은 복수의 지지돌기들(240a)에 의해 지지된다. 따라서, 웨이퍼(W)는 제1 플레이트(240)의 상부면으로부터 일정거리 이격된 상태를 유지한다.The second plate 240 is installed in the inner space of the second process chamber 220. The second plate 240 is supported by the support shaft 244. The wafer W is placed on the upper surface of the second plate 240. The heater 242 is installed inside the second plate 240. The heater 242 heats the wafer W seated on the upper surface of the second plate 240 to a process temperature. A plurality of support protrusions 240a are installed on the second plate 240, and a rear surface of the wafer W is supported by the plurality of support protrusions 240a. Therefore, the wafer W is maintained at a distance from the upper surface of the first plate 240.

제2 배기라인(260)은 제2 배기홀(224)에 연결되어 제2 공정챔버(220) 내부의 압력조절 및 내부 공기의 배기를 수행한다. 제2 배기라인(260) 상에는 강제배기를 위한 별도의 펌프(pump)(도시안됨)가 설치될 수 있다. 따라서, 펌프를 이용하여 제2 공정챔버(220)의 내부 압력을 강제로 낮출 수 있다.The second exhaust line 260 is connected to the second exhaust hole 224 to control the pressure inside the second process chamber 220 and exhaust the internal air. On the second exhaust line 260, a separate pump (not shown) for forced exhaust may be installed. Therefore, the internal pressure of the second process chamber 220 may be forcibly reduced by using a pump.

제2 공급라인(280)의 내부에는 퍼지가스가 흐르며, 퍼지가스는 제2 공급라인(280)을 통해 제2 공정챔버(220)의 내부에 공급된다. 한편, 제2 공급라인(280) 상에는 제2 공급라인(280)을 개폐하는 밸브(280a)가 설치된다. 퍼지가스는 제2 공정챔버(220)의 유지보수시, 내부의 유독가스를 외부로 배출하기 위하여 제2 공정챔 버(220)의 내부에 공급된다. 퍼지가스는 질소(N2)와 같은 비활성가스를 포함한다.The purge gas flows inside the second supply line 280, and the purge gas is supplied into the second process chamber 220 through the second supply line 280. Meanwhile, a valve 280a for opening and closing the second supply line 280 is installed on the second supply line 280. The purge gas is supplied to the inside of the second process chamber 220 to discharge the toxic gas therein to the outside during maintenance of the second process chamber 220. The purge gas includes an inert gas such as nitrogen (N 2 ).

도 4는 본 발명에 따른 기판 처리 방법을 나타내는 흐름도이며. 도 5는 도 2의 제1 공정챔버(120) 및 도 3의 제2 공정챔버(220) 내의 압력변화를 나타내는 그래프이다.4 is a flowchart showing a substrate processing method according to the present invention. 5 is a graph illustrating a change in pressure in the first process chamber 120 of FIG. 2 and the second process chamber 220 of FIG. 3.

이하, 도 2 내지 도 5을 참고하여 웨이퍼(W)에 대한 에칭 공정 및 웨이퍼(W)의 온도를 조절하는 방법을 설명하기로 한다.Hereinafter, an etching process for the wafer W and a method of adjusting the temperature of the wafer W will be described with reference to FIGS. 2 to 5.

먼저, 웨이퍼(W)를 제1 공정챔버(120)의 내부에 로딩한다(S10). 이송 로봇(40)은 웨이퍼(W)를 제1 공정모듈(10a)로 로딩하며, 웨이퍼(W)는 제1 공정챔버(120)의 일측에 형성된 제1 통로(122)를 통해 제1 플레이트(140) 상에 놓여진다. 이때, 제1 공정챔버(120) 내부의 압력은 진공 상태를 유지한다('a' 구간:웨이퍼 로딩 구간)First, the wafer W is loaded into the first process chamber 120 (S10). The transfer robot 40 loads the wafer W into the first process module 10a, and the wafer W is formed through the first passage 122 formed at one side of the first process chamber 120. 140). At this time, the pressure inside the first process chamber 120 maintains a vacuum state ('a' section: wafer loading section)

다음, 제1 공정챔버(120)의 내부공간을 외부로부터 차단한 상태에서 제1 공정챔버(120) 내부의 압력을 기설정된 압력으로 증압하여 웨이퍼(W)의 온도를 안정화시킨다(S20). 웨이퍼(W)의 온도를 안정화시킨다는 의미는 웨이퍼(W)와 제1 플레이트(140)의 온도차이가 기설정된 범위 내에 있도록 한다거나 또는 웨이퍼(W)의 영역별 온도편차가 일정 범위 내에 있도록 함을 의미한다. 이밖에도 웨이퍼(W)의 온도를 기설정된 온도로 조절함을 의미한다. 이때, 웨이퍼(W)의 온도가 안정화되었다고 판단할 수 있는 범위는 작업자의 요구에 따라 결정될 수 있다.Next, in the state in which the internal space of the first process chamber 120 is blocked from the outside, the pressure inside the first process chamber 120 is increased to a predetermined pressure to stabilize the temperature of the wafer W (S20). Stabilizing the temperature of the wafer W means that the temperature difference between the wafer W and the first plate 140 is within a predetermined range, or the temperature deviation of each area of the wafer W is within a predetermined range. do. In addition, this means that the temperature of the wafer W is adjusted to a preset temperature. In this case, a range in which the temperature of the wafer W may be determined to be stabilized may be determined according to a worker's request.

제1 공정챔버(120) 내부압력은 진공 상태(vacuum:V)에서 15T(Torr) 이상(바람직하게는 20T)까지 증가한다('b' 구간:온도 안정화 구간). 제1 공정챔버(120)의 압력을 증압시키는 방법은 두 가지가 있다. 첫번째 방법은 제1 공정챔버(120)의 내부에 공정가스를 공급하는 것이며, 두번째 방법은 제1 공정챔버(120)의 내부에 퍼지가스를 공급하는 것이다. 공정가스 또는 퍼지가스는 제1 공급라인(180)을 통해 제1 공정챔버(120)의 내부에 공급된다. 제1 공정챔버(120)의 내부에 가스를 강제공급하면 제1 공정챔버(120) 내부의 압력은 증가한다.The internal pressure of the first process chamber 120 increases from a vacuum (V) to 15T (Torr) or more (preferably 20T) ('b' section: temperature stabilization section). There are two ways to increase the pressure of the first process chamber 120. The first method is to supply process gas into the first process chamber 120, and the second method is to supply purge gas to the inside of the first process chamber 120. Process gas or purge gas is supplied into the first process chamber 120 through the first supply line 180. When gas is forcibly supplied into the first process chamber 120, the pressure inside the first process chamber 120 increases.

제1 공정챔버(120) 내부에 가스가 강제공급됨에 따라, 제1 공정챔버(120)의 내부는 가스로 채워지며, 이로 인해 제1 공정챔버(120) 내부의 압력 및 가스 분자들의 밀도는 증가한다. 제1 공정챔버(120) 내부를 채우고 있는 가스 분자들은 제1 플레이트(140)와 웨이퍼(W) 사이에서 온도전달 매개체 역할을 한다. 따라서, 제1 플레이트(140)와 웨이퍼(W) 사이에는 열전달이 원활하게 이루어질 수 있다.As gas is forcedly supplied into the first process chamber 120, the inside of the first process chamber 120 is filled with gas, thereby increasing the pressure and density of gas molecules inside the first process chamber 120. do. Gas molecules filling the inside of the first process chamber 120 serve as a temperature transfer medium between the first plate 140 and the wafer (W). Therefore, heat transfer may be smoothly performed between the first plate 140 and the wafer (W).

도 6은 도 2의 제1 공정모듈(10a)에서 측정한 웨이퍼(W)의 온도변화를 나타내는 그래프이며, 웨이퍼(W)의 온도는 다양한 영역에서 측정되었다. 도 6의 좌측 그래프는 제1 공정챔버(120)의 내부가 진공상태일 때 웨이퍼(W)의 온도변화를 나타내며, 도 6의 우측 그래프는 제1 공정챔버(120)의 내부가 고압상태일 때 웨이퍼(W)의 온도변화를 나타낸다. 도 6에서, ①은 웨이퍼(W)가 제1 플레이트(140) 상에 놓여지는 시점을 나타낸다. FIG. 6 is a graph illustrating a temperature change of the wafer W measured by the first process module 10a of FIG. 2, and the temperature of the wafer W is measured in various regions. The left graph of FIG. 6 shows the temperature change of the wafer W when the inside of the first process chamber 120 is in a vacuum state, and the right graph of FIG. 6 when the inside of the first process chamber 120 is in a high pressure state. The temperature change of the wafer W is shown. In FIG. 6,? Indicates a time point at which the wafer W is placed on the first plate 140.

웨이퍼(W)는 이송 로봇(40)에 의해 제1 공정챔버(120)의 내부에 로딩되며, 웨이퍼(W)는 제1 플레이트(140)의 온도보다 높다. 웨이퍼(W)가 제1 플레이트(140) 상에 놓여진 후, 웨이퍼(W)와 제1 플레이트(140) 사이에는 열전달이 이루어지며, 웨이퍼(W)는 점차 냉각된다.The wafer W is loaded into the first process chamber 120 by the transfer robot 40, and the wafer W is higher than the temperature of the first plate 140. After the wafer W is placed on the first plate 140, heat transfer occurs between the wafer W and the first plate 140, and the wafer W is gradually cooled.

이때, 도 6의 좌측그래프에 도시한 웨이퍼(W)는 영역에 따라 큰 온도편차를 보이며, 서서히 냉각된다. 그러나, 도 6의 우측그래프에 도시한 웨이퍼(W)는 처음에는 영역에 따라 큰 온도편차를 보이나, 제1 공정챔버(120)의 내부압력이 증가함에 따라('H' 시점) 웨이퍼(W)의 온도는 빠르게 수렴됨을 알 수 있다. 이와 같은 결과는 제1 공정챔버(120) 내부압력이 높은 경우, 가스 분자들에 의하여 열전달이 원활하게 이루어지기 때문이다. 즉, 내부압력이 높은 경우, 가스 분자들의 도움을 받아 웨이퍼(W)의 온도를 빠르게 조절할 수 있다. 또한, 동일한 이유로 인하여 웨이퍼(W)의 영역별 온도편차는 감소할 수 있다.At this time, the wafer W shown in the left graph of FIG. 6 shows a large temperature deviation depending on the area, and is gradually cooled. However, the wafer W shown in the right graph of FIG. 6 initially shows a large temperature deviation depending on the region, but as the internal pressure of the first process chamber 120 increases ('H' time point), the wafer W It can be seen that the temperature of converges quickly. This result is because when the internal pressure of the first process chamber 120 is high, heat transfer is smoothly performed by gas molecules. That is, when the internal pressure is high, the temperature of the wafer W may be quickly controlled with the help of gas molecules. In addition, the temperature deviation for each region of the wafer W may be reduced for the same reason.

다음, 웨이퍼(W)의 온도가 안정화되면, 제1 공정챔버(120)의 내부압력을 공정압력으로 감압하며, 웨이퍼(W)에 대한 제1 공정을 수행한다(S30). 제1 공정챔버(120)의 내부압력은 20T에서 5T 이하(바람직하게는 1T)까지 감소한다. 즉, 제1 공정모듈(10a)의 공정압력은 1T이다('c' 구간:공정구간).Next, when the temperature of the wafer W is stabilized, the internal pressure of the first process chamber 120 is reduced to a process pressure, and a first process is performed on the wafer W (S30). The internal pressure of the first process chamber 120 decreases from 20T to 5T or less (preferably 1T). That is, the process pressure of the first process module 10a is 1T ('c' section: process section).

제1 공정챔버(120)의 내부압력이 공정압력에 도달하면, 제1 공정챔버(120) 내에 공정가스가 공급된다. 공정가스는 공정가스라인(182) 및 제1 공급라인(180)을 통해 공급된다. 제1 공정챔버(120) 내에 전계가 형성되면 공정가스로부터 플라스마가 생성되며, 생성된 플라스마는 웨이퍼(W) 표면을 에칭한다. 공정가스는 에칭하고 자 하는 막에 따라 결정된다.When the internal pressure of the first process chamber 120 reaches the process pressure, the process gas is supplied into the first process chamber 120. The process gas is supplied through the process gas line 182 and the first supply line 180. When an electric field is formed in the first process chamber 120, plasma is generated from the process gas, and the generated plasma etches the wafer W surface. The process gas is determined by the film to be etched.

다음, 제1 공정이 완료되면 웨이퍼(W)는 제1 공정챔버(120)로부터 언로딩되고('d' 구간) 제2 공정챔버(220)에 로딩된다(S40)('a' 구간). 웨이퍼(W)가 제2 공정챔버(220)에 로딩되는 방법은 웨이퍼(W)가 제1 공정챔버(120)에 로딩되는 방법과 같다.Next, when the first process is completed, the wafer W is unloaded from the first process chamber 120 ('d' section) and loaded into the second process chamber 220 (S40) ('a' section). The method of loading the wafer W into the second process chamber 220 is the same as the method of loading the wafer W into the first process chamber 120.

다음, 제2 공정챔버(220)의 내부공간을 외부로부터 차단한 상태에서 제2 공정챔버(220) 내부의 압력을 기설정된 압력으로 증압하여 웨이퍼(W)의 온도를 안정화시킨다(S50). 제2 공정챔버(220) 내부압력은 진공 상태에서 15T(Torr) 이상(바람직하게는 20T)까지 증가한다('b' 구간). 제2 공정챔버(120)의 압력은 제2 공정챔버(220)의 내부에 퍼지가스를 공급하므로써 증가한다. 퍼지가스는 제2 공급라인(280)을 통해 제2 공정챔버(220)의 내부에 공급된다. 이밖에, 웨이퍼(W)의 온도를 안정화시키는 원리는 앞서 설명한 바와 같다.Next, in the state in which the internal space of the second process chamber 220 is blocked from the outside, the pressure inside the second process chamber 220 is increased to a predetermined pressure to stabilize the temperature of the wafer W (S50). The internal pressure of the second process chamber 220 increases to 15T (Torr) or more (preferably 20T) in a vacuum state ('b' section). The pressure of the second process chamber 120 is increased by supplying a purge gas into the second process chamber 220. The purge gas is supplied into the second process chamber 220 through the second supply line 280. In addition, the principle of stabilizing the temperature of the wafer W is as described above.

도 7은 도 3의 제2 공정모듈(10b)에서 측정한 웨이퍼(W)의 온도변화를 나타내는 그래프이며, 웨이퍼(W)의 온도는 다양한 영역에서 측정되었다. 도 7의 좌측그래프는 제2 공정챔버(220)의 내부가 진공상태일 때 웨이퍼(W)의 온도변화를 나타내며, 도 7의 우측그래프는 제2 공정챔버(220)의 내부가 고압상태일 때 웨이퍼(W)의 온도변화를 나타낸다. FIG. 7 is a graph illustrating a temperature change of the wafer W measured by the second process module 10b of FIG. 3, and the temperature of the wafer W is measured in various regions. 7 shows the temperature change of the wafer W when the inside of the second process chamber 220 is in a vacuum state, and the right graph of FIG. 7 shows the temperature change of the inside of the second process chamber 220 in a high pressure state. The temperature change of the wafer W is shown.

웨이퍼(W)는 이송 로봇(40)에 의해 제2 공정챔버(220)의 내부에 로딩되며, 웨이퍼(W)는 제2 플레이트(240)의 온도보다 낮다. 웨이퍼(W)가 제2 플레이트(240) 상에 놓여진 후, 웨이퍼(W)와 제1 플레이트(140) 사이에는 열전달이 이루어지며, 웨이퍼(W)는 점차 가열된다.The wafer W is loaded into the second process chamber 220 by the transfer robot 40, and the wafer W is lower than the temperature of the second plate 240. After the wafer W is placed on the second plate 240, heat transfer occurs between the wafer W and the first plate 140, and the wafer W is gradually heated.

이때, 도 6의 좌측그래프에 도시한 웨이퍼(W)는 영역에 따라 큰 온도편차를 보이나, 도 6의 우측그래프에 도시한 웨이퍼(W)는 영역에 따른 온도편차를 거의 보이지 않는다. 이와 같은 결과는 제1 공정챔버(120) 내부압력이 높은 경우, 가스 분자들에 의하여 열전달이 원활하게 이루어지기 때문이다. 즉, 내부압력이 높은 경우, 가스 분자들의 도움을 받아 웨이퍼(W)의 온도를 빠르게 조절할 수 있다. 또한, 동일한 이유로 인하여 웨이퍼(W)의 영역별 온도편차는 감소할 수 있다.At this time, the wafer W shown in the left graph of FIG. 6 shows a large temperature deviation depending on the area, but the wafer W shown in the right graph of FIG. 6 shows little temperature deviation along the area. This result is because when the internal pressure of the first process chamber 120 is high, heat transfer is smoothly performed by gas molecules. That is, when the internal pressure is high, the temperature of the wafer W may be quickly controlled with the help of gas molecules. In addition, the temperature deviation for each region of the wafer W may be reduced for the same reason.

다음, 웨이퍼(W)의 온도가 안정화되면, 제2 공정챔버(220)의 내부압력을 공정압력으로 감압하며, 웨이퍼(W)에 대한 제2 공정을 수행한다(S60). 제2 공정챔버(220)의 내부압력은 20T에서 5T 이하(바람직하게는 1T)까지 감소한다. 즉, 제2 공정모듈(10b)의 공정압력은 1T이다('c' 구간).Next, when the temperature of the wafer W is stabilized, the internal pressure of the second process chamber 220 is reduced to a process pressure, and a second process is performed on the wafer W (S60). The internal pressure of the second process chamber 220 decreases from 20T to 5T or less (preferably 1T). That is, the process pressure of the second process module 10b is 1T ('c' section).

제2 공정챔버(220)의 내부압력이 공정압력에 도달하면, 제2 플레이트(240)는 웨이퍼(W)를 기설정된 온도로 가열한다. 웨이퍼(W)를 가열하면, 제1 공정(에칭) 후 웨이퍼(W)의 표면에 남아있는 물질들은 증발(vaporization)하며, 기체 상태로 제2 배기라인(260)을 통해 외부로 배출된다.When the internal pressure of the second process chamber 220 reaches the process pressure, the second plate 240 heats the wafer W to a predetermined temperature. When the wafer W is heated, the materials remaining on the surface of the wafer W after the first process (etching) are vaporized and discharged to the outside through the second exhaust line 260 in a gaseous state.

본 발명을 바람직한 실시예들을 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 바람직한 실시예들에 한정되지 않는다.Although the present invention has been described in detail with reference to preferred embodiments, other forms of embodiments are possible. Therefore, the spirit and scope of the claims set forth below are not limited to the preferred embodiments.

본 발명에 의하면, 챔버 내에 가스를 공급하여 챔버 내의 내부압력 및 가스 분자들의 밀도를 증가시키며, 가스 분자들의 도움을 받아 웨이퍼(W)의 온도조절을 쉽게 할 수 있다. 또한, 웨이퍼(W)의 영역별 온도편차를 감소시킬 수 있다. 특히, 퍼지가스 또는 공정가스를 이용하여 웨이퍼(W)의 온도를 쉽게 조절할 수 있다.According to the present invention, by supplying a gas into the chamber to increase the internal pressure and the density of the gas molecules in the chamber, it is possible to easily control the temperature of the wafer (W) with the help of the gas molecules. In addition, it is possible to reduce the temperature deviation for each region of the wafer W. In particular, it is possible to easily control the temperature of the wafer W by using a purge gas or a process gas.

Claims (16)

공정챔버 내에 기판을 로딩하는 단계;Loading a substrate into a process chamber; 상기 공정챔버에 상기 기판을 처리하기 위한 공정가스를 공급하여서 상기 공정챔버 내의 압력을 기설정된 압력으로 증압하여 상기 기판의 온도를 안정화시키는 단계;Supplying a process gas for processing the substrate to the process chamber to increase the pressure in the process chamber to a predetermined pressure to stabilize the temperature of the substrate; 상기 공정챔버 내의 압력을 공정압력으로 감압하고 상기 공정가스를 이용하여 상기 기판에 대한 공정을 수행하는 단계; 및Reducing the pressure in the process chamber to a process pressure and performing a process on the substrate using the process gas; And 상기 기판을 상기 공정챔버의 외부로 언로딩하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.And unloading the substrate out of the process chamber. 삭제delete 삭제delete 삭제delete 제1항에 있어서,The method of claim 1, 상기 공정가스는 에칭가스 또는 세정가스를 포함하는 것을 특징으로 하는 기판 처리 방법.The process gas is a substrate processing method comprising an etching gas or a cleaning gas. 제1항에 있어서,The method of claim 1, 상기 기판에 대한 공정을 수행하는 단계는 상기 공정챔버 내에 전계를 형성한 상태에서 상기 공정챔버 내에 상기 공정가스를 공급하여 플라스마를 생성하고, 생성된 플라스마를 이용하여 상기 기판을 처리하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.The performing of the process on the substrate includes supplying the process gas into the process chamber in a state in which an electric field is formed in the process chamber to generate plasma, and processing the substrate using the generated plasma. The substrate processing method characterized by the above-mentioned. 제6항에 있어서,The method of claim 6, 상기 기판에 대한 공정을 수행하는 단계는 상기 기판을 가열하여 상기 기판의 상부면에 형성된 공정부산물을 제거하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.The performing of the process on the substrate may include heating the substrate to remove process by-products formed on an upper surface of the substrate. 제1항에 있어서,The method of claim 1, 상기 기설정된 압력은 20T(Torr)이며, 상기 공정압력은 1T인 것을 특징으로 하는 기판 처리 방법.The predetermined pressure is 20T (Torr), and the processing pressure is 1T, characterized in that the substrate. 제1 챔버 내에 기판을 로딩하는 단계;Loading a substrate into a first chamber; 상기 제1 챔버에 상기 기판을 처리하기 위한 공정가스를 공급하여서 상기 제1 챔버 내의 압력을 기설정된 압력으로 증압하여 상기 기판의 온도를 안정화시키는 단계;Supplying a process gas for processing the substrate to the first chamber to increase the pressure in the first chamber to a predetermined pressure to stabilize the temperature of the substrate; 상기 제1 챔버 내의 압력을 공정압력으로 감압하고 상기 공정가스를 이용하여 상기 기판에 대한 제1 공정을 수행하는 단계;Reducing the pressure in the first chamber to a process pressure and performing a first process on the substrate using the process gas; 상기 기판을 상기 제1 챔버의 외부로 언로딩하고 제2 챔버 내에 로딩하는 단계;Unloading the substrate out of the first chamber and loading it into a second chamber; 상기 제2 챔버 내의 압력을 기설정된 압력으로 증압하여 상기 기판의 온도를 안정화시키는 단계;Increasing the pressure in the second chamber to a predetermined pressure to stabilize the temperature of the substrate; 상기 제2 챔버 내의 압력을 공정압력으로 감압하여 상기 기판에 대한 제2 공정을 수행하는 단계; 및Reducing the pressure in the second chamber to a process pressure to perform a second process on the substrate; And 상기 기판을 상기 제2 챔버의 외부로 언로딩하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.And unloading the substrate out of the second chamber. 제9항에 있어서,The method of claim 9, 상기 제1 챔버 내에서 상기 기판에 대한 제1 공정을 수행하는 단계는 상기 제1 챔버 내에 전계를 형성한 상태에서 상기 제1 챔버 내에 상기 공정가스를 공급하여 플라스마를 생성하고, 생성된 플라스마를 이용하여 상기 기판을 처리하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.In the performing of the first process on the substrate in the first chamber, the plasma is generated by supplying the process gas into the first chamber while the electric field is formed in the first chamber, and using the generated plasma. Processing the substrate by treating the substrate. 제9항에 있어서,The method of claim 9, 상기 제2 챔버 내에서 상기 기판에 대한 제2 공정을 수행하는 단계는 상기 기판을 가열하여 상기 기판의 상부면에 형성된 공정부산물을 제거하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.And performing a second process on the substrate in the second chamber comprises heating the substrate to remove process by-products formed on an upper surface of the substrate. 삭제delete 삭제delete 삭제delete 제9항에 있어서,The method of claim 9, 상기 공정가스는 에칭가스 또는 세정가스를 포함하는 것을 특징으로 하는 기판 처리 방법.The process gas is a substrate processing method comprising an etching gas or a cleaning gas. 제9항에 있어서,The method of claim 9, 상기 기설정된 압력은 20T(Torr)이며, 상기 공정압력은 1T인 것을 특징으로 하는 기판 처리 방법.The predetermined pressure is 20T (Torr), and the processing pressure is 1T, characterized in that the substrate.
KR1020070025552A 2007-03-15 2007-03-15 Substrate treating method KR100851237B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020070025552A KR100851237B1 (en) 2007-03-15 2007-03-15 Substrate treating method
TW097108865A TW200839858A (en) 2007-03-15 2008-03-13 Substrate treating method
JP2008063438A JP2008227506A (en) 2007-03-15 2008-03-13 Substrate treatment method
CNA200810084739XA CN101266921A (en) 2007-03-15 2008-03-14 Substrate processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070025552A KR100851237B1 (en) 2007-03-15 2007-03-15 Substrate treating method

Publications (1)

Publication Number Publication Date
KR100851237B1 true KR100851237B1 (en) 2008-08-20

Family

ID=39845672

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070025552A KR100851237B1 (en) 2007-03-15 2007-03-15 Substrate treating method

Country Status (4)

Country Link
JP (1) JP2008227506A (en)
KR (1) KR100851237B1 (en)
CN (1) CN101266921A (en)
TW (1) TW200839858A (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103094157B (en) * 2011-10-31 2015-07-15 细美事有限公司 Substrate treating apparatus and substrate treating method
CN104932150B (en) * 2015-07-14 2017-10-17 京东方科技集团股份有限公司 Processing method is dried after drying processing unit and light alignment film after a kind of smooth alignment film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990079578A (en) * 1998-04-07 1999-11-05 김영환 Process chamber purification method of semiconductor manufacturing equipment
JP2001207265A (en) 2000-01-27 2001-07-31 Kubota Corp Film deposition system
JP2007009508A (en) * 2005-06-30 2007-01-18 Kcon Kk Method of repairing existing sewer pipe made of degraded concrete

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0567548A (en) * 1991-09-09 1993-03-19 Fujitsu Ltd Manufacture of thin film circuit device
JPH1012595A (en) * 1996-06-20 1998-01-16 Hitachi Ltd Ashing treatment method
US5879461A (en) * 1997-04-21 1999-03-09 Brooks Automation, Inc. Metered gas control in a substrate processing apparatus
JP4833512B2 (en) * 2003-06-24 2011-12-07 東京エレクトロン株式会社 To-be-processed object processing apparatus, to-be-processed object processing method, and to-be-processed object conveyance method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990079578A (en) * 1998-04-07 1999-11-05 김영환 Process chamber purification method of semiconductor manufacturing equipment
JP2001207265A (en) 2000-01-27 2001-07-31 Kubota Corp Film deposition system
JP2007009508A (en) * 2005-06-30 2007-01-18 Kcon Kk Method of repairing existing sewer pipe made of degraded concrete

Also Published As

Publication number Publication date
TW200839858A (en) 2008-10-01
CN101266921A (en) 2008-09-17
JP2008227506A (en) 2008-09-25

Similar Documents

Publication Publication Date Title
KR101495288B1 (en) An apparatus and a method for treating a substrate
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
WO2015030968A1 (en) Low temperature plasma anneal process for sublimative etch processes
US20200411293A1 (en) Plasma processing apparatus, processing system, and method of etching porous film
KR100851237B1 (en) Substrate treating method
KR101730147B1 (en) Apparatus and method for treating a substrate
JP2019036513A (en) Substrate processing apparatus, substrate processing method, and plasma generation unit
KR20140144383A (en) Baffle unit, apparatus and method for treating substrate using the same
US10553409B2 (en) Method of cleaning plasma processing apparatus
JP7433164B2 (en) Substrate processing system
US7569154B2 (en) Plasma processing method, plasma processing apparatus and computer storage medium
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
KR101559874B1 (en) Substrate treating apparatus and chamber producing method
KR101096492B1 (en) Apparatus for plasma ion doping
KR101994918B1 (en) Substrate processing apparatus and substrate processing method
KR100857231B1 (en) apparatus and method for treating substrate
CN114207787A (en) Non-plasma etching of titanium-containing material layers with tunable selectivity to alternating metal and dielectric
KR20150116003A (en) Apparatus, system, and metho for treating substrate
KR100873150B1 (en) apparatus and method for processing substrate
KR100888651B1 (en) Method and apparatus for treating the substrate
KR102052337B1 (en) Substrate treating apparatus and substrate treating method
US20240071783A1 (en) Apparatus for treating substrate
KR102095983B1 (en) Substrate treating apparatus and substrate treating method
KR102095982B1 (en) Substrate treating apparatus and substrate treating method
KR100884333B1 (en) Supporting member for substrate, and substrate processing apparatus including the supporting member

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120716

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140722

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150727

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160728

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170623

Year of fee payment: 10