KR100725477B1 - Semiconductor device and method for manufacturing semiconductor device - Google Patents

Semiconductor device and method for manufacturing semiconductor device Download PDF

Info

Publication number
KR100725477B1
KR100725477B1 KR1020067005743A KR20067005743A KR100725477B1 KR 100725477 B1 KR100725477 B1 KR 100725477B1 KR 1020067005743 A KR1020067005743 A KR 1020067005743A KR 20067005743 A KR20067005743 A KR 20067005743A KR 100725477 B1 KR100725477 B1 KR 100725477B1
Authority
KR
South Korea
Prior art keywords
film
semiconductor substrate
gate electrode
insulating film
layer
Prior art date
Application number
KR1020067005743A
Other languages
Korean (ko)
Other versions
KR20060055548A (en
Inventor
도루 아네자키
Original Assignee
후지쯔 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지쯔 가부시끼가이샤 filed Critical 후지쯔 가부시끼가이샤
Priority to KR1020067005743A priority Critical patent/KR100725477B1/en
Publication of KR20060055548A publication Critical patent/KR20060055548A/en
Application granted granted Critical
Publication of KR100725477B1 publication Critical patent/KR100725477B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

본 발명은 배리어성을 갖는 절연막 사이드 월 스페이서를 갖는 반도체 장치를 제공한다. 반도체 장치는 반도체 기판 위에 형성된 게이트 산화막과 게이트 전극과; 반도체 기판내에 형성된 소스/드레인 영역과; 게이트 전극 측벽상에 형성된 2 층 이상의 적층 사이드 월 스페이서로서, 최외층 이외의 층으로서 질화막을 포함하고, 최외층은 산화막 또는 산화질화막으로 형성되고, 하면이 반도체 기판 또는 게이트 산화막 또는 질화막 이외의 다른 사이드 월 스페이서층과 접해 있는 제1 적층 사이드 월 스페이서; 를 갖는다. 또한, 불휘발성 메모리의 적층 게이트 전극 구조와; 적층 게이트 전극 구조의 측벽상에 형성되어, 중간층으로서 반도체 기판에 접하지 않는 질화막을 포함하는 3층 이상의 제2 적층 사이드 월 스페이서를 갖는 것도 할 수 있다. The present invention provides a semiconductor device having an insulating film sidewall spacer having barrier properties. The semiconductor device includes a gate oxide film and a gate electrode formed on the semiconductor substrate; Source / drain regions formed in the semiconductor substrate; A laminated sidewall spacer of two or more layers formed on the sidewall of the gate electrode, the nitride layer being formed as a layer other than the outermost layer, and the outermost layer is formed of an oxide film or an oxynitride film, and a lower surface thereof is other side than the semiconductor substrate or the gate oxide film or nitride film. A first laminated sidewall spacer in contact with the wall spacer layer; Has A stacked gate electrode structure of the nonvolatile memory; It is also possible to have three or more second laminated sidewall spacers formed on the sidewalls of the laminated gate electrode structure and including a nitride film which is not in contact with the semiconductor substrate as an intermediate layer.

Description

반도체 장치와 반도체 장치의 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE} Semiconductor device and manufacturing method of semiconductor device {SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}

본 발명은 반도체 장치와 반도체 장치의 제조 방법에 관한 것으로, 특히 배리어성을 갖는 사이드 월 스페이서(side wall spacer)를 갖는 고집적도 반도체 장치와 반도체 장치의 제조 방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method for manufacturing the semiconductor device, and more particularly, to a highly integrated semiconductor device and a method for manufacturing a semiconductor device having sidewall spacers having barrier properties.

최근 미세화의 요구로부터 셀프-얼라인드 콘택트(Self-Aligned Contact, SAC)를 이용하기 위해서 질화실리콘막을 이용한 사이드 월 스페이서가 이용되고 있다. 질화실리콘막은 산화 실리콘막으로 형성된 층간 절연막 사이에서 에칭에 대하여 선택성을 갖는 에칭 스토퍼로서 기능할 수 있는 배리어성의 절연막이다. Recently, in order to use self-aligned contacts (SACs) from the demand for miniaturization, sidewall spacers using silicon nitride films have been used. The silicon nitride film is a barrier insulating film that can function as an etching stopper having selectivity for etching between interlayer insulating films formed of a silicon oxide film.

MOSFET의 고집적화, 미세화와 함께 디바이스 사이즈가 축소되고 있다. 소스/드레인 영역의 pn 접합 깊이도 얕아져, 저항값이 커지는 경향이 있다. 소스/드레인 영역의 저저항화를 도모하기 위해서는 소스/드레인 영역 위에 실리사이드층을 형성하는 것이 유효하다. Device sizes are shrinking along with higher integration and miniaturization of MOSFETs. The pn junction depth of the source / drain regions also becomes shallow, and the resistance value tends to be large. In order to reduce the resistance of the source / drain regions, it is effective to form a silicide layer over the source / drain regions.

도 7A~7E는 종래의 반도체 장치의 제조 방법의 주요 공정을 도시하는 단면도이다. 7A to 7E are cross-sectional views showing main steps of the conventional method for manufacturing a semiconductor device.

도 7A에 도시한 바와 같이, 실리콘 기판(11) 표면에 소자 분리 홈을 에칭으 로 형성하고, 절연물을 매립하여 샬로우 트렌치 아이솔레이션(shallow trench isolation, STI)(12)을 형성한다. 또, STI 대신에 국소 산화(LOCOS)를 이용해도 좋다. 소자 분리 영역에서 획정된 활성 영역 표면을 열산화하여 게이트 산화막(13)을 형성한다. 게이트 산화막(13)상에 화학적 기상 증착(CVD)에 의해 다결정 실리콘막을 퇴적하고, 레지스트 패턴을 이용한 에칭에 의해 게이트 전극(14)을 형성한다. As shown in Fig. 7A, an element isolation groove is formed on the surface of the silicon substrate 11 by etching, and an insulating material is embedded to form a shallow trench isolation (STI) 12. Alternatively, local oxidation (LOCOS) may be used instead of STI. The gate oxide layer 13 is formed by thermally oxidizing the surface of the active region defined in the device isolation region. A polycrystalline silicon film is deposited on the gate oxide film 13 by chemical vapor deposition (CVD), and the gate electrode 14 is formed by etching using a resist pattern.

게이트 전극(14)의 에칭에 있어서 HBr 및 C12로 이루어지는 혼합 가스를 에칭 가스로서 사용하여 실리콘과 실리콘 산화막과의 에칭 속도가 크게 다른 고선택비의 리액티브 이온 에칭을 행한다. 이 에칭은 실리콘 산화막의 에칭 속도가 실리콘에 대한 에칭 속도와 비교하여 매우 느리기 때문에 폴리실리콘을 에칭할 때 게이트 산화막(13)은 간신히 에칭될 뿐으로 에칭을 정지할 수 있다. 활성 영역 표면상에 게이트 산화막(13)을 남긴 상태로 다결정 실리콘막의 에칭을 종료시킨다. 이 때문에, 활성 영역 표면에는 에칭에 의한 손상이 생기기 어렵다. In the etching of the gate electrode 14, a mixed gas composed of HBr and C1 2 is used as the etching gas to perform reactive ion etching with a high selectivity ratio in which the etching rates between silicon and the silicon oxide film are greatly different. Since the etching rate of the silicon oxide film is very slow compared to the etching rate for silicon, the gate oxide film 13 is barely etched when the polysilicon is etched, and the etching can be stopped. The etching of the polycrystalline silicon film is terminated while leaving the gate oxide film 13 on the active region surface. For this reason, damage by etching hardly occurs on the surface of the active region.

패터닝된 게이트 전극(14)을 마스크로서, 예컨대 n 형 불순물을 이온 주입함으로써, 소스/드레인의 익스텐션 영역(15)을 형성한다. 익스텐션 영역(15)은 펀치 스루를 방지하기 위해서 얕은 접합 깊이를 갖도록 형성한다. By using the patterned gate electrode 14 as a mask, for example, by implanting n-type impurities, the extension region 15 of the source / drain is formed. The extension region 15 is formed to have a shallow junction depth in order to prevent punch through.

도 7B에 도시한 바와 같이 게이트 전극(14)을 덮도록 질화실리콘막을 CVD에 의해 퇴적하고, 에치백을 행하여 평탄 표면상의 질화실리콘막을 제거한다. 게이트 전극(14) 측벽 상에만 질화실리콘막의 사이드 월 스페이서(16)가 남는다. CHF3 가스를 주 에칭 가스로 함으로써 게이트 산화막(13)을 남긴 상태로 에칭을 종료시킬 수 있다. 이 때문에, 활성 영역 표면에 에칭에 의해 손상이 생기는 것을 방지할 수 있다. As shown in Fig. 7B, a silicon nitride film is deposited by CVD to cover the gate electrode 14, and then etched back to remove the silicon nitride film on the flat surface. Only the sidewall spacers 16 of the silicon nitride film remain on the sidewalls of the gate electrodes 14. CHF 3 By making gas the main etching gas, the etching can be terminated in a state in which the gate oxide film 13 is left. For this reason, damage can be prevented from etching on the surface of the active region.

도 7C에 도시한 바와 같이, 희석 불소산 수용액을 이용하여 사이드 월 스페이서 양측에 노출되어 있는 게이트 산화막(13)을 제거한다. 질화 실리콘의 사이드 월 스페이서(16)는 에칭되지 않는다. 이때, 노출되어 있는 게이트 산화막(13)이 에칭될 뿐만 아니라 사이드 월 스페이서(16) 하부의 게이트 산화막(13)도 측방에서의 에칭을 받아 게이트 전극 방향으로 후퇴한다. 이 때문에 사이드 월 스페이서(16)가 오버행(overhang) 형상이 된다. As shown in Fig. 7C, the gate oxide film 13 exposed on both sides of the sidewall spacers is removed using a dilute hydrofluoric acid aqueous solution. The side wall spacers 16 of silicon nitride are not etched. At this time, not only the exposed gate oxide film 13 is etched, but also the gate oxide film 13 under the side wall spacer 16 is etched from the side and retreats toward the gate electrode. For this reason, the side wall spacer 16 becomes an overhang shape.

도 7D에 도시한 바와 같이, 게이트 전극(14), 사이드 월 스페이서(16)를 마스크로서 예컨대 n 형 불순물의 이온 주입을 행하고, 깊은 접합을 갖는 소스/드레인 영역(17)을 형성한다. 이와 같이 하여, MOSFET의 기본 구조가 형성된다. As shown in Fig. 7D, the gate electrode 14 and the side wall spacer 16 are used as a mask, for example, to implant ions of n-type impurities, thereby forming a source / drain region 17 having a deep junction. In this way, the basic structure of the MOSFET is formed.

도 7E에 도시한 바와 같이, 소스/드레인 영역(17)을 형성한 후, 기판 표면에 실리사이드화 가능한 금속, 예컨대 Ti, Co 등을 스퍼터링으로 퇴적한다. 1차 실리사이드화 반응을 행하고 미반응 금속을 제거한 후, 2차 실리사이드화 반응을 행하여 소스/드레인 영역 및 게이트 전극 표면에 실리사이드층(18)을 형성한다. As shown in Fig. 7E, after forming the source / drain regions 17, silicideable metals such as Ti, Co, etc. are deposited on the substrate surface by sputtering. After the first silicideation reaction is performed to remove the unreacted metal, the second silicide reaction is performed to form the silicide layer 18 on the source / drain region and the gate electrode surface.

게이트 전극을 덮어 기판 표면상에 산화실리콘 등의 층간 절연막(21)을 CVD에 의해 퇴적한다. 층간 절연막(21)을 관통하는 컨택트홀을 형성하고, Ti층, TiN 층 등을 스퍼터링으로 형성하여 W 층을 CVD 로 퇴적함으로써 컨택트홀 내에 금속층을 매립하고 불필요한 부분을 제거하여 도전성 플러그(22)를 형성한다. An interlayer insulating film 21 such as silicon oxide is deposited on the substrate surface by covering the gate electrode by CVD. A contact hole penetrating through the interlayer insulating film 21 is formed, and a Ti layer, a TiN layer, and the like are formed by sputtering, and a W layer is deposited by CVD to bury the metal layer in the contact hole and to remove unnecessary portions to form the conductive plug 22. Form.

여기서, 도 7C에 도시한 바와 같이, 희석 불소산 수용액 처리시 질화 실리콘 사이드 월 스페이서(16) 하부에 언더컷이 생긴다. 나중 공정에서 금속이 언더컷부로 들어가서 제거되지 않고 남으면 쇼트의 원인이 된다. 또한, 언더컷 부분에 실리사이드층이 형성되면 체적 팽창에 의해 사이드 월 스페이서(16)에 스트레스를 주는 경우도 있다. Here, as shown in FIG. 7C, undercuts are generated under the silicon nitride sidewall spacer 16 during the dilute hydrofluoric acid aqueous solution treatment. In a later process, if the metal enters the undercut and is not removed, it causes short. In addition, when a silicide layer is formed in an undercut part, the side wall spacer 16 may be stressed by volume expansion.

일본특개평 제9-162396호 공보는 소스/드레인 영역의 형성 방법을 교시하는데, 게이트 전극의 사이드 월 스페이서로서 게이트 전극 및 게이트 절연막의 측벽을 덮는 질화막 사이드 월과 그 위에 형성된 산화막 사이드 월의 적층 사이드 월 구성을 개시한다. 질화막 사이드 월의 전체 표면상에 산화막 사이드 월이 형성되어 있기 때문에, 상기와 같은 언더컷이 생기지 않는다고 사료된다. 단지, 질화막 사이드 월이 기판 표면에 접해 있기 때문에, 질화막 사이드 월이 기판에 스트레스를 부여하는 것을 피하기 어렵다. 또한, 게이트 전극 패턴의 드라이 에칭에 있어서 게이트 절연막도 제거하면 기판 표면이 에칭되어 손상이 생기는 경우가 있다. Japanese Laid-Open Patent Publication No. 9-162396 teaches a method of forming a source / drain region, which is a stacked side of a nitride film sidewall covering sidewalls of a gate electrode and a gate insulating film as sidewall spacers of a gate electrode and an oxide sidewall formed thereon. Start the month configuration. Since the oxide film sidewalls are formed on the entire surface of the nitride film sidewalls, it is considered that such undercut does not occur. However, since the nitride film sidewall is in contact with the substrate surface, it is difficult to avoid the stress of the nitride film sidewall on the substrate. In the dry etching of the gate electrode pattern, if the gate insulating film is also removed, the substrate surface may be etched and damage may occur.

플래시 메모리 장치는 플로팅 게이트 전극 중에 정보를 전하의 형태로 축적하는 불휘발성 반도체 기억 장치이며, 간단한 소자 구성을 갖고 있기 때문에, 대규모 집적 회로 장치를 구성하는 데 적합하다. The flash memory device is a nonvolatile semiconductor memory device that stores information in the form of electric charge in the floating gate electrode. Since the flash memory device has a simple device configuration, it is suitable for constructing a large scale integrated circuit device.

플래시 메모리 장치에서는 정보의 기록 및 소거가 플로팅 게이트 전극에의 핫 캐리어의 주입 및 Fowler-Nordheim 형 터널 효과에 의한 방출에 의해 이루어진다. 이러한 플래시 메모리 장치의 기록, 소거 동작을 위해서는 고전압이 필요하며, 주변 회로에 전원 전압을 승압하는 승압 회로가 설치된다. 승압 회로의 트랜지스터는 고전압으로 동작해야 한다. In the flash memory device, the writing and erasing of information is performed by the injection of hot carriers into the floating gate electrode and the release by the Fowler-Nordheim type tunnel effect. A high voltage is required for the write and erase operations of the flash memory device, and a booster circuit for boosting a power supply voltage is provided in a peripheral circuit. The transistors in the boost circuit must operate at high voltages.

최근에는, 플래시 메모리 장치를 고속 논리 회로와 같이 동일 기판상에 집적화하여 복합 기능을 갖는 반도체 집적 회로를 형성하는 것이 행해지고 있다. 고속 논리 회로를 구성하는 트랜지스터는 저전압으로 고속 동작을 해야 한다. 고속 동작을 하기 위해서는 누설 전류가 생기더라도 게이트 절연막을 얇게 하는 것이 바람직하다. 또한, 저소비 전력으로 동작하는 회로가 요구되는 경우도 있다. 저소비 전력화를 위해서, 누설 전류를 감소시키기 위해서는 게이트 절연막을 어느 정도 두텁게 하는 것이 바람직하다. 이러한 요구를 만족시키기 위해는, 동일 반도체 기판상에 복수의 전원 전압으로 동작하여 게이트 절연막의 두께가 상이한 복수 종류의 트랜지스터를 형성하는 것이 요구된다. In recent years, integrating a flash memory device on the same substrate as a high speed logic circuit has been performed to form a semiconductor integrated circuit having a complex function. Transistors constituting the high speed logic circuit must operate at high speed with low voltage. For high speed operation, it is preferable to thin the gate insulating film even if a leakage current occurs. In addition, a circuit that operates with low power consumption may be required. In order to reduce the power consumption, it is preferable to thicken the gate insulating film to some extent in order to reduce the leakage current. In order to satisfy this demand, it is required to form a plurality of transistors having different thicknesses of the gate insulating film by operating with a plurality of power supply voltages on the same semiconductor substrate.

플래시 메모리 셀의 리텐션(retention) 특성은 플로팅 게이트 전극의 전하 유지 성능에 의존한다. 리텐션 특성을 향상시키기 위해서는, 플로팅 게이트 전극을 양질의 절연막으로 둘러싸는 것이 바람직하다. 통상, 실리콘막으로 형성된 플로팅 게이트 전극의 하면은 터널 절연층, 상면은 ONO 막으로 덮어져 있고, 그 측벽상에도 열 산화막이 형성된다. 또한 그 위에 양질의 질화실리콘막을 형성하는 것이 바람직하다. 열 산화막은 축적한 전하가 누설되는 것을 막는 배리어성의 절연막이며, 질화실리콘막은 외부에서 SiH 기나 수분이 침입하는 것을 막는 배리어성의 절연막이다. The retention characteristic of the flash memory cell depends on the charge retention performance of the floating gate electrode. In order to improve the retention characteristics, it is preferable to surround the floating gate electrode with a high quality insulating film. Usually, the lower surface of the floating gate electrode formed of the silicon film is covered with the tunnel insulating layer and the upper surface is covered with the ONO film, and a thermal oxide film is also formed on the sidewall. It is also preferable to form a high quality silicon nitride film thereon. The thermal oxide film is a barrier insulating film which prevents leakage of accumulated charges, and the silicon nitride film is a barrier insulating film which prevents intrusion of SiH groups or moisture from the outside.

일본특허공개 제2003-23114호 공보는 플래시 메모리 셀, 저전압 동작 트랜지터, 고전압 동작 트랜지스터를 동일 반도체 기판상에 형성하는 방법을 개시한다. 플래시 메모리 셀의 적층 게이트 전극의 측벽상 및 그 밖의 트랜지스터의 게이트 전극 측벽상에는 동시에 사이드 월 스페이서가 형성된다. Japanese Laid-Open Patent Publication No. 2003-23114 discloses a method of forming a flash memory cell, a low voltage operation transistor, and a high voltage operation transistor on the same semiconductor substrate. Side wall spacers are simultaneously formed on the sidewalls of the stacked gate electrodes of the flash memory cells and on the gate electrode sidewalls of the other transistors.

도 8A~8D는 플래시 메모리 셀, 저전압 동작 트랜지스터, 고전압 동작 트랜지스터를 동시에 작성하는 반도체 장치의 제조 방법의 일예를 개략적으로 도시한다. 8A to 8D schematically show an example of a method of manufacturing a semiconductor device for simultaneously creating a flash memory cell, a low voltage operation transistor, and a high voltage operation transistor.

도 8A에 도시한 바와 같이, 소자 분리 영역을 형성한 실리콘 기판(11)의 표면이 열산화되어 터널 산화막(25)을 형성한다. 터널 산화막(25) 위에 플로팅 게이트 전극을 형성하기 위한 아몰퍼스 실리콘막(26)을 퇴적한다. 아몰퍼스 실리콘막(26) 위에 산화막(27a), 질화막(27b), 산화막(27c)으로 구성된 소위 ONO막(27)을 형성한다. 또, 아몰퍼스 실리콘막은 그 후의 열처리에 의해 다결정 실리콘막이 된다. As shown in Fig. 8A, the surface of the silicon substrate 11 having the element isolation region is thermally oxidized to form the tunnel oxide film 25. As shown in Figs. An amorphous silicon film 26 for forming a floating gate electrode is deposited on the tunnel oxide film 25. On the amorphous silicon film 26, a so-called ONO film 27 composed of an oxide film 27a, a nitride film 27b, and an oxide film 27c is formed. In addition, the amorphous silicon film becomes a polycrystalline silicon film by subsequent heat treatment.

레지스트 패턴을 이용하여 ONO막(27), 실리콘막(26)을 패터닝하고, 플래시 메모리의 플로팅 게이트 및 그 위의 ONO 막을 형성한다. 이때, 저전압 동작 트랜지스터 영역 및 고전압 동작 트랜지스터 영역의 ONO막, 실리콘막은 전부 제거한다. The resist pattern is used to pattern the ONO film 27 and the silicon film 26 to form a floating gate of the flash memory and an ONO film thereon. At this time, the ONO film and the silicon film of the low voltage operation transistor region and the high voltage operation transistor region are all removed.

플래시 메모리 셀 영역을 레지스트 마스크로 덮고, 트랜지스터 영역 표면에 형성된 터널 산화막을 희석 불소산 수용액으로 제거한다. 레지스트 패턴을 제거하고 기판 표면이 열 산화되어 고전압 트랜지스터용이 두꺼운 게이트 산화막(13a)을 형성한다. The flash memory cell region is covered with a resist mask, and the tunnel oxide film formed on the surface of the transistor region is removed with a dilute hydrofluoric acid aqueous solution. The resist pattern is removed and the substrate surface is thermally oxidized to form a thick gate oxide film 13a for high voltage transistors.

플래시 메모리 셀 영역 및 고전압 동작 트랜지스터 영역을 레지스트 마스크로 덮고, 저전압 트랜지스터 영역 표면에 형성된 게이트 산화막을 제거한다. 레지스트 패턴을 제거한 후, 열 산화에 의해 저전압 동작 트랜지스터용의 얇은 게이트 산화막(13b)을 성장한다. 이와 같이 하여, 트랜지스터 영역에 두꺼운 게이트 산화막, 얇은 게이트 산화막이 형성된다. 3 종류 이상 두께의 게이트 산화막을 형성하는 경우, 같은 공정을 반복하여 두꺼운 게이트 산화막으로부터 순차 얇은 게이트 산화막을 형성한다. The flash memory cell region and the high voltage operation transistor region are covered with a resist mask, and the gate oxide film formed on the surface of the low voltage transistor region is removed. After the resist pattern is removed, the thin gate oxide film 13b for the low voltage operation transistor is grown by thermal oxidation. In this manner, a thick gate oxide film and a thin gate oxide film are formed in the transistor region. When forming three or more types of gate oxide films, the same process is repeated to form thin gate oxide films sequentially from thick gate oxide films.

그 후, 기판 전체 면에 다결정 실리콘막(28)을 퇴적하여 레지스트 마스크를 이용하여 패터닝함으로써, 컨트롤 게이트 전극(28c)을 형성함과 동시에, 트랜지스터 영역에서 게이트 전극(28a, 28b)을 형성한다. 실리콘막(26, 28)의 표면을 열산화함으로써 열산화막(29)을 형성한다. Thereafter, the polycrystalline silicon film 28 is deposited on the entire surface of the substrate and patterned using a resist mask, thereby forming the control gate electrode 28c and forming the gate electrodes 28a and 28b in the transistor region. The thermal oxide film 29 is formed by thermally oxidizing the surfaces of the silicon films 26 and 28.

이와 같이 형성된 게이트 전극을 적어도 일부 마스크로서 이용하여 소스/드레인 영역의 이온 주입을 행한다. 플래시 메모리 셀 영역에서는, 예컨대 n 형 영역(31, 32, 33)이 형성되고, 트랜지스터 영역에서는 익스텐션 영역(15)이 형성된다. Ion implantation of the source / drain regions is performed using the gate electrode thus formed as at least part of the mask. In the flash memory cell region, for example, n-type regions 31, 32, 33 are formed, and in the transistor region, an extension region 15 is formed.

도 8B에 도시한 바와 같이, 기판 전체면 상에 질화실리콘막을 감압(LP) CVD에 의해 퇴적하여 에칭함으로써 게이트 전극 및 적층 게이트 전극의 측벽상에만 사이드 월 스페이서(16)를 남긴다. As shown in Fig. 8B, the silicon nitride film is deposited and etched on the entire surface of the substrate by reduced pressure (LP) CVD to leave the sidewall spacers 16 only on the sidewalls of the gate electrode and the laminated gate electrode.

도 8C에 도시한 바와 같이, 플래시 메모리 셀 영역을 포토레지스트 패턴(PR)으로 덮고, 트랜지스터 영역에 이온 주입을 행함으로써 깊은 접합을 갖는 소스/드레인 영역(17)을 형성한다. 또, 고전압 트랜지스터와 저전압 트랜지스터를 레지스트 마스크로 분리하여 각각의 영역에 별개의 이온 주입을 행해도 좋다. As shown in FIG. 8C, the source / drain region 17 having a deep junction is formed by covering the flash memory cell region with the photoresist pattern PR and implanting ions into the transistor region. Further, the high voltage transistor and the low voltage transistor may be separated by a resist mask, and ion implantation may be performed separately in each region.

도 8D에 도시한 바와 같이, 게이트 전극 및 적층 게이트 전극을 형성한 기판 상에 산화 실리콘 등의 층간 절연막(21)을 퇴적하고, 컨택트홀을 개구한다. 컨택트 홀 내에 도전층을 매립하고, 불필요부를 제거함으로써 도전성 플러그(22)를 형성한다. As shown in Fig. 8D, an interlayer insulating film 21 such as silicon oxide is deposited on the substrate on which the gate electrode and the stacked gate electrode are formed, and the contact hole is opened. The conductive plug 22 is formed by filling the conductive layer in the contact hole and removing unnecessary portions.

이와 같이 하여, 플래시 메모리 셀 및 게이트 절연막의 두께가 상이한, 동작 전압이 상이한 복수 종류의 트랜지스터를 형성할 수 있다. In this manner, a plurality of transistors having different operating voltages having different thicknesses of the flash memory cell and the gate insulating film can be formed.

플래시 메모리 셀에 있어서는, 적층 게이트 전극 측벽상에 양질의 열 산화막이 형성되고, 그 위에 LPCVD 에 의한 양질의 질화실리콘막(16)으로 덮는 것이 요구된다. 치밀하게 양질의 질화실리콘막을 형성하기 위해서는 예컨대 700℃ 이상의 성막 온도로 LPCVD를 행하는 것이 요구된다. In a flash memory cell, it is required to form a high quality thermal oxide film on the sidewalls of the stacked gate electrodes, and to cover the high quality silicon nitride film 16 by LPCVD thereon. In order to form a fine silicon nitride film of high quality, it is required to perform LPCVD at a film formation temperature of 700 ° C or higher, for example.

트랜지스터 영역에서는 LPCVD 에 의한 질화실리콘막 등의 배리어성을 갖는 절연막 형성 전에 얕은 접합 깊이를 갖는 익스텐션 영역(15)이 형성되어 있다. 이 익스텐션 영역에 대하여 700℃ 이상의 열처리를 행하면 불순물의 열확산이 생겨서 원하는 형상을 유지할 수 없게 될 가능성이 있다. In the transistor region, an extension region 15 having a shallow junction depth is formed before formation of an insulating film having a barrier property such as a silicon nitride film by LPCVD. If heat treatment is performed at 700 ° C. or higher for the extension region, impurities may be thermally diffused and the desired shape may not be maintained.

논리 회로에서 소스/드레인 영역의 저저항화를 도모하기 위해서는 도 7E에 도시한 바와 같이 실리콘 표면에 실리사이드층을 형성하는 것이 요구된다. 실리사이드층 형성 이전에는 기판 표면을 희석 HF 수용액에 의해 청정화해야 한다. 그러면, 도 7A~7E의 제조 공정에 대하여 설명한 바와 같이, 사이드 월 스페이서 하부에 사이드 에칭된 공극이 발생하여 사이드 월 스페이서가 오버행 형상이 된다. 오버행이 생기면 쇼트 등의 원인이 될 가능성이 있다. In order to reduce the resistance of the source / drain regions in the logic circuit, it is required to form a silicide layer on the silicon surface as shown in Fig. 7E. Prior to silicide layer formation, the substrate surface must be cleaned by dilute HF aqueous solution. Then, as described about the manufacturing process of FIGS. 7A-7E, the space | gap side-etched below the sidewall spacer generate | occur | produces and a sidewall spacer becomes overhang shape. If an overhang occurs, it may cause a short or the like.

이와 같이, 복수 종류의 반도체 소자를 동일 반도체 기판상에 형성하여 각 반도체 소자의 특성을 최적화하고자 하면 다른 반도체 소자에 예기하지 않는 불이 익을 주게 되는 경우가 있다. As described above, when plural kinds of semiconductor elements are formed on the same semiconductor substrate to optimize the characteristics of each semiconductor element, there may be a case where other semiconductor elements have an unexpected advantage.

특허문헌Patent Literature

일본특개평 제9-162396호 공보 Japanese Patent Laid-Open No. 9-162396

일본특허공개 제2003-23114호 공보Japanese Patent Publication No. 2003-23114

(발명의 개시)(Initiation of invention)

본 발명의 목적은 배리어성을 갖는 절연막으로 형성된 사이드 월 스페이서를 가지며, 또한 사이드 월 스페이서 작성에 의한 문제점을 생기게 하지 않는 반도체 장치를 제공하는 것이다. SUMMARY OF THE INVENTION An object of the present invention is to provide a semiconductor device having sidewall spacers formed of an insulating film having barrier properties and which does not cause a problem due to sidewall spacers.

본 발명의 다른 목적은 플래시 메모리 셀과 저전압 동작 트랜지스터나 고전압 동작 트랜지스터를 집적화하고, 또한 이종 트랜지스터를 혼재함으로 인한 문제점을 생기게 하지 않는 반도체 장치를 제공하는 것이다. Another object of the present invention is to provide a semiconductor device integrating a flash memory cell with a low voltage operation transistor or a high voltage operation transistor, and which does not cause a problem by mixing heterogeneous transistors.

본 발명의 또 다른 목적은 이들 반도체 장치를 제조하는 데 알맞은 반도체 장치의 제조법을 제공하는 것이다. It is still another object of the present invention to provide a method for manufacturing a semiconductor device suitable for manufacturing these semiconductor devices.

본 발명의 한 관점에 따르면, 반도체 기판과 ; 상기 반도체 기판상에 형성된 제1 게이트 산화막과 ; 상기 제1 게이트 산화막상에 형성된 제1 게이트 전극과 ; 상기 제1 게이트 전극 양측의 상기 반도체 기판내에 형성된 제1 소스/드레인 영역과 ; 상기 제1 게이트 전극 측벽상에 형성된 2 층 이상의 적층 사이드 월 스페이서로서, 최외층 이외의 층으로서 질화막을 포함하고, 최외층은 산화막 또는 산화 질화막으로 형성되고, 하면이 상기 반도체 기판 또는 제1 게이트 산화막 또는 질화막 이외의 다른 사이드 월 스페이서층과 접해 있는 제1 적층 사이드 월 스페이서를 갖는 반도체 장치가 제공된다. According to one aspect of the invention, a semiconductor substrate; A first gate oxide film formed on the semiconductor substrate; A first gate electrode formed on the first gate oxide film; First source / drain regions formed in the semiconductor substrate on both sides of the first gate electrode; Two or more laminated sidewall spacers formed on the sidewalls of the first gate electrode, the nitride layer being formed as a layer other than the outermost layer, and the outermost layer is formed of an oxide film or an oxynitride film, and a lower surface thereof is formed of the semiconductor substrate or the first gate oxide film. Or a semiconductor device having a first laminated sidewall spacer in contact with a sidewall spacer layer other than a nitride film.

본 발명의 다른 관점에 따르면, (a) 반도체 기판상에 게이트 절연막을 형성하는 공정과 ; (b) 상기 게이트 절연막상에 도전막을 형성하는 공정과 ; (c) 상기 도전막을 에칭하여 게이트 전극을 형성함과 동시에, 상기 게이트 절연막을 노출하는 공정과 ; (d) 상기 게이트 절연막에 대하여 에칭 선택성을 갖는 제1 절연막을 전체면에 퇴적하고, 이방성 에칭에 의해 상기 게이트 전극 측벽상에 제1 사이드 월 스페이서층을 남기는 공정과 ; (e) 상기 게이트 절연막을 에칭하여 상기 반도체 기판의 표면을 노출하는 공정과 ; (f) 상기 반도체 기판 전체면에 제2 절연막을 퇴적하고, 이방성 에칭에 의해 상기 제1 사이드 월 스페이서의 측벽상에 제2 사이드 월 스페이서층을 남기는 공정과 ; (g) 상기 제1, 제2 사이드 월 스페이서를 통해 이온 주입을 행하고, 소스/드레인 영역을 형성하는 공정과 ; (h) 희석 불소산 수용액으로 상기 반도체 기판 표면을 노출하는 공정과 ; (i) 노출한 반도체 기판 표면에 실리사이드층을 형성하는 공정; 을 포함하는 반도체 장치의 제조 방법이 제공된다. According to another aspect of the invention, (a) forming a gate insulating film on a semiconductor substrate; (b) forming a conductive film on the gate insulating film; (c) etching the conductive film to form a gate electrode and exposing the gate insulating film; (d) depositing a first insulating film having an etching selectivity with respect to the gate insulating film on the entire surface, and leaving a first sidewall spacer layer on the gate electrode sidewall by anisotropic etching; (e) etching the gate insulating film to expose a surface of the semiconductor substrate; (f) depositing a second insulating film on the entire surface of the semiconductor substrate, and leaving a second sidewall spacer layer on the sidewall of the first sidewall spacer by anisotropic etching; (g) implanting ions through the first and second sidewall spacers to form source / drain regions; (h) exposing the surface of the semiconductor substrate with a dilute hydrofluoric acid aqueous solution; (i) forming a silicide layer on the exposed semiconductor substrate surface; There is provided a method of manufacturing a semiconductor device comprising a.

도 1A ~ 도 1E는 본 발명의 제1 실시예에 의한 반도체 장치의 제조 공정을 개략적으로 도시하는 반도체 기판의 단면도이다. 1A to 1E are cross-sectional views of a semiconductor substrate schematically showing a manufacturing process of the semiconductor device according to the first embodiment of the present invention.

도 2A ~ 도 2E는 본 발명의 제2 실시예에 의한 반도체 장치의 제조 공정을 개략적으로 도시하는 반도체 기판의 단면도이다. 2A to 2E are cross-sectional views of a semiconductor substrate schematically showing a manufacturing process of the semiconductor device according to the second embodiment of the present invention.

도 3A ~ 도 3E는 본 발명의 제3 실시예에 의한 반도체 장치의 제조 공정을 개략적으로 도시하는 반도체 기판의 단면도이다. 3A to 3E are cross-sectional views of a semiconductor substrate schematically showing a manufacturing process of the semiconductor device according to the third embodiment of the present invention.

도 4A ~ 도 4E는 본 발명의 제4 실시예에 의한 반도체 장치의 제조 공정을 개략적으로 도시하는 반도체 기판의 단면도이다. 4A to 4E are cross-sectional views of a semiconductor substrate schematically showing a manufacturing process of the semiconductor device according to the fourth embodiment of the present invention.

도 5A ~ 도 5D는 플래시 메모리 셀의 구성을 개략적으로 설명하는 평면도 및 등가 회로도이다. 5A to 5D are plan views and equivalent circuit diagrams schematically illustrating the configuration of flash memory cells.

도 6A ~ 도 6U는 본 발명의 제5 실시예에 의한 플래시 메모리 셀과 다른 트랜지스터를 혼재한 반도체 장치의 제조 공정을 개략적으로 도시하는 반도체 기판의 단면도이다. 6A to 6U are cross-sectional views of a semiconductor substrate schematically showing a manufacturing process of a semiconductor device in which a flash memory cell and another transistor are mixed according to the fifth embodiment of the present invention.

도 7A ~ 도 7E는 종래 기술에 의한 반도체 장치의 제조 공정을 개략적으로 도시하는 반도체 기판의 단면도이다. 7A to 7E are cross-sectional views of a semiconductor substrate schematically showing a manufacturing process of the semiconductor device according to the prior art.

도 8A ~ 도 8D는 종래 기술의 플래시 메모리 셀과 다른 트랜지스터를 혼재한 반도체 장치의 제조 공정을 개략적으로 도시하는 반도체 기판의 단면도이다. 8A to 8D are cross-sectional views of a semiconductor substrate schematically showing a manufacturing process of a semiconductor device in which a conventional flash memory cell and another transistor are mixed.

(발명을 실시하기 위한 최선의 형태)(The best mode for carrying out the invention)

이하, 도면을 참조하여 본 발명의 실시예를 설명한다. 도 1A-lE는 본 발명의 제1 실시예에 의한 반도체 장치의 제조 방법을 개략적으로 도시하는 단면도이다.Hereinafter, embodiments of the present invention will be described with reference to the drawings. 1A to 1E are cross-sectional views schematically showing a method for manufacturing a semiconductor device according to the first embodiment of the present invention.

도 1A에 도시한 바와 같이, 예컨대 p 형의 반도체 기판(11)의 표면에 소자 분리용 홈을 형성하여 절연막을 매립하고, 불필요부를 화학 기계 연마(CMP)에 의해 제거하여 STI 형 소자 분리 영역(12)을 형성한다. 소자 분리 영역(12)으로 획정된 활성 영역 표면을 800℃~1100℃에서 열산화하여 게이트 산화막(13)을 형성한다. 게이트 산화막(13)을 덮도록 반도체 기판 표면상에 다결정 실리콘막을 퇴적한다. 포토레지스트 패턴을 마스크로 하여 다결정 실리콘막을 에칭함으로써, 게이트 전극(14)을 패터닝한다. As shown in Fig. 1A, for example, an isolation layer is formed on the surface of a p-type semiconductor substrate 11 to fill an insulating film, and unnecessary parts are removed by chemical mechanical polishing (CMP) to remove the STI type isolation region ( 12) form. The surface of the active region defined as the device isolation region 12 is thermally oxidized at 800 占 폚 to 1100 占 폚 to form the gate oxide film 13. A polycrystalline silicon film is deposited on the surface of the semiconductor substrate so as to cover the gate oxide film 13. The gate electrode 14 is patterned by etching the polycrystalline silicon film using the photoresist pattern as a mask.

이때, 에칭 가스에는 HBr 및 C12로 이루어지는 혼합 가스를 사용하여 실리콘과 실리콘 산화막과의 에칭 속도가 크게 상이한 고선택비의 리액티브 이온 에칭(RIE)을 행한다. 이 에칭은 Si에 대한 실리콘 산화막의 에칭 속도가 매우 느리기 때문에 폴리실리콘을 에칭할 때 게이트 산화막(13)은 간신히 에칭될 뿐으로 에칭을 정지할 수 있다. 그 후 레지스트 패턴은 제거한다. 형성된 게이트 전극을 마스크로 하여, 예컨대 n 형 불순물을 얕게 이온 주입하여 소스/드레인의 익스텐션 영역(15)을 형성한다. At this time, a high selectivity reactive ion etching (RIE) having a large difference in etching rate between silicon and a silicon oxide film is performed using a mixed gas composed of HBr and C1 2 as the etching gas. Since the etching rate of the silicon oxide film with respect to Si is very slow, the etching can only stop the gate oxide film 13 only when the polysilicon is etched. The resist pattern is then removed. Using the formed gate electrode as a mask, for example, n-type impurities are shallowly implanted to form the extension region 15 of the source / drain.

도 1B에 도시한 바와 같이, 게이트 전극을 덮도록 질화실리콘막을 퇴적하고, 그 후 에치백을 행하여 게이트 전극(14) 측벽 상에만 질화실리콘막의 사이드 월 스페이서(16)를 남긴다. 이 에칭은 CHF3을 주된 에칭 가스로 한 리액티브 이온 에칭(RIE)에 의해 행하고, 게이트 산화막(13)을 남기도록 한다. 또, 기판에의 손상이 문제가 되지 않는 경우는, 게이트 산화막(13)을 에칭 제거해도 좋다. As shown in Fig. 1B, a silicon nitride film is deposited to cover the gate electrode, and then etched back to leave the sidewall spacers 16 of the silicon nitride film only on the sidewalls of the gate electrode 14. As shown in FIG. This etching is performed by reactive ion etching (RIE) using CHF 3 as the main etching gas to leave the gate oxide film 13. If the damage to the substrate does not become a problem, the gate oxide film 13 may be etched away.

도 1C에 도시한 바와 같이, 남은 게이트 산화막(13) 또는 게이트 산화막을 이미 제거한 경우는 기판 표면에 형성된 자연 산화막을 제거하기 위해서, 산화실리콘의 등방성 에칭을 행한다. 등방성 에칭은 손상이 적은 에칭 방법으로, 예컨대 희석 불소산 수용액, 다운스트림법 드라이 에칭에 의해 행할 수 있다. 등방성 에칭은 측방에도 에칭이 진행되기 때문에, 사이드 월 스페이서(16) 아래쪽의 게이트 산화막(13)이 후퇴한다. 이와 같이 하여 사이드 월 스페이서(16)의 아래에 언더컷이 발생한다. As shown in Fig. 1C, when the remaining gate oxide film 13 or gate oxide film has already been removed, isotropic etching of silicon oxide is performed to remove the native oxide film formed on the substrate surface. Isotropic etching can be performed by an etching method with little damage, for example, by dilute hydrofluoric acid aqueous solution or downstream method dry etching. In the isotropic etching, the etching proceeds laterally, so that the gate oxide film 13 under the sidewall spacer 16 retreats. In this way, undercut occurs under the sidewall spacer 16.

도 1D에 도시한 바와 같이, 테트라에틸오르토실리케이트(TEOS)를 이용하여 실리콘 산화막(23)을 기판 전체면에 퇴적한다. 산화실리콘막(23)은 언더컷도 매립하여 전체면에 퇴적한다. 예컨대 CF4를 주된 에칭 가스로 한 RIE를 이용하여 이방성 에칭을 행한다. 평탄부상의 산화실리콘막을 제거하여, 질화실리콘막의 사이드 월 스페이서(16) 측면을 덮고, 또한 언더컷 부분을 매립하는 산화실리콘막의 사이드 월 스페이서(23)가 형성된다. As shown in Fig. 1D, silicon oxide film 23 is deposited on the entire surface of the substrate using tetraethylorthosilicate (TEOS). The silicon oxide film 23 is also embedded in the entire surface by embedding the undercut. For example, anisotropic etching is performed using RIE using CF 4 as the main etching gas. The silicon oxide film on the flat portion is removed to form sidewall spacers 23 of the silicon oxide film covering the sidewall spacers 16 side of the silicon nitride film and filling the undercut portions.

실리사이드 반응에 앞서서 희석 불소산 수용액을 이용하여 반도체 기판(11) 표면상 및 게이트 전극(14) 표면상의 산화실리콘막을 제거하여 청정한 표면을 노출한다. 사이드 월 스페이서는 그 전체 측면이 TEOS 실리콘 산화막으로 형성되어 있기 때문에, 에칭 속도가 균일하여 언더컷은 생기지 않는다. 이 때문에, 예측할 수 없는 쇼트나 왜곡을 방지할 수 있다. Prior to the silicide reaction, the silicon oxide film on the surface of the semiconductor substrate 11 and the surface of the gate electrode 14 is removed using a dilute hydrofluoric acid aqueous solution to expose a clean surface. Since the sidewall spacer is formed entirely from the TEOS silicon oxide film, the etching rate is uniform and no undercut occurs. As a result, unpredictable shorts and distortions can be prevented.

도 1E에 도시한 바와 같이, 반도체 기판 표면상에 실리사이드화 가능한 금속, 예컨대 Co 또는 Ti 층을, 예컨대 두께 30 nm 정도 스퍼터링으로 성막한다. 1차 실리사이드화 반응을, 예컨대 550℃, 30 초간 래피드 서멀 어닐링(RTA)으로 행하여, Si와 금속과의 1차 실리사이드화 반응을 생기게 한다. 미반응의 금속층을 제거한 후, 2차 실리사이드화 반응을, 예컨대 800℃, 30초간 RTA으로 행하여 실리사이 드층(18)을 형성한다. As shown in Fig. 1E, a silicideable metal such as Co or Ti layer is formed on the semiconductor substrate surface by sputtering, for example, about 30 nm thick. The primary silicided reaction is carried out, for example, by rapid thermal annealing (RTA) at 550 ° C. for 30 seconds to give rise to a primary silicided reaction of Si and metal. After removing the unreacted metal layer, the secondary silicide reaction is performed by RTA, for example, at 800 ° C. for 30 seconds to form the silicide layer 18.

언더컷이 생기는 일없이 실리사이드층을 형성할 수 있고 질화실리콘막을 포함하는 사이드 월 스페이서가 형성되어 있기 때문에, 도 7E에 도시한 바와 같은 SAC 공정을 행할 수도 있다.Since a silicide layer can be formed without undercut and the sidewall spacer containing a silicon nitride film is formed, a SAC process as shown in FIG. 7E can also be performed.

도 2A-2E는 본 발명의 제2 실시예에 의한 반도체 장치의 제조 방법을 개략적으로 도시하는 단면도이다. 2A-2E are cross-sectional views schematically showing a method for manufacturing a semiconductor device according to the second embodiment of the present invention.

도 2A, 2B는 도 1A, 1B와 동일한 구성이며, 동일한 공정에 의해 제조할 수 있다. 2A and 2B have the same configuration as that of FIGS. 1A and 1B and can be manufactured by the same process.

도 2C에 도시한 바와 같이, 질화실리콘의 사이드 월 스페이서(16)를 덮도록 TEOS 산화실리콘의 사이드 월 스페이서(23)를 형성한다. TEOS 산화실리콘막은 열산화막보다 에칭율이 빠르다. 사이드 월 스페이서(23)의 형성에 있어서 컨트롤 에칭을 행하여 게이트 산화막(13)을 남기도록 한다. As shown in Fig. 2C, the sidewall spacers 23 of TEOS silicon oxide are formed to cover the sidewall spacers 16 of silicon nitride. The TEOS silicon oxide film has a higher etching rate than the thermal oxide film. In forming the sidewall spacers 23, control etching is performed to leave the gate oxide film 13.

도 2D에 도시한 바와 같이, 실리사이드화 반응을 위해 희석 불소산 수용액을 이용하여 기판(11) 표면과 게이트 전극(14) 표면을 노출한다. 이 에칭에 있어서, TEOS 산화실리콘막(23)은 열산화된 게이트 산화막(13)보다도 에칭 속도가 빠르기 때문에, 게이트 산화막(13)과 TEOS 산화실리콘막(23)이 동시에 에칭될 때, 게이트 산화막(13)의 에칭이 지연되어 돌출이 형성되더라도 언더컷은 형성되지 않는다. As shown in FIG. 2D, the surface of the substrate 11 and the surface of the gate electrode 14 are exposed using a dilute hydrofluoric acid aqueous solution for the silicideation reaction. In this etching, since the TEOS silicon oxide film 23 has a higher etching rate than the thermally oxidized gate oxide film 13, when the gate oxide film 13 and the TEOS silicon oxide film 23 are simultaneously etched, the gate oxide film ( Even if the etching of 13) is delayed and the protrusion is formed, the undercut is not formed.

도 2E에 도시한 바와 같이, 제1 실시예와 마찬가지로 노출한 실리콘 표면상에 실리사이드층(18)을 형성한다. As shown in Fig. 2E, the silicide layer 18 is formed on the exposed silicon surface as in the first embodiment.

본 실시예에 따르면, 사이드 월 스페이서 아래쪽으로는 게이트 산화막이 노 출되지만, 사이드 월 스페이서의 최외층은 게이트 산화막보다도 에칭 레이트가 빠른 산화실리콘막으로 형성되기 때문에, 언더컷은 생기지 않는다. 사이드 월 스페이서는 질화실리콘막을 포함하여 SAC 공정을 행할 수 있다. 질화실리콘막은 기판 표면에는 접하지 않아 과도한 왜곡을 주는 것도 방지된다. According to this embodiment, the gate oxide film is exposed below the sidewall spacer, but since the outermost layer of the sidewall spacer is formed of a silicon oxide film whose etching rate is faster than that of the gate oxide film, no undercut occurs. The side wall spacer may include a silicon nitride film to perform a SAC process. The silicon nitride film does not come into contact with the substrate surface to prevent excessive distortion.

도 3A-3E는 본 발명의 제3 실시예에 의한 반도체 장치의 제조 방법을 개략적으로 도시하는 단면도이다. 3A-3E are cross-sectional views schematically showing a method for manufacturing a semiconductor device according to the third embodiment of the present invention.

도 3A는 도 1A와 동일한 구성이며, 동일한 공정에 의해 작성할 수 있다. FIG. 3A is the same as that of FIG. 1A, and can be created by the same process.

도 3B에 도시한 바와 같이 게이트 전극(14)을 덮도록 TEOS에서 형성한 산화 실리콘막, 질화실리콘막을 연속적으로 퇴적하고 에치백을 행하여 게이트 전극(14) 측벽상을 덮는 산화실리콘막(24), 질화실리콘막(16)의 적층 사이드 월 스페이서를 형성한다. 또, TEOS 산화실리콘막 대신에 열 산화에 의한 산화실리콘막을 이용해도 좋다. 사이드 월 스페이서 형성시 질화실리콘막의 에칭에는 CHF3 가스를 주로 한 에칭 가스를 이용하고, 산화실리콘막의 에칭에는 CF4 가스를 주로 한 에칭 가스를 이용한다. 게이트 산화막(2)을 남기는 경우에는 시간을 제한한 컨트롤 에칭을 행한다. As shown in FIG. 3B, a silicon oxide film formed by TEOS and a silicon nitride film formed by TEOS are successively deposited and etched back to cover the gate electrode 14, and the silicon oxide film 24 covering the sidewalls of the gate electrode 14. A laminated sidewall spacer of the silicon nitride film 16 is formed. Instead of the TEOS silicon oxide film, a silicon oxide film by thermal oxidation may be used. CHF 3 is used to etch the silicon nitride film when forming the sidewall spacers. The etching gas mainly used as a gas is used, and CF 4 is used for etching the silicon oxide film. An etching gas mainly used for gas is used. When leaving the gate oxide film 2, time-limited control etching is performed.

도 3C에 도시한 바와 같이 활성 영역 표면을 노출하도록 희석 불소산 수용액으로 게이트 산화막 또는 실리콘 표면상의 자연 산화막을 제거한다. 기판 표면상의 산화 실리콘막이 제거됨과 동시에, 게이트 산화막(13), 사이드 월 스페이서의 산화실리콘막(24)도 에칭되기 때문에, 질화실리콘막 사이드 월 스페이서(16)의 아래쪽 으로는 언더컷이 생긴다. As shown in FIG. 3C, the gate oxide film or the native oxide film on the silicon surface is removed with dilute hydrofluoric acid solution so as to expose the active region surface. Since the silicon oxide film on the substrate surface is removed, the gate oxide film 13 and the silicon oxide film 24 of the side wall spacer are also etched, so that an undercut occurs under the silicon nitride film side wall spacer 16.

도 3D에 도시한 바와 같이, TEOS를 이용한 산화 실리콘막을 퇴적하여 에치백함으로써 사이드 월 스페이서(23)를 형성한다. 사이드 월 스페이서(23)는 질화실리콘막의 사이드 월 스페이서 아래의 언더컷 부분을 매립하여 언더컷이 없는 외표면을 형성한다. As shown in FIG. 3D, the sidewall spacers 23 are formed by depositing and etching back a silicon oxide film using TEOS. The sidewall spacers 23 fill the undercut portions under the sidewall spacers of the silicon nitride film to form an outer surface without an undercut.

도 3E에 도시한 바와 같이, 전술의 실시예와 마찬가지로 노출되어 있는 실리콘 표면상에 실리사이드층(18)을 형성한다. As shown in Fig. 3E, the silicide layer 18 is formed on the exposed silicon surface as in the above-described embodiment.

본 실시예에 따르면, 사이드 월 스페이서가 산화실리콘막, 질화실리콘막, 산화실리콘막의 3 층으로 형성되어 최외측의 사이드 월 스페이서(23)는 기판 표면에 달하기 때문에, 실리사이드층 형성에 앞서는 희석 불소산 수용액의 세정 공정에서 언더컷이 생기는 것을 방지할 수 있다. 사이드 월 스페이서는 질화실리콘막을 포함하여 SAC 공정을 행할 수 있다. 질화실리콘막은 기판 표면에는 접하지 않아 과도한 왜곡을 주는 것도 방지된다. According to this embodiment, since the side wall spacer is formed of three layers of a silicon oxide film, a silicon nitride film, and a silicon oxide film, and the outermost side wall spacer 23 reaches the surface of the substrate, dilute fluorine prior to silicide layer formation. Undercut can be prevented from occurring in the washing process of the acid aqueous solution. The side wall spacer may include a silicon nitride film to perform a SAC process. The silicon nitride film does not come into contact with the substrate surface to prevent excessive distortion.

도 4A-4E는 본 발명의 제4 실시예에 의한 반도체 장치의 제조 방법을 개략적으로 도시하는 단면도이다. 4A-4E are cross-sectional views schematically showing a method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

도 4A는 도 1A와 동일 구성이며, 동일 공정에 의해 작성할 수 있다. FIG. 4A has the same configuration as FIG. 1A and can be produced by the same process.

도 4B에 도시한 바와 같이, 제3 실시예와 마찬가지로 게이트 전극(14)을 덮도록 산화실리콘막(24), 질화실리콘막(16)의 적층을 퇴적하여, 질화실리콘막(16)을 에치백한다. CHF3를 주 에칭 가스로 하는 RIE를 선택성 좋게 행함으로써, 질화실리 콘막(16)의 사이드 월 스페이서를 형성하고, 그 아래의 산화실리콘막(24)은 남는다. As shown in FIG. 4B, a stack of silicon oxide film 24 and silicon nitride film 16 is deposited so as to cover the gate electrode 14 as in the third embodiment, and the silicon nitride film 16 is etched back. do. By selectively performing RIE having CHF 3 as the main etching gas, the side wall spacers of the silicon nitride film 16 are formed, and the silicon oxide film 24 below remains.

도 4C에 도시한 바와 같이 기판 전체면 상에 산화실리콘막(23)을 퇴적하고, 에치백을 행하여 평탄부 상의 산화실리콘막(23, 24)을 제거한다. 게이트 전극(14) 측벽 상에 산화실리콘막(24), 질화실리콘막(l6), 산화실리콘막(23)의 3 층의 적층 구조로 이루어지는 사이드 월 스페이서가 형성된다. 게이트 산화막(13)의 상면, 게이트 전극(14)의 측면 상에 제1 산화실리콘막(24)이 구부러진 형상으로 형성되고, 그 위에 질화실리콘막(16), 산화실리콘막(23)의 적층으로 이루어지는 사이드 월 스페이서가 형성된 형상이 된다. 이 단계에서 소스/드레인 영역(17) 형성용의 이온 주입을 행한다. As shown in Fig. 4C, the silicon oxide film 23 is deposited on the entire surface of the substrate and etched back to remove the silicon oxide films 23 and 24 on the flat portion. On the sidewall of the gate electrode 14, side wall spacers having a laminated structure of three layers of a silicon oxide film 24, a silicon nitride film l6, and a silicon oxide film 23 are formed. The first silicon oxide film 24 is formed in a bent shape on the upper surface of the gate oxide film 13 and on the side surface of the gate electrode 14, and the silicon nitride film 16 and the silicon oxide film 23 are stacked thereon. The side wall spacer formed is formed. In this step, ion implantation for forming the source / drain regions 17 is performed.

도 4D에 도시한 바와 같이, 희석 불소산 수용액을 이용하여 실리콘 기판 표면에 존재할 수 있는 게이트 산화막, 자연 산화막을 제거하여 청정한 실리콘 표면을 노출한다. 게이트 산화막 측면이 노출되어 있지만, 산화 실리콘막(23) 보다도 에칭 속도가 느리기 때문에, 언더컷은 생기지 않는다. As shown in FIG. 4D, the gate oxide film and the natural oxide film which may exist on the surface of the silicon substrate are removed using a dilute hydrofluoric acid aqueous solution to expose a clean silicon surface. Although the gate oxide film side surface is exposed, since the etching speed is slower than that of the silicon oxide film 23, undercut does not occur.

도 4E에 도시한 바와 같이, 도 1E와 같은 실리사이드화 반응을 행하고, 실리콘 표면에 실리사이드층(18)을 형성한다. 언더컷이 없는 실리콘 표면상에 저저항의 실리사이드층이 형성되어 전극 영역의 저항을 저감한다. As shown in Fig. 4E, the same silicide reaction as in Fig. 1E is performed to form the silicide layer 18 on the silicon surface. A low resistance silicide layer is formed on the silicon surface without undercut to reduce the resistance of the electrode region.

본 실시예에서는 측벽 측면에 있어서 게이트 산화막과 그 위의 산화 실리콘막이 노출되지만, 게이트 산화막의 에칭 속도는 그 위에 산화 실리콘막의 에칭 속도보다도 느리고, 사이드 에칭이 억제되기 때문에 언더컷이 생기는 것은 방지된다. 사이드 월 스페이서는 층간 절연막의 에칭에 대하여 배리어성을 갖는 절연막인 질화실리콘막을 포함하고, SAC 공정을 행할 수 있다. 질화실리콘막은 기판 표면에는 접하지 않아 과도한 왜곡을 주는 것도 방지된다. In this embodiment, the gate oxide film and the silicon oxide film thereon are exposed on the sidewall side, but the etching rate of the gate oxide film is lower than the etching rate of the silicon oxide film thereon, and the side etching is suppressed, so that the undercut is prevented from occurring. The side wall spacer includes a silicon nitride film which is an insulating film having barrier property against etching of the interlayer insulating film, and can perform a SAC process. The silicon nitride film does not come into contact with the substrate surface to prevent excessive distortion.

이하, 플래시 메모리와 논리 회로용 메모리, 플래시 메모리 구동용 고전압 트랜지스터 등을 혼재하는 반도체 장치의 실시예를 설명한다. An embodiment of a semiconductor device in which a flash memory, a logic circuit memory, a flash memory driving high voltage transistor, and the like are mixed will be described below.

도 5A, 5B는 NOR 형 플래시 메모리의 구성을 도시하는 평면도 및 등가 회로도이다. 도 5A에 도시한 바와 같이, 반도체 기판에 소자 분리 영역(ISO)을 형성하고 활성 영역(AR)을 획정한다. 활성 영역(AR) 상에 터널 산화막을 형성하여 전체면 상에 부동 게이트가 되는 아몰퍼스 실리콘막 및 ONO 막을 퇴적하고, 활성 영역(AR)의 형상에 따른 형태로 패터닝한다. 그 후, ONO 막을 퇴적하고 컨트롤 게이트가 되는 다결정 실리콘막을 퇴적하고 플로팅 게이트와 직교하는 방향으로 패터닝하고, 노출한 아래쪽의 ONO 막, 플로팅 게이트도 패터닝한다. 소스·드레인 영역의 이온 주입을 행하고, 플래시 메모리의 기본 구조를 작성한다. 층간 절연막을 통해 활성 영역(AR)와 교차하는 방향으로 소스 라인(SL)을 형성하고 소스 영역에 접속한다. 또한 층간 절연막을 통해 활성 영역에 따르는 방향으로 비트 라인(BL)을 형성하고 드레인 영역에 접속한다. 5A and 5B are a plan view and an equivalent circuit diagram showing the configuration of a NOR type flash memory. As shown in Fig. 5A, an isolation region ISO is formed on a semiconductor substrate and the active region AR is defined. A tunnel oxide film is formed on the active region AR to deposit an amorphous silicon film and an ONO film serving as a floating gate on the entire surface, and are patterned in a shape corresponding to the shape of the active region AR. Thereafter, an ONO film is deposited, a polycrystalline silicon film serving as a control gate is deposited and patterned in a direction orthogonal to the floating gate, and the exposed ONO film and floating gate are also patterned. Ion implantation of the source and drain regions is performed to create a basic structure of the flash memory. The source line SL is formed in the direction crossing the active region AR through the interlayer insulating layer and connected to the source region. In addition, the bit line BL is formed in the direction along the active region through the interlayer insulating layer and connected to the drain region.

도 5B에 도시한 바와 같이, 플로팅 게이트(FG), 컨트롤 게이트(CG)를 포함하는 각 플래시 메모리 셀(MC)은 공통의 비트 라인(BL)에 접속됨과 동시에, 각각 별개의 소스 라인에 접속되어 개별로 판독하는 것이 가능하다. As shown in FIG. 5B, each of the flash memory cells MC including the floating gate FG and the control gate CG is connected to a common bit line BL and is connected to a separate source line. It is possible to read them individually.

도 5C, 5D는 NAND 형 플래시 메모리의 구성을 도시하는 평면도 및 등가 회로 도이다. 도 5C에 도시한 바와 같이, 도 5A와 같은 활성 영역(AR)을 도면 중 세로 방향으로 획정하도록 소자 분리 영역(ISO)을 형성한다. 각 활성 영역(AR)에 따른 방향으로 플로팅 게이트(FG)를 형성하고, 교차하는 방향으로 컨트롤 게이트(CG)를 형성하고 아래쪽 플로팅 게이트(FG)도 패터닝한다. 5C and 5D are plan views and equivalent circuit diagrams showing the configuration of a NAND type flash memory. As shown in FIG. 5C, the device isolation region ISO is formed to define the active region AR as shown in FIG. 5A in the vertical direction in the drawing. The floating gate FG is formed in the direction corresponding to each active region AR, the control gate CG is formed in the crossing direction, and the lower floating gate FG is also patterned.

도 5D에 도시한 바와 같이, 복수의 플래시 메모리 셀(MC)이 직렬로 접속되고, 선택 게이트(SG)를 통해 판독 회로에 접속되어 있다. 선택 게이트(SG)에 온 전압을 인가하고 판독 대상 셀에 대하여 축적 전하에 따라서 온/오프 상태가 되는 판독 전압을 인가하고, 다른 플래시 메모리 셀(MC)에는 강제적으로 온 상태로 하는 온 전압을 인가한다. 복수의 트랜지스터 구조를 통해 판독 대상의 메모리 셀(MC)의 기억 상태가 판독된다. As shown in FIG. 5D, a plurality of flash memory cells MC are connected in series and connected to a read circuit through the selection gate SG. An on voltage is applied to the selection gate SG, a read voltage that is turned on / off according to the accumulated charge is applied to the read target cell, and an on voltage that is forcibly turned on is applied to the other flash memory cells MC. do. The memory state of the memory cell MC to be read is read out through the plurality of transistor structures.

이하, 플래시 메모리 셀은 도 5A에 도시하는 X-X'선에 따른 단면도를 예로서 설명하는데, NAND 형 플래시 메모리 셀도 마찬가지 공정으로 작성할 수 있는 것은 자명할 것이다. Hereinafter, the cross section of the flash memory cell along the line X-X 'shown in FIG. 5A will be described as an example, but it will be apparent that the NAND type flash memory cell can be created by the same process.

도 6A에 도시한 바와 같이, 반도체 기판(11)의 활성 영역 표면상에 800℃~1000℃의 열 산화에 의해, 두께 8 nm-10 nm의 터널 산화막(25)을 형성한다. 또한, 도면 중에는 좌측에 플래시 메모리 셀을 형성하는 메모리 영역, 중앙에 저전압 동작 트랜지스터를 형성하는 논리 회로 영역, 우측에 고전압 트랜지스터를 형성하는 주변 회로 영역을 도시한다. 논리 회로 영역에 게이트 산화막 두께가 상이한 복수 종의 트랜지스터를 형성해도 좋다. 각 영역은 각각 STI 등의 소자 분리 영역에 의해 획정되어 있다. 트랜지스터 영역에는 터널 산화막을 형성할 필요는 없지만, 기 판 표면의 열 산화에 의해 동시에 형성되어 버린다. As shown in FIG. 6A, a tunnel oxide film 25 having a thickness of 8 nm to 10 nm is formed by thermal oxidation at 800 ° C to 1000 ° C on the surface of the active region of the semiconductor substrate 11. In the figure, a memory region for forming a flash memory cell on the left side, a logic circuit region for forming a low voltage operation transistor in the center, and a peripheral circuit region for forming a high voltage transistor on the right side are shown. A plurality of transistors having different gate oxide film thicknesses may be formed in the logic circuit region. Each region is defined by an element isolation region such as STI. It is not necessary to form the tunnel oxide film in the transistor region, but it is formed at the same time by thermal oxidation of the substrate surface.

도 6B에 도시한 바와 같이, 터널 산화막(25) 위에 두께 80 nm-120 nm, P 농도 5E19(5× 1019)cm-3 정도의 도핑된 아몰퍼스 실리콘막을 약 500℃의 CVD 로 퇴적하고, 그 위에 ONO 막(27)을 형성한다. 또, 도핑된 아몰퍼스 실리콘막은 그 후의 열 처리에 의해 다결정 실리콘막으로 변환된다. As shown in FIG. 6B, a doped amorphous silicon film having a thickness of 80 nm-120 nm and a P concentration of 5E19 (5 × 10 19 ) cm −3 on the tunnel oxide film 25 was deposited by CVD at about 500 ° C. An ONO film 27 is formed thereon. In addition, the doped amorphous silicon film is converted into a polycrystalline silicon film by subsequent heat treatment.

도 6C에 도시한 바와 같이, ONO 막은 산화실리콘막(27a), 질화실리콘막(27b), 산화실리콘막(27c)의 적층으로 형성되어 있다. 우선, 아몰퍼스 실리콘막(26) 상에 두께 5 nm-10 nm의 산화 실리콘막(27a)을 기판 온도 750 ℃ 이상, 예컨대 800℃의 고온 CVD 에 의해 퇴적한다. 산화실리콘막(27a)의 위에, 두께 5 nm-10 nm의 질화실리콘막(27b)을, 예컨대 700℃ 이상의 감압 CVD 에 의해 성막한다. 질화실리콘막(27b)의 표면을 950℃ 에서 열 산화하여, 두께 3 nm-10 nm의 열산화 실리콘막(27c)을 형성한다. As shown in Fig. 6C, the ONO film is formed by laminating a silicon oxide film 27a, a silicon nitride film 27b, and a silicon oxide film 27c. First, a silicon oxide film 27a having a thickness of 5 nm-10 nm is deposited on the amorphous silicon film 26 by high temperature CVD at a substrate temperature of 750 ° C or higher, for example, 800 ° C. On the silicon oxide film 27a, a silicon nitride film 27b having a thickness of 5 nm-10 nm is formed by, for example, reduced pressure CVD at 700 ° C or higher. The surface of the silicon nitride film 27b is thermally oxidized at 950 ° C to form a thermal silicon oxide film 27c having a thickness of 3 nm-10 nm.

이와 같이 형성한 ONO 막(27)은 우수한 누설 전류 방지 기능을 갖는다. 70O℃ 이상의 성막 온도를 채용하지만, 트랜지스터 영역에는 아직 확산 영역은 형성되어 있지 않아 문제는 생기지 않는다. The ONO film 27 thus formed has an excellent leakage current prevention function. Although the film formation temperature of 70O degreeC or more is employ | adopted, a diffusion region is not yet formed in a transistor region, and a problem does not arise.

도 6D에 도시한 바와 같이, 플래시 메모리 셀 영역을 레지스트 패턴(PR1)으로 덮고, 저전압 동작 트랜지스터 영역, 고전압 동작 트랜지스터 영역의 ONO 막(27), 실리콘막(26), 터널 산화막(25)을 제거한다. 소자 분리 영역상의 이들 막도 제거된다. 기판 표면에 손상을 주지 않도록, 터널 산화막(25)의 제거는 희석 HF 수용액에 의한 웨트 에칭에 의해 행한다. As shown in Fig. 6D, the flash memory cell region is covered with a resist pattern PR1, and the ONO film 27, silicon film 26, and tunnel oxide film 25 in the low voltage operation transistor region, the high voltage operation transistor region are removed. do. These films on the device isolation region are also removed. The tunnel oxide film 25 is removed by wet etching with dilute HF aqueous solution so as not to damage the substrate surface.

도 6E에 도시한 바와 같이, 기판(11) 표면에 고전압 트랜지스터의 게이트 산화막에 알맞은 두께 10 nm-50 nm의 열 산화막(13a)을 800℃-1100℃의 열 산화로 형성한다. 저전압 동작 트랜지스터 영역에도 같은 산화실리콘막이 형성된다. 플래시 메모리 셀 영역은 ONO 막(27)으로 덮어져 있기 때문에 산화가 진행되지 않는다. As shown in Fig. 6E, a thermal oxide film 13a having a thickness of 10 nm-50 nm suitable for the gate oxide film of the high voltage transistor is formed on the surface of the substrate 11 by thermal oxidation at 800 占 폚 -1100 占 폚. The same silicon oxide film is formed in the low voltage operation transistor region. Since the flash memory cell area is covered with the ONO film 27, oxidation does not proceed.

도 6F에 도시한 바와 같이, 플래시 메모리 셀 영역 및 고전압 동작 트랜지스터 영역을 덮는 레지스트 마스크(PR2)를 형성하여, 저전압 트랜지스터 영역의 산화 실리콘막(13a)을 희석 불소산 수용액에 의해 제거한다. As shown in Fig. 6F, a resist mask PR2 covering the flash memory cell region and the high voltage operation transistor region is formed to remove the silicon oxide film 13a in the low voltage transistor region with a dilute hydrofluoric acid aqueous solution.

도 6G에 도시한 바와 같이, 800℃-1100℃의 열산화에 의해 저전압 동작 트랜지스터 영역 표면에 두께 1 nm-l0nm의 게이트 산화막(13b)을 형성한다. 이와 같이 하여, 저전압 동작 트랜지스터 영역에서는 얇은 게이트 산화막, 고전압 동작 트랜지스터 영역에서는 두꺼운 게이트 산화막이 형성된다. 또, 트랜지스터의 게이트 산화막을 산화실리콘 대신에, 산화질화실리콘으로 형성할 수도 있다. As shown in Fig. 6G, a gate oxide film 13b having a thickness of 1 nm-10 nm is formed on the surface of the low voltage operation transistor region by thermal oxidation at 800 ° C-1100 ° C. In this manner, a thin gate oxide film is formed in the low voltage operation transistor region and a thick gate oxide film in the high voltage operation transistor region. Further, the gate oxide film of the transistor may be formed of silicon oxynitride instead of silicon oxide.

도 6H에 도시한 바와 같이, 예컨대 기판 온도 620℃에서 기판 표면상에 다결정 실리콘막(28)을 CVD에 의해 두께 80 nm-250 nm 퇴적한다. 이 다결정 실리콘막(28)은 그 후 패터닝되어 플래시 메모리 셀에서는 컨트롤 게이트 전극을 형성하고, 트랜지스터 영역에서는 게이트 전극을 형성한다. As shown in Fig. 6H, a polycrystalline silicon film 28 is deposited on the substrate surface at a substrate temperature of 620 DEG C, for example, by 80 nm-250 nm in thickness by CVD. This polycrystalline silicon film 28 is then patterned to form the control gate electrode in the flash memory cell and the gate electrode in the transistor region.

다결정 실리콘막(28) 위에, 예컨대 기판 온도 400℃에서 플라즈마 CVD에 의해 질화실리콘막(34)을 두께 10 nm-25 nm 형성한다. 또, 열 질화실리콘막이나 플라즈마 CVD 에 의한 산화질화실리콘막을 형성하는 것도 가능하다. 이 질화실리콘막은 에치스토퍼나 열 산화시 이온 주입시의 마스크로서 기능하면 되고, 그다지 치밀성, 고품질은 필요로 하지 않는다. A silicon nitride film 34 is formed on the polycrystalline silicon film 28 by, for example, plasma CVD at a substrate temperature of 400 ° C. with a thickness of 10 nm to 25 nm. It is also possible to form a thermal silicon nitride film or a silicon oxynitride film by plasma CVD. The silicon nitride film may function as an etch stopper or a mask for ion implantation during thermal oxidation, and does not require very dense and high quality.

도 6I에 도시한 바와 같이, 질화실리콘막(34) 위에 플래시 메모리 셀의 적층 게이트 구조의 패턴을 가지고, 저전압 동작 트랜지스터 영역, 고전압 동작 트랜지스터 영역을 덮는 레지스트 패턴(PR3)을 형성한다. 이 레지스트 패턴(PR3)을 마스크로 하고, 플라즈마 질화실리콘막(34), 다결정 실리콘막(28), ONO 막(27), 실리콘막(26)을 에칭한다. 플래시 메모리 셀 영역에서는 실리콘막의 플로팅 게이트 전극(26), 그 위의 ONO 막(27), 컨트롤 게이트 전극(28c), 플라즈마 질화실리콘막(34)이 패터닝된다. 그 후 레지스트 패턴(PR3)은 제거한다. As shown in FIG. 6I, a resist pattern PR3 is formed on the silicon nitride film 34 having a pattern of a stacked gate structure of a flash memory cell and covering a low voltage operation transistor region and a high voltage operation transistor region. Plasma silicon nitride film 34, polycrystalline silicon film 28, ONO film 27, and silicon film 26 are etched using this resist pattern PR3 as a mask. In the flash memory cell region, the floating gate electrode 26 of the silicon film, the ONO film 27 thereon, the control gate electrode 28c, and the plasma silicon nitride film 34 are patterned. Thereafter, resist pattern PR3 is removed.

도 6J에 도시한 바와 같이, 플래시 메모리 셀의 실리콘막 측면상에 800℃-900℃의 열 산화에 의해 보호 산화막(35)을 두께 1 nm-5 nm 형성한다. 열 산화막은 캐리어의 누설에 대하여 높은 배리어성을 갖는 절연막이다. 저전압 동작 트랜지스터 영역, 고전압 동작 트랜지스터 영역에서는 다결정 실리콘막(28) 위를 질화실리콘막(34)이 덮고 있기 때문에 열 산화는 행해지지 않는다. As shown in Fig. 6J, the protective oxide film 35 is formed with a thickness of 1 nm-5 nm by thermal oxidation at 800 ° C-900 ° C on the silicon film side surface of the flash memory cell. The thermal oxide film is an insulating film having a high barrier property against leakage of carriers. In the low voltage operation transistor region and the high voltage operation transistor region, thermal oxidation is not performed because the silicon nitride film 34 covers the polycrystalline silicon film 28.

도 6K에 도시한 바와 같이, 플래시 메모리 셀의 게이트 전극의 한쪽 측 및 저전압 동작 트랜지스터 영역, 고전압 동작 트랜지스터 영역을 덮는 레지스트 패턴(PR4)을 형성한다. 레지스트 패턴(PR4)의 개구내에 노출된 영역에 대하여, 예컨대 P+ 이온을 가속 에너지 50 keV-80 keV, 도우즈량 1× 1014cm-2-5× 1O14 cm- 2 로 이온 주입하고 드레인용 n 형 영역(31)을 형성한다. 또한 As+ 이온을 가속 에너지 30 keV-50 keV, 도우즈량 1× 1015cm-2- 6× 1O15 cm-2로 이온 주입하여 확산 영역(32)을 형성한다. 그 후 레지스트 마스크(PR4)는 제거한다. As shown in Fig. 6K, a resist pattern PR4 covering one side of the gate electrode of the flash memory cell, the low voltage operation transistor region, and the high voltage operation transistor region is formed. With respect to the area exposed to the opening of the resist pattern (PR4), for example P + ions at an acceleration energy of 50 keV-80 keV, dose 1 × 10 14 cm -2 -5 × 1O 14 cm - ion implantation, and a second drain The citation n-type region 31 is formed. In addition, the As + ion acceleration energy of 30 keV-50 keV, dose 1 × 10 15 cm -2 - by ion implantation with 6 × 1O 15 cm -2 to form a diffusion region (32). Thereafter, resist mask PR4 is removed.

도 6L에 도시한 바와 같이, 저전압 동작 트랜지스터 영역, 고전압 동작 트랜지스터 영역을 덮는 레지스트 패턴(PR5)을 작성한다. 플래시 메모리 셀 영역에 대하여, As+ 이온을 가속 에너지 20 keV-60 keV, 도우즈량 5× 1014cm-2-3× 1O15 cm-2로 이온 주입하여 확산 영역(32)의 불순물 농도를 높이고, 다른 쪽에 소스용 확산 영역(33)을 형성한다. 그 후 레지스트 패턴 (PR5)은 제거한다. As shown in Fig. 6L, a resist pattern PR5 covering the low voltage operation transistor region and the high voltage operation transistor region is created. In the flash memory cell region, As + ions are implanted with an acceleration energy of 20 keV-60 keV and a dose amount of 5 × 10 14 cm -2 -3 × 10 15 cm -2 to increase the impurity concentration of the diffusion region 32. On the other side, a source diffusion region 33 is formed. Thereafter, resist pattern PR5 is removed.

도 6M에 도시한 바와 같이, 기판 온도 600℃로 TEOS 산화실리콘막(36)을 퇴적하고, 다음에 기판 온도 800℃, 0.8 torr의 감압(LP) CVD에 의해 질화실리콘막(37)을 퇴적한다. LP-CVD 에 의한 질화실리콘막은 수분, SiH 기 등의 침입에 대하여 높은 배리어성을 갖는 치밀하고 고품질의 절연막이다. 이방성 에칭을 행하여 평탄 표면상의 LP-CVD 질화실리콘막, TEOS 산화 실리콘막을 CHF3을 주 에칭 가스로 하는 이방성 에칭, CF4 를 주 에칭 가스로 하는 이방성 에칭으로 각각 제거하고, 적층 게이트 전극 측벽상에 산화실리콘막(36), 질화실리콘막(37)의 적층으로 이루어지는 사이드 월 스페이서를 형성한다. 또, TEOS 산화 실리콘막(36)은 생략해도 좋다. As shown in FIG. 6M, the TEOS silicon oxide film 36 is deposited at a substrate temperature of 600 ° C., and then the silicon nitride film 37 is deposited by a reduced pressure (LP) CVD at a substrate temperature of 800 ° C. and 0.8 torr. . The silicon nitride film by LP-CVD is a dense and high quality insulating film having high barrier property against invasion of moisture, SiH groups and the like. Anisotropic etching is performed to remove the LP-CVD silicon nitride film and the TEOS silicon oxide film on the flat surface by anisotropic etching with CHF 3 as the main etching gas and anisotropic etching with CF 4 as the main etching gas, respectively. A side wall spacer made of a stack of a silicon oxide film 36 and a silicon nitride film 37 is formed. The TEOS silicon oxide film 36 may be omitted.

도 6N에 도시한 바와 같이, 또한 CF4 를 주 에칭 가스로 한 이방성 에칭을 행하고, 질화실리콘막(34)을 에칭한다. 질화 실리콘의 사이드 월 스페이서(37)도 상부가 에칭된다. 트랜지스터 영역의 질화실리콘막(34)도 제거되어 실리콘막(28)이 노출한다. As shown in FIG. 6N, anisotropic etching is further performed using CF 4 as the main etching gas to etch the silicon nitride film 34. The upper sidewall spacers 37 of silicon nitride are also etched. The silicon nitride film 34 in the transistor region is also removed to expose the silicon film 28.

또한, 산화 실리콘막(36)을 형성하지 않는 경우는, 질화실리콘막(37)의 에칭과 질화실리콘막(34)의 에칭을 연속적으로 행할 수도 있다. When the silicon oxide film 36 is not formed, the silicon nitride film 37 and the silicon nitride film 34 may be etched continuously.

도 6O에 도시한 바와 같이, 트랜지스터 영역에서 게이트 전극의 패턴을 가지고, 플래시 메모리 영역을 덮는 레지스트 패턴(PR6)을 형성한다. 레지스트 패턴(PR6)을 마스크로 하고, 다결정 실리콘막(28)의 에칭을 행하여 게이트 전극(28a, 28b)을 형성한다. 질화실리콘막(34)을 제거하고 있기 때문에, 에칭 대상층은 실리콘뿐이며, 고정밀도의 에칭이 용이해진다. 그 후 레지스트 패턴(PR6)은 제거한다. As shown in Fig. 6O, a resist pattern PR6 is formed having a pattern of a gate electrode in a transistor region and covering a flash memory region. Using the resist pattern PR6 as a mask, the polycrystalline silicon film 28 is etched to form the gate electrodes 28a and 28b. Since the silicon nitride film 34 is removed, only the etching target layer is silicon, and high-precision etching becomes easy. Thereafter, resist pattern PR6 is removed.

도 6P에 도시한 바와 같이, 플래시 메모리 셀 영역 및 고전압 동작 트랜지스터 영역을 덮는 레지스트 패턴(PR7)을 형성하고 저전압 트랜지스터 영역에 n 형 불순물을 이온 주입하여 소스/드레인의 익스텐션 영역(41)을 형성한다. 그 후 레지스트 패턴(PR7)은 제거한다. As shown in FIG. 6P, a resist pattern PR7 covering the flash memory cell region and the high voltage operation transistor region is formed and n-type impurities are implanted into the low voltage transistor region to form the extension region 41 of the source / drain. . Thereafter, resist pattern PR7 is removed.

도 6Q에 도시한 바와 같이, 플래시 메모리 셀 영역 및 저전압 동작 트랜지스터 영역을 덮는 레지스트 패턴(PR8)을 형성한다. 고전압 동작 트랜지스터 영역에 대하여 n 형 불순물을 이온 주입하여, 저농도 드레인(LDD) 영역(42)을 형성한다. 그 후, 레지스트 패턴(PR8)은 제거한다. 또, 조건이 허용되면 저전압 동작 트랜지스터 영역, 고전압 동작 트랜지스터를 분리하지 않고, 동일 공정에서 익스텐션 영역, LDD 영역을 이온 주입해도 된다. As shown in Fig. 6Q, a resist pattern PR8 covering the flash memory cell region and the low voltage operation transistor region is formed. N-type impurities are ion-implanted into the high voltage operation transistor region to form a low concentration drain (LDD) region 42. Thereafter, resist pattern PR8 is removed. If the conditions are allowed, the extension region and the LDD region may be ion implanted in the same process without separating the low voltage operation transistor region and the high voltage operation transistor.

도 6R에 도시한 바와 같이, 기판 전체면 상에 TEOS 산화실리콘막(44)을 기판 온도 600℃에서 두께 80 nm-150 nm 퇴적하고, 에치백하여 평탄 표면상의 산화 실리 콘막을 제거한다. 플래시 메모리 셀 영역의 적층 게이트 전극 측벽 상에는 산화실리콘막의 사이드 월 스페이서(44c)가 형성되고, 저전압 동작 트랜지스터 영역, 고전압 트랜지스터 영역에서는 게이트 전극(28b, 28a) 측벽 상에 산화 실리콘의 사이드 월 스페이서(44b, 44a)가 형성된다. As shown in Fig. 6R, a TEOS silicon oxide film 44 is deposited on the entire surface of the substrate at a substrate temperature of 600 DEG C and a thickness of 80 nm to 150 nm and etched back to remove the silicon oxide film on the flat surface. Sidewall spacers 44c of a silicon oxide film are formed on the sidewalls of the stacked gate electrodes of the flash memory cell region, and sidewall spacers 44b of silicon oxide are formed on the sidewalls of the gate electrodes 28b and 28a in the low voltage operation transistor region and the high voltage transistor region. , 44a).

도 6S에 도시한 바와 같이, 전체 활성 영역에 대하여 n 형 불순물을 이온 주입하여, 고농도 소스/드레인 영역(46)을 형성한다. As shown in Fig. 6S, n-type impurities are ion-implanted with respect to all active regions to form a high concentration source / drain region 46.

또한, CM0S 회로를 형성하는 경우는, p-채널 영역, n-채널 영역을 레지스트 패턴으로 분리하여 n 형 불순물, p 형 불순물을 각각 이온 주입한다. In the case of forming the CM0S circuit, the p-channel region and the n-channel region are separated by a resist pattern and ion implantation is performed for n-type impurities and p-type impurities, respectively.

도 6T에 도시한 바와 같이, 기판 표면 및 게이트 전극 표면을 희석 불소산 수용액으로 세정하여 자연 산화막 등을 제거한 뒤, Ti, Co 등 실리사이드화 가능 금속층을 두께 약 30 nm 스퍼터링으로 퇴적한다. 필요에 따라서 추가로 TiN 층을 퇴적하고, 500℃, 30 초 등의 어닐링을 행하여 제1차 실리사이드층을 형성한다. 미반응의 금속층 등을 제거한 후, 예컨대 800℃, 30 초의 2차 어닐링을 행하고, 저저항의 실리사이드층(18)을 형성한다. As shown in Fig. 6T, the substrate surface and the gate electrode surface are washed with a dilute hydrofluoric acid solution to remove the native oxide film and the like, and then a silicideable metal layer such as Ti and Co is deposited by sputtering at a thickness of about 30 nm. A TiN layer is further deposited as needed, and annealing, such as 500 degreeC and 30 second, is formed and a primary silicide layer is formed. After removing the unreacted metal layer or the like, secondary annealing is performed, for example, at 800 ° C. for 30 seconds to form the silicide layer 18 having low resistance.

사이드 월 스페이서 표면에는 질화실리콘막이 노출되지 않고, 게이트 산화막과 TEOS 산화실리콘막이 기판에 접하도록 노출되어 있기 때문에, 언더컷은 형성되지 않고, 쇼트, 왜곡 등의 문제도 생기지 않는다. Since the silicon nitride film is not exposed on the sidewall spacer surface, and the gate oxide film and the TEOS silicon oxide film are exposed to be in contact with the substrate, no undercut is formed and no problems such as shorting and distortion occur.

도 6U에 도시한 바와 같이, 각 게이트 전극 구조를 덮도록 층간 절연막(21)을 퇴적하고, 필요에 따라서 표면을 평탄화한다. 층간 절연막(21)을 관통하는 컨택트 홀을 형성하고, Ti 층, TiN 층 등을 형성한 후 W 층을 매립하여, 불필요한 부분 을 제거함으로써 W 플러그(22)를 형성한다. 이와 같이 하여, 복수 종류의 반도체 소자를 혼재한 반도체 장치가 형성된다. 필요에 따라서 상층 배선을 형성하고, 다층 배선 구조를 형성한다. 반도체 장치의 일반적 기술에 관해서는, 여러 가지의 공지 기술을 채용할 수 있다(예컨대 미국 특허 제6,492,734호, 제6,500,710호 참조, 이들 전체 내용을 참조하여 받아들인다). As shown in Fig. 6U, an interlayer insulating film 21 is deposited to cover each gate electrode structure, and the surface is planarized as necessary. A contact hole penetrating through the interlayer insulating film 21 is formed, a Ti layer, a TiN layer, and the like are formed, and then a W layer is embedded to form a W plug 22 by removing unnecessary portions. In this way, a semiconductor device in which a plurality of kinds of semiconductor elements are mixed is formed. An upper layer wiring is formed as needed, and a multilayer wiring structure is formed. As for the general technique of the semiconductor device, various known techniques can be employed (see, for example, US Pat. Nos. 6,492,734, 6,500,710, which are incorporated by reference in their entirety).

이상 실시예에 따라서 본 발명을 설명했지만, 본 발명은 이들에 제한되는 것은 아니다. 예컨대 여러 가지의 변경, 개량, 조합이 가능한 것은 당업자에게 자명할 것이다. Although the present invention has been described in accordance with the above embodiments, the present invention is not limited thereto. For example, it will be apparent to those skilled in the art that various modifications, improvements, and combinations are possible.

고집적화된 반도체 장치에 이용할 수 있다. 복수 종류의 반도체 소자를 혼재한 반도체 집적 회로 장치에 이용할 수 있다. It can be used for highly integrated semiconductor devices. It can be used for the semiconductor integrated circuit device which mixed several types of semiconductor elements.

Claims (21)

반도체 기판과Semiconductor substrate 상기 반도체 기판 상에 형성된 제1 게이트 산화막과,A first gate oxide film formed on the semiconductor substrate; 상기 제1 게이트 산화막 상에 형성되고, 상기 제1 게이트 산화막보다도 좁은 폭을 갖는 제1 게이트 전극과,A first gate electrode formed on the first gate oxide film and having a narrower width than the first gate oxide film; 상기 제1 게이트 전극 양측의 상기 반도체 기판 내에 형성된 제1 소스/드레인 영역과,First source / drain regions formed in the semiconductor substrate on both sides of the first gate electrode; 상기 제1 게이트 전극 측벽 상에 형성되고, 최외층 이외의 층으로서 질화막을 포함하고, 최외층은 산화막 또는 산화질화막으로 형성되는 적층 사이드 월 스페이서를 가지며,A laminated sidewall spacer formed on the sidewall of the first gate electrode and including a nitride film as a layer other than the outermost layer, wherein the outermost layer is formed of an oxide film or an oxynitride film, 상기 최외층은 바닥면이 상기 반도체 기판에 접촉하고 또한 내벽면이 상기 최외층 이외의 층으로서의 상기 질화막의 측면 및 상기 제1 게이트 산화막의 측면에 접하거나, 또는 바닥면이 상기 제1 게이트 산화막을 접촉하고 또한 내벽면이 상기 최외층 이외의 층으로서의 상기 질화막의 측면에 접하는 것을 특징으로 하는 반도체 장치.The outermost layer has a bottom surface in contact with the semiconductor substrate and an inner wall surface in contact with a side surface of the nitride film and a side surface of the first gate oxide film as layers other than the outermost layer, or a bottom surface thereof contacts the first gate oxide film. A semiconductor device in contact with and in contact with a side surface of the nitride film as a layer other than the outermost layer. 제1항에 있어서, 상기 제1 소스/드레인 영역상에 형성된 제1 실리사이드층을 더 갖는 것인 반도체 장치. The semiconductor device of claim 1, further comprising a first silicide layer formed on the first source / drain region. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 반도체 기판; Semiconductor substrates; 상기 반도체 기판상에 형성된 제1 게이트 산화막; A first gate oxide film formed on the semiconductor substrate; 상기 제1 게이트 산화막상에 형성된 제1 게이트 전극; A first gate electrode formed on the first gate oxide film; 상기 제1 게이트 전극 양측의 상기 반도체 기판내에 형성된 제1 소스/드레인영역; First source / drain regions formed in the semiconductor substrate on both sides of the first gate electrode; 상기 제1 게이트 전극 측벽상에 형성된 제1 사이드 월 스페이서; First side wall spacers formed on sidewalls of the first gate electrode; 상기 반도체 기판상에 형성된 적층 게이트 전극 구조로서, As a stacked gate electrode structure formed on the semiconductor substrate, 상기 반도체 기판상에 형성된 터널 절연막과, A tunnel insulating film formed on the semiconductor substrate; 상기 터널 절연막상에 형성된 플로팅 게이트 전극과, A floating gate electrode formed on the tunnel insulating film; 상기 플로팅 전극상에 형성된 절연막과, An insulating film formed on the floating electrode; 상기 절연막상에 형성된 컨트롤 게이트 전극 Control gate electrode formed on the insulating film 을 포함하는 적층 게이트 전극 구조; A stacked gate electrode structure comprising a; 상기 적층 게이트 전극 구조 양측의 상기 반도체 기판내에 형성된 제2 소스/드레인 영역; 및 Second source / drain regions formed in the semiconductor substrate on both sides of the stacked gate electrode structure; And 상기 적층 게이트 전극 구조의 측벽상에 형성된 3 층 이상의 제2 적층 사이드 월 스페이서로서, 중간층으로서 상기 반도체 기판에 접하지 않는 질화막을 포함하고, 최외 사이드 월 스페이서층은 직접 상기 반도체 기판에 접하는 제2 적층 사이드 월 스페이서A second laminated sidewall spacer of at least three layers formed on the sidewalls of the stacked gate electrode structure, the second stacked sidewall spacer including a nitride film not in contact with the semiconductor substrate as an intermediate layer, and the outermost sidewall spacer layer directly contacting the semiconductor substrate; Sidewall spacer 를 갖는 반도체 장치. A semiconductor device having a. 제12항에 있어서, 상기 제1 사이드 월 스페이서는 상기 제2 적층 사이드 월 스페이서의 최외 사이드 월 스페이서층과 동일층으로 형성되어 있는 것인 반도체 장치. The semiconductor device according to claim 12, wherein the first sidewall spacer is formed of the same layer as the outermost sidewall spacer layer of the second laminated sidewall spacer. 삭제delete 삭제delete (a) 반도체 기판상에 게이트 절연막을 형성하는 단계; (a) forming a gate insulating film on the semiconductor substrate; (b) 상기 게이트 절연막상에 도전막을 형성하는 단계; (b) forming a conductive film on the gate insulating film; (c) 상기 도전막을 에칭하여 게이트 전극을 형성함과 동시에, 상기 게이트 절연막을 노출하는 단계; (c) etching the conductive film to form a gate electrode and simultaneously exposing the gate insulating film; (d) 상기 게이트 절연막에 대하여 에칭 선택성을 갖는 제1 절연막을 전체 면에 퇴적하고, 이방성 에칭에 의해 상기 게이트 전극 측벽상에 제1 사이드 월 스페이서층을 남기는 단계; (d) depositing a first insulating film having an etching selectivity with respect to the gate insulating film on the entire surface, and leaving a first sidewall spacer layer on the gate electrode sidewall by anisotropic etching; (e) 상기 게이트 절연막을 에칭하여, 상기 반도체 기판의 표면을 노출하는 단계; (e) etching the gate insulating film to expose a surface of the semiconductor substrate; (f) 상기 반도체 기판 전체 면에 제2 절연막을 퇴적하고, 이방성 에칭에 의해 상기 제1 사이드 월 스페이서의 측벽상에 제2 사이드 월 스페이서층을 남기는 단계; (f) depositing a second insulating film on the entire surface of the semiconductor substrate, and leaving a second sidewall spacer layer on the sidewall of the first sidewall spacer by anisotropic etching; (g) 상기 제1, 제2 사이드 월 스페이서를 통해 이온 주입을 행하고, 소스/드레인 영역을 형성하는 단계; (g) implanting ions through the first and second sidewall spacers and forming source / drain regions; (h) 희석 불소산 수용액으로 상기 반도체 기판 표면을 노출하는 단계; 및 (h) exposing the surface of the semiconductor substrate with an aqueous dilute hydrofluoric acid solution; And (i) 노출한 반도체 기판 표면에 실리사이드층을 형성하는 단계(i) forming a silicide layer on the exposed semiconductor substrate surface 를 포함하는 반도체 장치의 제조 방법. Method for manufacturing a semiconductor device comprising a. 제16항에 있어서,The method of claim 16, (j) 상기 단계 (c) 와 (d) 사이에 상기 반도체 기판 전체 면에 제3 절연층을 퇴적하는 단계(j) depositing a third insulating layer on the entire surface of the semiconductor substrate between steps (c) and (d) 를 더 포함하고, 상기 단계 (d)는 상기 제1, 제3 절연층을 이방성 에칭하는 것인 반도체 장치의 제조 방법. The method of claim 10, wherein the step (d) is to anisotropically etch the first and third insulating layers. (a) 반도체 기판상에 게이트 절연막을 형성하는 단계; (a) forming a gate insulating film on the semiconductor substrate; (b) 상기 게이트 절연막상에 도전막을 형성하는 단계; (b) forming a conductive film on the gate insulating film; (c) 상기 도전막을 에칭하여 게이트 전극을 형성함과 동시에, 상기 게이트 절연막을 노출하는 단계; (c) etching the conductive film to form a gate electrode and simultaneously exposing the gate insulating film; (d) 상기 게이트 절연막에 대하여 에칭 선택성을 갖는 제1 절연막을 전체 면에 퇴적하고, 이방성 에칭에 의해 상기 게이트 전극 측벽상에 제1 사이드 월 스페이서층을 남기는 단계; (d) depositing a first insulating film having an etching selectivity with respect to the gate insulating film on the entire surface, and leaving a first sidewall spacer layer on the gate electrode sidewall by anisotropic etching; (e) 상기 반도체 기판 전체 면에 상기 게이트 절연막보다 에칭 속도가 빠른 제2 절연막을 퇴적하고, 이방성 에칭에 의해 상기 제1 사이드 월 스페이서의 측벽상에 제2 사이드 월 스페이서층을 남기는 단계; (e) depositing a second insulating film having an etching rate higher than that of the gate insulating film on the entire surface of the semiconductor substrate, and leaving a second sidewall spacer layer on the sidewall of the first sidewall spacer by anisotropic etching; (f) 상기 게이트 절연막을 에칭하여, 상기 반도체 기판의 표면을 노출하는 단계; (f) etching the gate insulating film to expose a surface of the semiconductor substrate; (g) 상기 제1, 제2 사이드 월 스페이서를 통해 이온 주입을 행하고, 소스/드레인 영역을 형성하는 단계; (g) implanting ions through the first and second sidewall spacers and forming source / drain regions; (h) 희석 불소산 수용액으로 상기 반도체 기판 표면을 노출하는 단계; 및 (h) exposing the surface of the semiconductor substrate with an aqueous dilute hydrofluoric acid solution; And (i) 노출한 반도체 기판 표면에 실리사이드층을 형성하는 단계(i) forming a silicide layer on the exposed semiconductor substrate surface 를 포함하는 반도체 장치의 제조 방법. Method for manufacturing a semiconductor device comprising a. 제18항에 있어서, The method of claim 18, (j) 상기 단계 (c) 와 (d) 사이에 상기 반도체 기판 전체 면에 제3 절연층을 퇴적하는 단계를 더 포함하고, 상기 단계 (d)는 상기 제1, 제3 절연층을 이방성 에칭하는 것인 반도체 장치의 제조 방법. (j) further comprising depositing a third insulating layer on the entire surface of the semiconductor substrate between steps (c) and (d), wherein step (d) anisotropically etches the first and third insulating layers. The manufacturing method of a semiconductor device. (a) 반도체 기판상에 터널 절연막, 플로팅 게이트 전극막, 절연막을 퇴적하 고, 패터닝하여 플로팅 게이트 전극 구조를 형성하는 단계; (a) depositing and patterning a tunnel insulating film, a floating gate electrode film, and an insulating film on a semiconductor substrate to form a floating gate electrode structure; (b) 반도체 기판의 다른 영역에 게이트 절연막을 형성하는 단계; (b) forming a gate insulating film in another region of the semiconductor substrate; (c) 상기 플로팅 게이트 전극 구조, 상기 게이트 절연막을 덮어 도전막, 에치스토퍼막을 퇴적하는 단계; (c) depositing a conductive film and an etch stopper film covering the floating gate electrode structure and the gate insulating film; (d) 상기 에치스토퍼막, 도전막을 에칭하여 불휘발성 메모리의 적층 게이트 전극 구조를 형성하는 단계; (d) etching the etch stopper film and the conductive film to form a stacked gate electrode structure of a nonvolatile memory; (e) 상기 적층 게이트 전극 구조의 측벽상에 누설 방지용 제1 절연막을 형성하는 단계; (e) forming a first insulating film for preventing leakage on sidewalls of the stacked gate electrode structure; (f) 상기 누설 방지용 제1 절연막을 덮어 LP-CVD 에 의해 질화실리콘막을 퇴적하고, 이방성 에칭으로 상기 적층 게이트 전극 측벽상에 제1 사이드 월 스페이서층을 남기는 단계; (f) covering the first insulating film for preventing leakage and depositing a silicon nitride film by LP-CVD, and leaving a first sidewall spacer layer on the sidewall of the stacked gate electrode by anisotropic etching; (g) 상기 에치스토퍼층을 제거하는 단계; (g) removing the etch stopper layer; (h) 상기 다른 영역의 도전층을 패터닝하여, 게이트 전극 구조를 형성하는 단계; (h) patterning the conductive layer in the other region to form a gate electrode structure; (i) 상기 반도체 기판 전체 면에 제2 절연막을 퇴적하고, 이방성 에칭에 의해 상기 적층 게이트 전극 구조, 게이트 전극 구조 측벽상에 제2 사이드 월 스페이서를 남기는 단계; (i) depositing a second insulating film on the entire surface of the semiconductor substrate and leaving a second sidewall spacer on the sidewall of the stacked gate electrode structure and the gate electrode structure by anisotropic etching; (j) 희석 불소산 수용액으로 상기 반도체 기판 표면을 노출하는 단계; 및 (j) exposing the surface of the semiconductor substrate with an aqueous dilute hydrofluoric acid solution; And (k) 노출한 상기 반도체 기판 표면에 실리사이드층을 형성하는 단계(k) forming a silicide layer on the exposed surface of the semiconductor substrate 를 포함하는 반도체 장치의 제조 방법. Method for manufacturing a semiconductor device comprising a. 제20항에 있어서, 상기 단계 (i)는 질화실리콘막을 중간층으로서 포함하는 적층 사이드 월 스페이서를 형성하는 것인 반도체 장치의 제조 방법.21. The method of manufacturing a semiconductor device according to claim 20, wherein said step (i) forms a laminated sidewall spacer comprising a silicon nitride film as an intermediate layer.
KR1020067005743A 2006-03-23 2003-10-23 Semiconductor device and method for manufacturing semiconductor device KR100725477B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020067005743A KR100725477B1 (en) 2006-03-23 2003-10-23 Semiconductor device and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020067005743A KR100725477B1 (en) 2006-03-23 2003-10-23 Semiconductor device and method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
KR20060055548A KR20060055548A (en) 2006-05-23
KR100725477B1 true KR100725477B1 (en) 2007-06-08

Family

ID=37151534

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067005743A KR100725477B1 (en) 2006-03-23 2003-10-23 Semiconductor device and method for manufacturing semiconductor device

Country Status (1)

Country Link
KR (1) KR100725477B1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100814374B1 (en) * 2006-09-20 2008-03-18 삼성전자주식회사 Method of manufacturing a non-volatile memory device
KR100842661B1 (en) * 2006-12-27 2008-06-30 동부일렉트로닉스 주식회사 Flash memory device and method of manufacturing the same
KR101906167B1 (en) * 2011-10-27 2018-10-12 삼성전자주식회사 Nonvolatile memory device and and fabricating method thereof
JP7034834B2 (en) * 2018-05-30 2022-03-14 ルネサスエレクトロニクス株式会社 Semiconductor devices and their manufacturing methods

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030076266A (en) * 2002-03-22 2003-09-26 가부시키가이샤 히타치세이사쿠쇼 Mis semiconductor device and manufacturing method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030076266A (en) * 2002-03-22 2003-09-26 가부시키가이샤 히타치세이사쿠쇼 Mis semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
KR20060055548A (en) 2006-05-23

Similar Documents

Publication Publication Date Title
JP4866609B2 (en) Manufacturing method of semiconductor device
US6074914A (en) Integration method for sidewall split gate flash transistor
EP1237192A2 (en) Twin monos memory cell and corresponding fabrication method
JP2002110824A (en) Semiconductor device and its manufacturing method
US6784039B2 (en) Method to form self-aligned split gate flash with L-shaped wordline spacers
JP5454543B2 (en) Manufacturing method of semiconductor device
US6420232B1 (en) Methods of fabricating a scalable split-gate flash memory device having embedded triple-sides erase cathodes
US6967372B2 (en) Semiconductor memory array of floating gate memory cells with vertical control gate sidewalls and insulation spacers
US8952536B2 (en) Semiconductor device and method of fabrication
US20040147099A1 (en) Method of producing semiconductor device
JPH07115143A (en) Manufacture of non-volatile memory
JP2002246485A (en) Non-volatile semiconductor memory device and its manufacturing method
JP2000133728A (en) Manufacture of nonvolatile storage device
KR100658475B1 (en) Semiconductor device and method of manufacturing the same
US6569735B2 (en) Manufacturing method for isolation on non-volatile memory
KR100725477B1 (en) Semiconductor device and method for manufacturing semiconductor device
US8669606B2 (en) Semiconductor device and method for manufacturing thereof
JP2003258132A (en) Manufacturing method of non-volatile memory device
JP5794269B2 (en) Semiconductor device
JP2001284557A (en) Producing method for non-volatile semiconductor memory device
JP2005057187A (en) Semiconductor memory device and method of manufacturing same
JP2006140518A (en) Nonvolatile semiconductor memory device
JPH10209306A (en) Nonvolatile semiconductor memory and its manufacture
KR100281139B1 (en) Nonvolatile Memory Device and Manufacturing Method Thereof
KR20050038751A (en) Method for manufacturimg flash memory device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20130503

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140502

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160427

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170504

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180427

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190429

Year of fee payment: 13