KR100672173B1 - Method of forming a hard mask pattern in semiconductordevice - Google Patents

Method of forming a hard mask pattern in semiconductordevice Download PDF

Info

Publication number
KR100672173B1
KR100672173B1 KR1020050053357A KR20050053357A KR100672173B1 KR 100672173 B1 KR100672173 B1 KR 100672173B1 KR 1020050053357 A KR1020050053357 A KR 1020050053357A KR 20050053357 A KR20050053357 A KR 20050053357A KR 100672173 B1 KR100672173 B1 KR 100672173B1
Authority
KR
South Korea
Prior art keywords
hard mask
layer
photoresist pattern
forming
pattern
Prior art date
Application number
KR1020050053357A
Other languages
Korean (ko)
Other versions
KR20060133642A (en
Inventor
정우영
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020050053357A priority Critical patent/KR100672173B1/en
Publication of KR20060133642A publication Critical patent/KR20060133642A/en
Application granted granted Critical
Publication of KR100672173B1 publication Critical patent/KR100672173B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 반도체 소자의 하드 마스크 패턴 형성 방법에 관한 것으로, 하드 마스크 패턴을 형성하기 위한 별도의 하드 마스크막을 추가 형성하여, 포토 레지스트 패턴 제거 공정시 하드 마스크막의 식각 손상을 방지할 수 있는 반도체 소자의 하드 마스크 패턴 형성 방법이 개시된다.The present invention relates to a method for forming a hard mask pattern of a semiconductor device, and further comprising a separate hard mask film for forming a hard mask pattern, to prevent etching damage of the hard mask film during the photoresist pattern removal process. Disclosed is a hard mask pattern forming method.

α-카본, 포토 레지스트 패턴, 하드 마스크 패턴 α-carbon, photoresist pattern, hard mask pattern

Description

반도체 소자의 하드 마스크 패턴 형성 방법{Method of forming a hard mask pattern in semiconductordevice}Method of forming a hard mask pattern of a semiconductor device

도 1a 및 도 1b는 종래 기술의 하드 마스크 패턴 형성 방법을 설명하기 위한 소자의 단면도이다.1A and 1B are cross-sectional views of elements for explaining a hard mask pattern forming method of the prior art.

도 2a 내지 도 2h는 본 발명에 따른 반도체 소자의 하드 마스크 패턴 형성 방법을 설명하기 위한 소자의 단면도이다.2A to 2H are cross-sectional views of devices for describing a hard mask pattern forming method of a semiconductor device according to the present invention.

< 도면의 주요 부분에 대한 설명><Description of Main Parts of Drawing>

10, 100 : 반도체 기판 11, 101 : 식각 대상층10, 100: semiconductor substrate 11, 101: etching target layer

12, 102 : α-카본층 13, 103 : SiON층12, 102: α-carbon layer 13, 103: SiON layer

104 : 텅스텐 막 105 : 제 1 반사 방지막104: tungsten film 105: first anti-reflection film

106 : 제 1 포토 레지스트 패턴 107 : 제 1 마스크106: first photoresist pattern 107: first mask

108 : 제 2 반사 방지막 109 : 제 2 포토 레지스트 패턴108: second antireflection film 109: second photoresist pattern

110 : 제 2 마스크110: second mask

본 발명은 반도체 소자의 하드 마스크 패턴 형성 방법에 관한 것으로, 특히 포토 레지스트 패턴 제거 시 하드 마스크 패턴의 식각 손상을 방지하는 하드 마스크 패턴 형성 방법에 관한 것이다.The present invention relates to a method of forming a hard mask pattern of a semiconductor device, and more particularly, to a method of forming a hard mask pattern to prevent etching damage of a hard mask pattern when a photoresist pattern is removed.

최근 반도체 장치의 경박단소화 추세에 따라 배선간의 거리가 감소되고, 단차를 증가시키며, 트랜지스터나 캐패시터등과 같은 단위 소자의 크기도 감소되어 패턴의 미세화가 점차 가속되고 있다. 일반적으로 감광막 패턴 형성을 위한 노광 공정 시 사용되는 노광 마스크는 석영 기판에 크롬층이나 알루미늄 등의 광차단막을 도포한 후, 이온 빔 에칭에 의해 광차단막 패턴을 형성한다. 그러나 상기의 일반적인 노광 마스크로는 광분해능 이하의 미세 패턴의 형성이 어려운 문제점이 있다. 이러한 문제점을 해결하기 위하여 하드 마스크 패턴 형성 공정시 두개의 마스크를 이용하여 하드 마스크를 패터닝함으로써 분해능을 해결하는 방법이 사용되고 있다.In recent years, as the semiconductor devices become thinner and shorter, the distance between wirings decreases, the step height increases, and the size of unit devices such as transistors and capacitors decreases, and the pattern refinement is gradually accelerating. In general, an exposure mask used in an exposure process for forming a photoresist pattern is formed by applying a light shielding film such as a chromium layer or aluminum to a quartz substrate, and then forming the light shielding film pattern by ion beam etching. However, the above-mentioned general exposure mask has a problem that it is difficult to form a fine pattern below the optical resolution. In order to solve this problem, a method of solving resolution by patterning a hard mask using two masks in a hard mask pattern forming process is used.

도 1a 및 도 1b는 종래의 하드 마스크 형성 방법을 설명하기 위한 소자의 단면도이다.1A and 1B are cross-sectional views of elements for explaining a conventional hard mask forming method.

도 1a를 참조하면, 반도체 기판(11) 상에 식각 대상층(11), α-카본층(12), SiON층(13), 반사 방지막(14), 및 포토 레지스트 패턴(15)이 형성된다. 그 후, 포토 레지스트 패턴(15)을 이용하여 반사 방지막(14) 및 SiON층(13)의 일부분을 순차적으로 식각한다.Referring to FIG. 1A, an etching target layer 11, an α-carbon layer 12, a SiON layer 13, an antireflection film 14, and a photoresist pattern 15 are formed on the semiconductor substrate 11. Thereafter, the antireflection film 14 and a part of the SiON layer 13 are sequentially etched using the photoresist pattern 15.

도 1b를 참조하면, 포토 레즈스트 패턴과 반사 방지막을 제거할 때 노출된 α-카본층(12)이 식각 데미지를 받게 된다. 이로 인하여 후속 SiON층(13)을 이용한 식각 공정으로 α-카본층(12)을 식각 하여 하드 마스크 패턴을 형성할 때 패턴이 제대로 형성되지 않는 문제점이 발생된다.Referring to FIG. 1B, when the photoresist pattern and the anti-reflection film are removed, the α-carbon layer 12 exposed may be etched. As a result, when the α-carbon layer 12 is etched by a subsequent etching process using the SiON layer 13 to form a hard mask pattern, a problem may occur in that the pattern is not formed properly.

따라서 본발명은 식각 대상층을 식각하기 위한 하드 마스크 패턴을 형성하기 위한 별도의 하드 마스크막을 추가 형성함으로써, 포토 레지스트 패턴 제거 공정 시 α-카본층을 상층의 하드 마스크막으로 보호하여 식각 손상을 방지하는데 있다.Therefore, the present invention additionally forms a separate hard mask layer for forming a hard mask pattern for etching the etching target layer, thereby protecting the α-carbon layer with an upper hard mask layer during the photoresist pattern removal process to prevent etching damage. have.

본 발명에 따른 반도체 소자의 하드 마스크 패턴 형성 방법은 반도체 기판 상에 식각 대상층, 제 1 하드 마스크막, 제 2 하드 마스크막을 순차적으로 형성하는 단계와, 상기 제 2 하드 마스크막을 패터닝하는 단계, 및 패터닝된 상기 제 2 하드 마스크막을 이용한 식각 공정으로 상기 제 1 하드 마스크막을 패터닝하는 단계를 포함한다.A method of forming a hard mask pattern of a semiconductor device according to the present invention may include sequentially forming an etching target layer, a first hard mask layer, and a second hard mask layer on a semiconductor substrate, patterning the second hard mask layer, and patterning the pattern. Patterning the first hard mask layer by an etching process using the second hard mask layer.

본 발명에 따른 반도체 소자의 하드 마스크 패턴 형성 방법은 제 1 하드 마스크막, 제 2 하드 마스크막, 제 1 포토 레지스트 패턴이 적층된 반도체 기판이 제공되는 단계와, 상기 제 1 포토 레지스트 패턴을 이용한 식각 공정으로 상기 제 2 하드 마스크막을 1 차 패터닝 하는 단계와 ,상기 제 1 포토 레지스트 패턴을 제거 하는 단계와, 패터닝된 상기 제 2 하드 마스크막을 포함하는 반도체 기판 전체 구조 상에 제 2 포토 레지스트 패턴을 형성하는 단계와, 상기 제 2 포토 레지스트 패턴을 이용한 식각 공정으로 상기 1 차 패터닝된 제 2 하드 마스크막을 2 차 패터닝 하는 단계와, 상기 제 2 포토 레지스트 패턴을 제거하는 단계, 및 상기 2 차 패터닝된 상기 제 2 하드 마스크막을 이용한 식각 공정으로 상기 제 1 하드 마스크막을 패터닝하는 단계를 포함한다.The method for forming a hard mask pattern of a semiconductor device according to the present invention may include providing a semiconductor substrate on which a first hard mask layer, a second hard mask layer, and a first photoresist pattern are stacked, and etching using the first photoresist pattern. First patterning the second hard mask layer, removing the first photoresist pattern, and forming a second photoresist pattern on the entire semiconductor substrate structure including the patterned second hard mask layer And second patterning the first patterned second hard mask layer by an etching process using the second photoresist pattern, removing the second photoresist pattern, and the second patterned pattern. Patterning the first hard mask layer by an etching process using a second hard mask layer.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시 예를 설명하기로 한다. 그러나 본 발명은 이하에서 개시되는 실시 예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시 예는 본 발명의 개시가 완전하도록 통상의 지식을 가진자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다.Hereinafter, exemplary embodiments of the present invention will be described with reference to the accompanying drawings. As those skilled in the art would realize, the described embodiments may be modified in various different ways, all without departing from the spirit or scope of the present invention. It is provided to inform you.

도 2a 내지 도 2g는 본 발명에 따른 반도체 소자의 하드 마스크 패턴 형성 방법을 설명하기 위한 소자의 단면도이다. 도 2a 내지 도 2g를 참조하여 본 발명에 따른 반도체 소자의 하드 마스크 패턴 형성 방법을 상세히 설명하면 다음과 같다.2A to 2G are cross-sectional views of devices for describing a hard mask pattern forming method of a semiconductor device according to the present invention. A method of forming a hard mask pattern of a semiconductor device according to the present invention will be described in detail with reference to FIGS. 2A to 2G as follows.

도 2a를 참조하면, 반도체 기판(100) 상에 식각 대상층(101), α-카본층(102), SiON층(103), 텅스텐막(104), 제 1 반사 방지막(105), 및 제 1 포토 레지스트층(106)을 순차적으로 형성한다.Referring to FIG. 2A, an etch target layer 101, an α-carbon layer 102, a SiON layer 103, a tungsten film 104, a first anti-reflection film 105, and a first layer are formed on the semiconductor substrate 100. The photoresist layer 106 is formed sequentially.

도 2b를 참조하면, 제 1 마스크(107)를 이용한 노광 공정 및 현상 공정을 실시하여 제 1 포토 레지스트 패턴(106)을 형성한다. 이때 포토 레지스트 패턴(106)의 패턴 사이즈 즉, 피치(pitch)는 최종적으로 형성하려는 하드 마스크 패턴 피치 의 200%이상인 것이 바람직하다.Referring to FIG. 2B, an exposure process and a development process using the first mask 107 are performed to form the first photoresist pattern 106. In this case, the pattern size of the photoresist pattern 106, that is, the pitch, is preferably 200% or more of the hard mask pattern pitch to be finally formed.

도 2c를 참조하면, 포토 레지스트 패턴(106)을 이용한 식각 공정으로 반사 방지막(105)과 텅스텐막(104)의 일부분을 순차적으로 식각하여 패터닝한다.Referring to FIG. 2C, a portion of the anti-reflection film 105 and the tungsten film 104 are sequentially etched and patterned by an etching process using the photoresist pattern 106.

도 2d를 참조하면, 제 1 포토 레지스트 패턴과 제 1 반사 방지막을 제거한다. 이때, α-카본층(102)은 SiON층(103)으로 보호되므로 제 1 포토레지스트 패턴 스트립 공정 시 식각 데미지를 방지할 수 있다. 그 후, 패터닝된 텅스텐막(104)을 포함한 반도체 기판(100)의 전체 구조 상에 제 2 반사 방지막(108) 및 제 2 포토 레지스트층(109)을 형성한다.Referring to FIG. 2D, the first photoresist pattern and the first antireflection film are removed. In this case, since the α-carbon layer 102 is protected by the SiON layer 103, etching damage may be prevented during the first photoresist pattern strip process. Thereafter, the second antireflection film 108 and the second photoresist layer 109 are formed on the entire structure of the semiconductor substrate 100 including the patterned tungsten film 104.

도 2e를 참조하면, 제 2 마스크(110)를 이용한 노광 공정 및 현상 공정을 실시하여 제 2 포토 레지스트 패턴(109)을 형성한다. 이때 포토 레지스트 패턴(109)의 패턴 사이즈 즉, 피치(pitch)는 최종적으로 형성하려는 하드 마스크 패턴의 피치의 200% 이상인 것이 바람직하다.Referring to FIG. 2E, an exposure process and a development process using the second mask 110 are performed to form the second photoresist pattern 109. In this case, the pattern size of the photoresist pattern 109, that is, the pitch, is preferably 200% or more of the pitch of the hard mask pattern to be finally formed.

도 2f를 참조하면, 제 2 포토 레지스트 패턴(109)을 이용한 식각 공정으로 제 2 반사 방지막(108)과 패터닝된 텅스텐막의 일부분을 순차적으로 식각하여 제 1 하드 마스크막 패턴(104)을 형성한다.Referring to FIG. 2F, a first hard mask layer pattern 104 is formed by sequentially etching the second anti-reflection layer 108 and a portion of the patterned tungsten layer by an etching process using the second photoresist pattern 109.

도 2g를 참조하면, 제 2 포토 레지스트 패턴과 제 2 반사 방지막을 제거한다. 이때, α-카본층(102)은 SiON층(103)으로 보호되므로 제 1 포토레지스트 패턴 스트립 공정 시 식각 데미지를 방지할 수 있다.Referring to FIG. 2G, the second photoresist pattern and the second anti-reflection film are removed. In this case, since the α-carbon layer 102 is protected by the SiON layer 103, etching damage may be prevented during the first photoresist pattern strip process.

도 2h를 참조하면, 제 1 하드 마스크막 패턴(104)을 이용한 식각 공정으로 SiON층(103) 및 α-카본층(102)의 일부분을 순차적으로 식각하여 제 2 하드 마스크 막 패턴(102 및 103)을 형성한다.Referring to FIG. 2H, a portion of the SiON layer 103 and the α-carbon layer 102 are sequentially etched by an etching process using the first hard mask layer pattern 104 to form the second hard mask layer patterns 102 and 103. ).

본 발명에선 α-카본층을 보호 하기 위한 보호막으로 텅스텐막을 사용하였으나, 상기 텅스텐막 이외에도 SiON층과 식각 선택비를 갖는 막이면 어떤 막을 사용하여도 무관하다.In the present invention, a tungsten film is used as a protective film for protecting the α-carbon layer, but any film may be used as long as the film has an etching selectivity with the SiON layer in addition to the tungsten film.

상기에서 설명한 본 발명의 기술적 사상이 바람직한 실시예에서 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명은 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술적 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.Although the technical spirit of the present invention described above has been described in detail in a preferred embodiment, it should be noted that the above embodiment is for the purpose of description and not of limitation. In addition, the present invention will be understood by those skilled in the art that various embodiments are possible within the scope of the technical idea of the present invention.

본 발명에 따르면, 식각 대상층을 식각하기 위한 하드 마스크 패턴을 형성하기 위한 별도의 하드 마스크막을 추가 형성함으로써, 포토 레지스트 패턴 제거 공정 시 α-카본층을 상층의 하드 마스크막으로 보호하여 식각 손상을 방지할 수 있다.According to the present invention, by additionally forming a separate hard mask film for forming a hard mask pattern for etching the etching target layer, during the photoresist pattern removal process to protect the α-carbon layer with an upper hard mask film to prevent etching damage can do.

Claims (5)

반도체 기판 상에 식각 대상층, 제 1 하드 마스크막, 제 2 하드 마스크막을 순차적으로 형성하는 단계;Sequentially forming an etching target layer, a first hard mask layer, and a second hard mask layer on the semiconductor substrate; 상기 제 2 하드 마스크막을 패터닝하는 단계; 및Patterning the second hard mask layer; And 패터닝된 상기 제 2 하드 마스크막을 이용한 식각 공정으로 상기 제 1 하드 마스크막을 패터닝하는 단계를 포함하는 반도체 소자의 하드 마스크 패턴 형성 방법.And patterning the first hard mask layer by an etching process using the patterned second hard mask layer. 제 1 항에 있어서, 상기 제 2 하드 마스크막을 패터닝하는 단계는The method of claim 1, wherein the patterning of the second hard mask layer comprises: 상기 제 2 하드 마스크막 상에 반사 방지막, 포토 레지스트층을 형성하는 단계;Forming an anti-reflection film and a photoresist layer on the second hard mask film; 노광 및 현상 공정으로 포토 레지스트 패턴을 형성하는 단계;Forming a photoresist pattern in an exposure and development process; 상기 포토 레지스트 패턴을 이용한 식각 공정으로 상기 제 2 하드 마스크막을 식각 하여 패터닝하는 단계; 및Etching and patterning the second hard mask layer by an etching process using the photoresist pattern; And 상기 포토 레지스트 패턴 및 반사 방지막을 제거하는 단계를 포함하는 반도체 소자의 하드 마스크 패턴 형성 방법.And removing the photoresist pattern and the anti-reflection film. 제 1 하드 마스크막, 제 2 하드 마스크막, 제 1 포토 레지스트 패턴이 적층된 반도체 기판이 제공되는 단계;Providing a semiconductor substrate on which a first hard mask film, a second hard mask film, and a first photoresist pattern are stacked; 상기 제 1 포토 레지스트 패턴을 이용한 식각 공정으로 상기 제 2 하드 마스크막을 1 차 패터닝 하는 단계;First patterning the second hard mask layer by an etching process using the first photoresist pattern; 상기 제 1 포토 레지스트 패턴을 제거하는 단계;Removing the first photoresist pattern; 패터닝된 상기 제 2 하드 마스크막을 포함하는 반도체 기판 전체 구조 상에 제 2 포토 레지스트 패턴을 형성하는 단계;Forming a second photoresist pattern on the entire structure of the semiconductor substrate including the patterned second hard mask layer; 상기 제 2 포토 레지스트 패턴을 이용한 식각 공정으로 상기 1 차 패터닝된 제 2 하드 마스크막을 2 차 패터닝 하는 단계;Second patterning the first patterned second hard mask layer by an etching process using the second photoresist pattern; 상기 제 2 포토 레지스트 패턴을 제거하는 단계; 및Removing the second photoresist pattern; And 상기 2 차 패터닝된 상기 제 2 하드 마스크막을 이용한 식각 공정으로 상기 제 1 하드 마스크막을 패터닝하는 단계를 포함하는 반도체 소자의 하드 마스크막 패턴 형성 방법.And patterning the first hard mask layer by an etching process using the second patterned second hard mask layer. 제 1 항 또는 제 3 항에 있어서,The method according to claim 1 or 3, 상기 제 1 하드 마스크막은 SiON층과 α-카본층이 순차적으로 적층되어 이루어진 반도체 소자의 하드 마스크막 패턴 형성 방법.The hard mask film pattern forming method of a semiconductor device, wherein the first hard mask film is formed by sequentially stacking a SiON layer and an α-carbon layer. 제 1 항 또는 제 3 항에 있어서,The method according to claim 1 or 3, 상기 제 2 하드 마스크막은 텅스텐막인 반도체 소자의 하드 마스크막 패턴 형성 방법.And the second hard mask film is a tungsten film.
KR1020050053357A 2005-06-21 2005-06-21 Method of forming a hard mask pattern in semiconductordevice KR100672173B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050053357A KR100672173B1 (en) 2005-06-21 2005-06-21 Method of forming a hard mask pattern in semiconductordevice

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050053357A KR100672173B1 (en) 2005-06-21 2005-06-21 Method of forming a hard mask pattern in semiconductordevice

Publications (2)

Publication Number Publication Date
KR20060133642A KR20060133642A (en) 2006-12-27
KR100672173B1 true KR100672173B1 (en) 2007-01-19

Family

ID=37812393

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050053357A KR100672173B1 (en) 2005-06-21 2005-06-21 Method of forming a hard mask pattern in semiconductordevice

Country Status (1)

Country Link
KR (1) KR100672173B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100703985B1 (en) * 2006-02-17 2007-04-09 삼성전자주식회사 Method for fabricating semiconductor device
KR100834396B1 (en) 2006-12-27 2008-06-04 주식회사 하이닉스반도체 Method for forming a pattern in semiconductor device
KR101053990B1 (en) * 2008-08-01 2011-08-04 주식회사 하이닉스반도체 Pattern formation method of semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040043289A (en) * 2002-11-18 2004-05-24 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040043289A (en) * 2002-11-18 2004-05-24 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device

Also Published As

Publication number Publication date
KR20060133642A (en) 2006-12-27

Similar Documents

Publication Publication Date Title
US8309463B2 (en) Method for forming fine pattern in semiconductor device
KR100934836B1 (en) Micro pattern formation method of semiconductor device
US20150093902A1 (en) Self-Aligned Patterning Process
US20070202671A1 (en) Method for forming fine pattern of semiconductor device
KR100875662B1 (en) Method for forming fine pattern in semiconductor device
US8124537B2 (en) Method for etching integrated circuit structure
CN107799402A (en) The forming method of secondary figure
KR100672173B1 (en) Method of forming a hard mask pattern in semiconductordevice
KR20090047001A (en) Method for fabricating fine pattern in semicondutor device using spacer
KR100870264B1 (en) Method of forming a micro pattern in a semiconductor device
CN107403719B (en) Method for forming pattern in semiconductor device
KR100796509B1 (en) Method of manufacturing semiconductor device
JP7201044B2 (en) Charged particle beam exposure mask and manufacturing method thereof
JP3585039B2 (en) Hole forming method
KR20090103520A (en) Exposure mask and method for forming of semiconductor device using the same
KR20100042423A (en) Method for forming a pattern in the semiconductor device
US6677240B1 (en) Method for patterning dense and isolated features on semiconductor devices
KR20060015949A (en) Method for forming metal patterns
KR100827488B1 (en) Method for forming a metal line pattern of the semiconductor device
KR101096209B1 (en) Method for manufacturing the semiconductor device
JP2010287861A (en) Method of manufacturing semiconductor device
KR100989481B1 (en) A method for forming a metal line of semiconductor device
KR20120126717A (en) Method for forming the pattern in the semiconductor device
US9396966B1 (en) Patterning method and semiconductor structure
KR100657761B1 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101224

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee