JPWO2007116515A1 - Semiconductor device and manufacturing method thereof, dry etching method, wiring material manufacturing method, and etching apparatus - Google Patents

Semiconductor device and manufacturing method thereof, dry etching method, wiring material manufacturing method, and etching apparatus Download PDF

Info

Publication number
JPWO2007116515A1
JPWO2007116515A1 JP2006553383A JP2006553383A JPWO2007116515A1 JP WO2007116515 A1 JPWO2007116515 A1 JP WO2007116515A1 JP 2006553383 A JP2006553383 A JP 2006553383A JP 2006553383 A JP2006553383 A JP 2006553383A JP WO2007116515 A1 JPWO2007116515 A1 JP WO2007116515A1
Authority
JP
Japan
Prior art keywords
film
pattern
semiconductor device
etching
transferred
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006553383A
Other languages
Japanese (ja)
Inventor
林 俊雄
俊雄 林
森川 泰宏
泰宏 森川
石川 道夫
道夫 石川
雄二 古村
雄二 古村
直美 村
直美 村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Philtech Inc
Original Assignee
Philtech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philtech Inc filed Critical Philtech Inc
Publication of JPWO2007116515A1 publication Critical patent/JPWO2007116515A1/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

ArF露光技術を用いて形成した、パターン幅及び/又はパターン同士の間隔が32〜130nmであるパターンを有するレジストマスクを用い、ハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いて薄膜をドライエッチングして薄膜にパターンを転写する工程を含む半導体装置の製造。ArF露光用レジストマスクを損傷せずにエッチングできる。この転写されたパターンを有する薄膜をマスクとして下地材料をドライエッチングする。Using a resist mask formed using ArF exposure technology and having a pattern width and / or a pattern spacing of 32 to 130 nm, a halogenated carbon compound gas (provided that halogen is at least 2 of F, I and Br) A semiconductor device comprising a step of dry etching the thin film using at least one of I and Br in an atomic composition ratio of 26% or less of the total amount of halogen atoms to transfer a pattern to the thin film. Etching can be performed without damaging the resist mask for ArF exposure. The underlying material is dry-etched using the thin film having the transferred pattern as a mask.

Description

本発明は、半導体装置及びその製造方法に関し、特に、脆弱なArF露光用レジストを損傷せずにエッチングできるようにしたことによって、ラインエッジラフネス(Line Edge Roughness:LER、ストライエーションともいう)の問題を解決して130nm以下の微細パターンを高精度に形成可能とした半導体装置及びその製造方法に係る。本発明はまた、脆弱なArF露光用レジストを損傷せずにエッチングできるドライエッチング方法及びこのドライエッチング方法を利用した配線材料の作製方法にも係わる。   The present invention relates to a semiconductor device and a method for manufacturing the same, and in particular, by enabling etching without damaging a fragile ArF exposure resist, there is a problem of line edge roughness (also referred to as LER or striation). The present invention relates to a semiconductor device and a method for manufacturing the same that can form a fine pattern of 130 nm or less with high accuracy by solving the above. The present invention also relates to a dry etching method capable of etching a fragile ArF exposure resist without damaging it and a method for producing a wiring material using this dry etching method.

近年、LSIの高集積化及び高速化に伴って、半導体装置の微細化と多層化とが進んでいる。この場合のLSI製造における露光方法としては、ArF露光方法に代表されるように、波長の短いレーザ(例えば、エキシマレーザー)を用いたものが利用される。これによって、例えば、メタクリル樹脂やアクリル樹脂等からなるレジスト材にマスクパターンを転写してレジストマスクを形成し、このレジストマスクで覆われた膜をドライエッチングして、例えば、配線用のホールや溝等を微細加工する。このような微細加工においては、横と深さ方向に精密なエッチング形状を得るという高い加工精度が要求されている。そのため、異方性を高めてエッチングを行うことから、所定のエッチングガスをプラズマ雰囲気中に導入してドライエッチングを行う技術が知られている(例えば、特許文献1参照)。   In recent years, along with higher integration and higher speed of LSI, semiconductor devices have been miniaturized and multilayered. As an exposure method in LSI manufacturing in this case, as represented by an ArF exposure method, a method using a laser having a short wavelength (for example, an excimer laser) is used. Thus, for example, a mask pattern is transferred to a resist material made of methacrylic resin, acrylic resin, or the like to form a resist mask, and the film covered with the resist mask is dry-etched, for example, a wiring hole or groove And so on. In such fine processing, high processing accuracy is required to obtain precise etching shapes in the lateral and depth directions. Therefore, since etching is performed while increasing anisotropy, a technique is known in which dry etching is performed by introducing a predetermined etching gas into a plasma atmosphere (see, for example, Patent Document 1).

ところで、ArF露光方法で用いられるレジスト材として、真空紫外光の波長領域において透過性をもたせるために、ベンゼン環を持たない化合物を用いることが知られている(例えば、非特許文献1参照)。このレジスト材は、ArFレーザーを用いて露光を行うと、脆弱化すると共に、ベンゼン環を有するレジスト材(例えば、KrF露光用レジスト材)と比較してプラズマ耐性が低い。このため、プラズマ雰囲気中でドライエッチングを行うと、レジストマスクは、プラズマ中のエッチャントに曝され、プラズマ放電から放射される紫外光やイオン衝撃の影響によって、パターニングされた領域のエッジ部にエッジ荒れが生じ、パターン形状の周辺が変形するという問題が生じる。   By the way, it is known that a compound having no benzene ring is used as a resist material used in the ArF exposure method in order to provide transparency in the wavelength region of vacuum ultraviolet light (see, for example, Non-Patent Document 1). When this resist material is exposed using an ArF laser, it becomes brittle and has a low plasma resistance compared to a resist material having a benzene ring (for example, a resist material for KrF exposure). For this reason, when dry etching is performed in a plasma atmosphere, the resist mask is exposed to an etchant in the plasma, and the edge of the patterned region becomes rough due to the influence of ultraviolet light and ion bombardment emitted from the plasma discharge. This causes a problem that the periphery of the pattern shape is deformed.

図15(a)〜(c)及び(a')〜(c')は、従来の半導体装置におけるトランジスタのゲート製造方法を示す半導体装置の断面図及び上面図の概略図である。この従来のゲート製造方法によれば、図15(a)及び(a')に示すように、まず、Si基板151上にゲート酸化膜152を所定膜厚成長させ、次にゲート電極用の膜として、例えば、ポリシリコン膜153aとタングステン膜153bとの積層膜153を成膜した後、ハードマスク用の電気絶縁膜SiO膜154を公知のCVD法等で成膜(堆積)する。そして、反射防止膜155を塗布成膜してから、アクリル系樹脂をベースとしたArF露光用レジスト156(例えば、東京応化工業製TARF−P6111)等を塗布成膜し、ここに成膜されたレジスト膜156を公知のArF露光装置(例えば、ASML製TWINSCAN−XT1400)により露光して、ゲート電極用のパターンを有するレジストマスク156をゲート電極用の積層膜153上に形成する。なお、ハードマスク用の薄膜としては、CVD法によるSiN膜やSiC膜等の電気絶縁膜も一般的に用いられる。15A to 15C and 15A to 15C are schematic views of a cross-sectional view and a top view of a semiconductor device showing a method of manufacturing a gate of a transistor in a conventional semiconductor device. According to this conventional gate manufacturing method, as shown in FIGS. 15A and 15A, first, a gate oxide film 152 is grown on the Si substrate 151 to a predetermined thickness, and then a film for a gate electrode is formed. For example, after forming a laminated film 153 of a polysilicon film 153a and a tungsten film 153b, an electric insulating film SiO 2 film 154 for a hard mask is formed (deposited) by a known CVD method or the like. Then, after applying an antireflection film 155, an ArF exposure resist 156 (for example, TARF-P6111 manufactured by Tokyo Ohka Kogyo Co., Ltd.) based on an acrylic resin is applied and formed thereon. The resist film 156 is exposed by a known ArF exposure apparatus (for example, TWINSCAN-XT1400 manufactured by ASML), and a resist mask 156 having a pattern for the gate electrode is formed on the laminated film 153 for the gate electrode. In addition, as a thin film for a hard mask, an electrical insulating film such as a SiN film or a SiC film by a CVD method is generally used.

このようなパターンを有するレジストマスク156で覆われたハードマスク用の電気絶縁膜154をプラズマ雰囲気中でドライエッチングすることによって、この電気絶縁膜154にレジストマスク156のパターンを転写するとき、レジストマスク156が脆弱なために、このパターンの端部が歪んで形状が変形したり、レジストの一部が薄くなったり、時には孔が開くこともある(レジストのLER)。このようなレジストマスクの状態でエッチングを継続すると、図15(b)及び(b')に示すように、ハードマスク154にも、歪んだり、変形したり、周辺欠損したパターンの形状が転写され、いわゆるストライエーション(Striation)が発生するという問題がある。このため、このストライエーションの発生したハードマスク154bを用いて更にドライエッチングを継続してハードマスク154bからゲート電極用の積層膜153にパターンを転写すると、図15(c)及び(c')に示すように、ゲート電極用の積層膜153にもストライエーションがそのまま転写されることになる。このようなストライエーションは、ときには50nmもの大きさに達するため、高いエッチング加工精度の要求を満たすことができなくなる。 When the pattern of the resist mask 156 is transferred to the electrical insulating film 154 by dry etching the electrical insulating film 154 for hard mask covered with the resist mask 156 having such a pattern in a plasma atmosphere, the resist mask Since 156 is fragile, the end of the pattern may be distorted to change its shape, or a part of the resist may be thin, or sometimes a hole may be formed (resist LER). If the etching is continued in such a resist mask state, the shape of the pattern that is distorted, deformed, or has a peripheral defect is transferred to the hard mask 154 as shown in FIGS. 15B and 15B '. There is a problem that so-called striation occurs. For this reason, when the pattern is transferred from the hard mask 154b to the laminated film 153 for the gate electrode by further using the hard mask 154b in which the striation occurs, the patterns shown in FIGS. 15 (c) and 15 (c ′). As shown, the striation is transferred as it is to the laminated film 153 for the gate electrode. Such striations sometimes reach as large as 50 nm, so that it is impossible to satisfy the requirement for high etching accuracy.

このストライエーションと呼ばれる変形が50nmあるときには、設計値として200nmの線幅を有するパターンであれば、線パターンとして許容されても、130nm以下の線幅の設計であると、パターンの周辺から50nmの欠損があれば、残りの線幅では相対的に許容されない。微細パターンの半導体装置の製造ではこれは使えない。   When the deformation called striation is 50 nm, a pattern having a line width of 200 nm as a design value is acceptable as a line pattern, but if the design is a line width of 130 nm or less, If there is a defect, the remaining line width is relatively unacceptable. This cannot be used in the manufacture of fine pattern semiconductor devices.

上述のように、トランジスタのゲートとしては、通常、ポリシリコン又はその上にタングステンを積層した材料構造が用いられる。この場合、ゲート長Lgはトランジスタが動作するときのオンとオフとを区別するスレッショールド電圧を決める重要な製造パラメータであるので、正確に制御する必要がある。もしゲート材料のエッチングの際に、パターンエッジの変形であるストライエーションが発生すると、一本のゲートの中にゲート長Lgの分布ができてしまう。すると、Lgの長短が混合したトランジスタが並列接続されたようになるので、トランジスタのスレッショールド電圧がブロードになりシャープなオンオフ特性が得られなくなる。   As described above, a material structure in which polysilicon or tungsten is laminated thereon is usually used as the gate of the transistor. In this case, since the gate length Lg is an important manufacturing parameter that determines a threshold voltage for distinguishing between ON and OFF when the transistor operates, it is necessary to accurately control the gate length Lg. If striation, which is a deformation of the pattern edge, occurs during the etching of the gate material, a distribution of the gate length Lg is formed in one gate. As a result, transistors with mixed Lg lengths are connected in parallel, so that the threshold voltage of the transistors becomes broad and a sharp on / off characteristic cannot be obtained.

スレッショールド電圧がブロードになると、トランジスタの動作電圧に、余裕を持たせる必要が生じるので、電源電圧を高く設計することになり、消費電力が大きくなるという弊害が生じる。また、スレッショールド電圧の中心値の分散があると、動作タイミングを合わせるためにロジックサイクルを長く設計する必要がでてくるので、高速動作が望めなくなる。高い電源電圧や遅いロジックサイクルは、近年の要望である高集積で高速・低消費電力という商品設計の要請に合わない。従って、一本のゲートの中で、ゲート長Lg分布の小さい値で加工することが重要になる。   When the threshold voltage becomes broad, it is necessary to provide a margin for the operating voltage of the transistor, so that the power supply voltage is designed to be high, resulting in an adverse effect of increasing power consumption. Also, if there is a dispersion of the center value of the threshold voltage, it is necessary to design a long logic cycle in order to match the operation timing, so high speed operation cannot be expected. The high power supply voltage and the slow logic cycle do not meet the recent demand for product design for high integration, high speed and low power consumption. Therefore, it is important to process with a small value of the gate length Lg distribution in one gate.

以上の背景があるので、ゲート作製における線幅の制御は重要である。しかし、ArF露光法でレジストにパターンを転写して、それをマスクとしてゲート材料を直接エッチングしようとすると、エッチングされる材料の厚みよりマスクとしてのレジストを余裕をもって厚く設計しなければならなくなる。このように厚く設計すると、焦点深度DOF(Depth of Focus)はレジスト厚みより小さいので、焦点の合わない部分がレジストの深さ方向に存在することになり、正確なパターンの転写が望めないという問題が起きる。この問題を避ける方法として、従来から、薄いレジストをマスクにしてエッチング耐性の高いハードマスクにレジストパターンを転写する方法が採用されていた。しかるに、レジストが薄くなると、ハードマスクをエッチングするときにストライエーションが生じ、このストライエーションがハードマスクに転写されて、ゲート長Lgの分布が生じてしまうという問題もある。   Because of the above background, control of the line width in gate fabrication is important. However, when the pattern is transferred to the resist by ArF exposure and the gate material is directly etched using the pattern as a mask, the resist as the mask must be designed to be thicker than the thickness of the material to be etched. In this thick design, the depth of focus DOF (Depth of Focus) is smaller than the resist thickness, so that there is a part that is out of focus in the depth direction of the resist, so that accurate pattern transfer cannot be expected. Happens. As a method of avoiding this problem, conventionally, a method of transferring a resist pattern to a hard mask having high etching resistance using a thin resist as a mask has been employed. However, if the resist is thin, striations occur when the hard mask is etched, and the striations are transferred to the hard mask, resulting in a distribution of the gate length Lg.

また一方で、従来のArF露光技術及びエッチング技術に従って、例えばシングルダマシン法を用いてCu配線を作製する場合、図16(a)に示すように、トランジスタ作製領域161上にCVD法によりSiO膜162aを堆積させ、次に、エッチングストッパ層としてSiN膜162bを堆積させた後にSiO膜162cを堆積させ、CMPストッパー層として再びSiN膜162dを堆積させることによって、層間絶縁膜162を成膜する。次に、この層間絶縁膜162上に、上述のゲート製造方法と同様に、公知のArF露光技術を用いて配線パターンを有するArFレジストマスク(図示せず)を形成する。そして、このArF露光用レジストマスクで覆われた層間絶縁膜162をプラズマ雰囲気中でドライエッチングしてこの層間絶縁膜162に配線パターンを転写することによって、金属配線材料を埋め込むための溝やホール(孔)パターンを層間絶縁膜162に形成する。ここに形成された溝やホールに、バリアメタルとしてTaN163等を公知のスパッタ法により成膜した後にCuめっき法によりCu膜を成膜して金属配線材料を埋め込む。最後に、やはり公知のCMP法を適用することによって、Cu配線164を完成する。On the other hand, in the case where Cu wiring is formed by using, for example, a single damascene method according to the conventional ArF exposure technique and etching technique, as shown in FIG. 16A, an SiO 2 film is formed on the transistor preparation region 161 by a CVD method. Next, an SiN film 162b is deposited as an etching stopper layer, an SiO 2 film 162c is deposited, and an SiN film 162d is deposited again as a CMP stopper layer, thereby forming an interlayer insulating film 162. . Next, an ArF resist mask (not shown) having a wiring pattern is formed on the interlayer insulating film 162 using a known ArF exposure technique in the same manner as the above-described gate manufacturing method. Then, the interlayer insulating film 162 covered with the resist mask for ArF exposure is dry-etched in a plasma atmosphere, and the wiring pattern is transferred to the interlayer insulating film 162, whereby grooves or holes for embedding the metal wiring material ( A hole) pattern is formed in the interlayer insulating film 162. In the groove and hole formed here, TaN163 or the like is formed as a barrier metal by a known sputtering method, and then a Cu film is formed by a Cu plating method to embed a metal wiring material. Finally, the Cu wiring 164 is completed by applying a known CMP method.

このように従来のパターン転写方法を適用してCu配線164を形成した場合、図16(b)に示すように、ホールや溝等にストライエーションが発生してしまう。このため、図16(c)に示すように、層間絶縁膜を構成するSiO膜162cのホールや溝パターンのエッジには深いくびれ部分165が生じ、そこにはバリアメタル163が充分に入り込めないのでバリア性能が不十分となり、配線材料としてのCu164が薄膜中に侵入拡散して近接配線同士が短絡するという問題が発生する。この短絡の程度が軽いときには電流漏洩の原因になるし、経時変化があると製品の市場不良の原因にもなる。ここで、製品の市場不良とは、半導体装置搭載の製品が、市場で流通している期間に不良を発生することをいう。In this way, when the Cu wiring 164 is formed by applying the conventional pattern transfer method, striations occur in holes, grooves, etc., as shown in FIG. For this reason, as shown in FIG. 16 (c), a deep constricted portion 165 is formed at the edge of the hole or groove pattern of the SiO 2 film 162c constituting the interlayer insulating film, and the barrier metal 163 sufficiently enters there. As a result, there is a problem that the barrier performance becomes insufficient, and Cu 164 as a wiring material penetrates and diffuses into the thin film and short-circuits between adjacent wirings. When the degree of this short circuit is light, it causes current leakage, and when there is a change over time, it also causes product market failure. Here, the market defect of a product means that a product with a semiconductor device is defective during a period in which the product is distributed in the market.

半導体の配線は一部でも細いところがあると、その部分で断線を起こしやすい。その細さが一定値を下回らないようにするには、設計段階で配線を太くする。しかし、その分、半導体装置のチップ面積が大きくなり、一枚のウエハから取れる設計チップ数が減るのでコストを押し上げることになる。そのため、仕上がりの線幅がばらつきのないものを作製することが必要になる。   If even a part of the semiconductor wiring is thin, disconnection is likely to occur at that part. To prevent the fineness from falling below a certain value, the wiring is thickened at the design stage. However, the chip area of the semiconductor device is increased correspondingly, and the number of design chips that can be taken from one wafer is reduced, which increases the cost. For this reason, it is necessary to produce a product whose finished line width does not vary.

レジストマスクを用いて層間絶縁膜(電気絶縁膜)をドライエッチングすると、まずレジストが変形し、この変形したレジストマスクで層間絶縁膜がエッチングされるので、レジストマスクの変形が膜パターンの変形として転写される (この変形がストライエーションである)。半導体装置の配線は、このストライエーションの発生した溝中にバリアメタル膜とCu膜を埋め込むことで形成されるので、溝内のストライエーションは配線のストライエーションとして転写される。半導体装置の配線の層数は、通常のシステムLSIやメモリーデバイス等では10層を超えるものもあるので、歩留まり低下の原因になるストライエーションを低減することは、製造コスト低減のために重要である。   When an interlayer insulating film (electrical insulating film) is dry-etched using a resist mask, the resist is first deformed, and the interlayer insulating film is etched with the deformed resist mask, so that the resist mask deformation is transferred as a film pattern deformation. (This transformation is a striation). Since the wiring of the semiconductor device is formed by embedding a barrier metal film and a Cu film in the groove in which the striation occurs, the striation in the groove is transferred as a wiring striation. Since the number of wiring layers of a semiconductor device exceeds 10 layers in a normal system LSI or memory device, it is important to reduce the striation that causes a decrease in yield, in order to reduce the manufacturing cost. .

200nm以上の線幅や線同士の間隔を有するパターンの転写であれば、KrF露光用のベンゼン環を有するレジストをマスクとして用いることによって、ストライエーションの発生を抑制可能ではある。KrF露光に際して用いるレジストは、ドライエッチの際にチャンバー内で発生させるプラズマによる紫外線照射や、エッチングガスのCが分解して発生するフッ素ラジカルに対する耐性が高い。このため、レジストの不規則な変形であるストライエーションは比較的に小さく、また、設計線幅がストライエーションに比べて大きいので、問題にはならない。しかし、130nm以下、特に100nm以下の半導体世代になると、ArFレーザーを用いた露光技術を使うことから、このときのレジストは、その化学構造が紫外線照射やフッ素ラジカルに敏感な構造となるため、ストライエーションがKrF露光のレジスト(ベンゼン環を含む化合物)よりも大きくなってしまう。よって、線幅に対するストライエーションの割合が大きくなって、半導体装置の製造歩留まりを低下させるという問題が発生している。In the case of transfer of a pattern having a line width of 200 nm or more and an interval between lines, occurrence of striation can be suppressed by using a resist having a benzene ring for KrF exposure as a mask. The resist used for KrF exposure is highly resistant to ultraviolet irradiation by plasma generated in the chamber during dry etching and fluorine radicals generated by decomposition of C 3 F 8 as an etching gas. For this reason, the striation, which is an irregular deformation of the resist, is relatively small, and the design line width is larger than that of the striation, so that there is no problem. However, in the semiconductor generation of 130 nm or less, especially 100 nm or less, since the exposure technique using ArF laser is used, the resist structure at this time becomes a structure sensitive to ultraviolet irradiation and fluorine radicals. The alation becomes larger than the resist (compound containing a benzene ring) subjected to KrF exposure. Therefore, the ratio of the striation to the line width is increased, causing a problem that the manufacturing yield of the semiconductor device is reduced.

上述のストライエーション問題を解決するために、フロロカーボンガスを含有する混合ガスを低圧のプラズマ雰囲気中に導入して、ArF露光方法で形成したレジストをマスクとして膜(層間絶縁膜)をドライエッチングする技術が従来提案されている(例えば、特許文献2参照)。しかしながら、低圧でドライエッチングすることにより、ストライエーションの発生が抑制できてもエッチング速度が低下するので経済的実用性に乏しい。   In order to solve the above-mentioned striation problem, a technique for dry etching a film (interlayer insulating film) using a resist formed by an ArF exposure method as a mask by introducing a mixed gas containing a fluorocarbon gas into a low-pressure plasma atmosphere Has been proposed (see, for example, Patent Document 2). However, by performing dry etching at a low pressure, even if the occurrence of striation can be suppressed, the etching rate is lowered, so that the economical practicality is poor.

本出願人は、上記ストライエーションの発生を抑制して高いエッチング加工精度が得られる層間絶縁膜のドライエッチング方法として、ArF露光(フォトリソグラフィ)法を用いて形成したレジストマスクで覆われた層間絶縁膜を、エッチングガスとして、ハロゲン系ガス(ハロゲンは、F、I、Br)であって、I及びBrの少なくとも一方が、原子組成比でハロゲンの総量の26%以下で、残りがFであるフッ化炭素化合物ガスを導入しつつ、プラズマ雰囲気中でドライエッチングしてホールや溝を微細加工する層間絶縁膜のドライエッチング方法についてすでに提案している(特願2004−294882)。しかし、この先願には、このエッチング方法が、パターン寸法が130nm以下を必要とする半導体装置の製造に対して有用であることは記載されていない。
特開平11−31678号公報(特許請求の範囲等) 特願2004−56962号公報(例えば、特許請求の範囲等) Koji Nozaki and Ei Yano, FUJITSU Sei.Tech.J., 38,1 P3-12(June 2002)
The present applicant, as a dry etching method of an interlayer insulating film that can achieve high etching processing accuracy by suppressing the occurrence of the striations, interlayer insulation covered with a resist mask formed using ArF exposure (photolithography) method The film is an etching gas and is a halogen-based gas (halogen is F, I, Br), and at least one of I and Br is an atomic composition ratio of 26% or less of the total amount of halogen, and the rest is F A dry etching method for an interlayer insulating film in which a hole or a groove is finely processed by dry etching in a plasma atmosphere while introducing a fluorocarbon compound gas has already been proposed (Japanese Patent Application No. 2004-294882). However, this prior application does not describe that this etching method is useful for manufacturing a semiconductor device that requires a pattern dimension of 130 nm or less.
Japanese Patent Laid-Open No. 11-31678 (Claims etc.) Japanese Patent Application No. 2004-56962 (for example, claims) Koji Nozaki and Ei Yano, FUJITSU Sei.Tech.J., 38,1 P3-12 (June 2002)

上述のように、従来の半導体装置においては、130nm以下、特に100nm以下の微細パターンを含む半導体装置を製造する方法として、ArF露光技術を使用しなければならないため、ゲート長、配線幅又はコンタクトホール径等のパターン寸法に対するストライエーションの割合が増大したことから、半導体装置の製造歩留まりが低下するという問題があった。 As described above, in the conventional semiconductor device, since the ArF exposure technique must be used as a method for manufacturing a semiconductor device including a fine pattern of 130 nm or less, particularly 100 nm or less, the gate length, the wiring width, or the contact hole Since the ratio of striation to the pattern dimension such as the diameter has increased, there has been a problem that the manufacturing yield of the semiconductor device is reduced.

本発明は、上記従来技術の問題を解決するために、ArF露光技術を用いて形成された130nm以下の微細パターンであっても、脆弱なArF露光用レジストマスクを損傷せずにエッチングできるようにすることによって、ストライエーションの発生を抑制して製造歩留まりを向上させることができるようにした半導体装置及びその製造方法の提供を目的とする。 In order to solve the above-mentioned problems of the prior art, the present invention is capable of etching a fragile ArF exposure resist mask without damaging even a fine pattern of 130 nm or less formed by using an ArF exposure technique. Accordingly, an object of the present invention is to provide a semiconductor device and a method for manufacturing the semiconductor device which can suppress the occurrence of striations and improve the manufacturing yield.

本発明の課題はまた、脆弱なArF露光用レジストを損傷せずにエッチングできるドライエッチング方法及びこのドライエッチング方法を利用した配線材料の作製方法の提供にある。   Another object of the present invention is to provide a dry etching method capable of etching without damaging a fragile ArF exposure resist and a method for producing a wiring material using the dry etching method.

上記課題を解決するために、本発明に係る半導体装置は、ArF露光技術を用いて形成されたパターンを有するレジストマスクで覆われた薄膜をプラズマ雰囲気中でドライエッチングして得られた当該パターンが転写された薄膜を備えた半導体装置において、この薄膜は、パターン幅及びパターンとパターンとの間隔の両方又はいずれか一方が32〜130nmであるパターンを有するレジストマスクを用い、エッチングガスとしてハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いたエッチングにより上記レジストマスクから転写されたパターンを有することを特徴とする。 In order to solve the above problems, a semiconductor device according to the present invention has a pattern obtained by dry-etching a thin film covered with a resist mask having a pattern formed using ArF exposure technology in a plasma atmosphere. In a semiconductor device including a transferred thin film, this thin film uses a resist mask having a pattern whose pattern width and / or the distance between the patterns is 32 to 130 nm, and carbon halide as an etching gas. From the resist mask by etching using a compound gas (provided that halogen is at least two of F, I and Br, and at least one of I and Br is an atomic composition ratio of 26% or less of the total amount of halogen atoms). It has a transferred pattern.

本発明に係る他の半導体装置は、ArF露光技術を用いて形成されたパターンを有するレジストマスクで覆われた薄膜がプラズマ雰囲気中でドライエッチングされてハードマスクとなされ、更にエッチングにより当該ハードマスクから上記パターンが転写された部分を備えた半導体装置において、上記パターンが転写された部分は、パターン幅及びパターンとパターンとの間隔の両方又はいずれか一方が32〜130nmであるパターンを有するレジストマスクを用い、エッチングガスとしてハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いたエッチングにより上記レジストマスクから上記ハードマスクに転写され、当該ハードマスクからさらに転写されたパターンを有することを特徴とする。   In another semiconductor device according to the present invention, a thin film covered with a resist mask having a pattern formed by using ArF exposure technology is dry-etched in a plasma atmosphere to form a hard mask, and further etched to remove the hard mask. In a semiconductor device including a portion to which the pattern is transferred, the portion to which the pattern is transferred is a resist mask having a pattern whose pattern width and / or the interval between the patterns is 32 to 130 nm. A halogenated carbon compound gas (provided that halogen is at least two of F, I and Br, and at least one of I and Br is an atomic composition ratio of 26% or less of the total amount of halogen atoms). Transfer from the resist mask to the hard mask by etching used Is characterized by having a further pattern transferred from the hard mask.

また、本発明に係る半導体装置の製造方法は、ArF露光技術を用いて形成されたパターンを有するレジストマスクで覆われた薄膜をプラズマ雰囲気中でドライエッチングして当該薄膜に上記パターンを転写する工程を備えた半導体装置の製造方法において、パターン幅及びパターンとパターンとの間隔の両方又はそのいずれか一方が32〜130nmであるパターンを有するレジストマスクで覆われた薄膜を、エッチングガスとしてハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いてエッチングすることを特徴とする。   The method for manufacturing a semiconductor device according to the present invention includes a step of dry etching a thin film covered with a resist mask having a pattern formed using ArF exposure technology in a plasma atmosphere to transfer the pattern to the thin film. A thin film covered with a resist mask having a pattern whose pattern width and / or pattern spacing is 32 to 130 nm is used as an etching gas. Etching using a compound gas (provided that halogen is at least two of F, I and Br, and at least one of I and Br is an atomic composition ratio of 26% or less of the total amount of halogen atoms) To do.

本発明によれば、パターン幅及び/又はパターンとパターンとの間隔が32〜130nmであるレジストパターンがマスクとなされ、また、エッチングガスとして、安定な化合物でありかつそれ自体Si等に対するエッチャントとしての機能を有するI及びBrの少なくとも1種を含有するフッ化炭素化合物ガスが適用されるので、パターン寸法が130nm以下の微細パターンのエッチングに際し、パターン寸法に対して大きな割合となるストライエーションの発生が抑制されると共に、エッチング時の圧力を低下させることに頼らずに、プラズマ雰囲気中のF原子数の密度を減少させるため、レジストマスクへのダメージが軽減される。よって、レジストに損傷(変形や欠損)を与えずに薄膜をプラズマエッチングしてパターン転写することが可能となるため、130nm以下の微細パターンであってもストライエーションという課題を克服しながらホール又は溝等のパターンを形成することができるようになる。従って、精密な薄膜加工が可能になる。   According to the present invention, a resist pattern having a pattern width and / or a pattern-to-pattern spacing of 32 to 130 nm is used as a mask, and it is a stable compound as an etching gas and itself as an etchant for Si or the like. Since a fluorocarbon compound gas containing at least one of I and Br having a function is applied, when etching a fine pattern having a pattern dimension of 130 nm or less, occurrence of striation that becomes a large ratio to the pattern dimension occurs. In addition to being suppressed and reducing the density of the number of F atoms in the plasma atmosphere without relying on reducing the pressure during etching, damage to the resist mask is reduced. Therefore, since it is possible to transfer the pattern by plasma etching the thin film without damaging (deformation or defect) the resist, holes or grooves can be overcome while overcoming the problem of striation even with a fine pattern of 130 nm or less. It is possible to form a pattern such as. Therefore, precise thin film processing becomes possible.

また、レジストマスクから薄膜に転写されたパターンをハードマスクとして、その下地材料を精密にエッチングすることも可能となるから、このハードマスクを介してレジストパターンを下地材料に高精度に転写することができるようになる。   It is also possible to precisely etch the underlying material using the pattern transferred from the resist mask to the thin film as a hard mask, so it is possible to transfer the resist pattern to the underlying material with high accuracy via this hard mask. become able to.

上記薄膜としては、電気絶縁膜を適用可能であり、また、この電気絶縁膜が層間絶縁膜である場合には、転写された上記パターンにダマシン法により更に金属配線材料を埋め込むこともできる。   As the thin film, an electric insulating film can be applied. When the electric insulating film is an interlayer insulating film, a metal wiring material can be further embedded in the transferred pattern by a damascene method.

また、この電気絶縁膜はC又はNを含む材料からなり、その比誘電率が、1.5以上、3.7以下の範囲であることが望ましい。 The electrical insulating film is preferably made of a material containing C or N, and the relative dielectric constant thereof is desirably in the range of 1.5 or more and 3.7 or less.

なお、上記パターンが転写された薄膜をマスクにして当該薄膜の下地材料をエッチングすることも可能であって、この下地材料をゲート電極用の膜又はSi基板とすることができる。   It is also possible to etch the underlying material of the thin film using the thin film to which the pattern has been transferred as a mask, and this underlying material can be used as a gate electrode film or a Si substrate.

上記ゲート電極用の膜として、W、Ti、Ta、Co若しくはNiを含む導電膜又はポリシリコン膜又は当該導電膜とポリシリコン膜との積層膜を適用することができる。   As the gate electrode film, a conductive film containing W, Ti, Ta, Co, or Ni, a polysilicon film, or a stacked film of the conductive film and the polysilicon film can be used.

また、本発明は、DRAM及びフラッシュメモリーから選ばれたメモリー、ロジックデバイス、システムLSI、又はこれらを一部に含む半導体装置及びその製造方法として好適である。   Further, the present invention is suitable as a memory selected from DRAM and flash memory, a logic device, a system LSI, or a semiconductor device partially including these, and a manufacturing method thereof.

本発明のドライエッチング方法は、ArF露光技術を用いて形成されたパターンであって、パターン幅及びパターンとパターンとの間隔の両方又はそのいずれか一方が32〜130nmであるパターンを有するレジストマスクで覆われた薄膜を、エッチングガスとしてハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いてプラズマ雰囲気中でドライエッチングし、上記パターンを上記薄膜に転写することを特徴とする。   The dry etching method of the present invention is a resist mask having a pattern formed by using an ArF exposure technique and having a pattern width and / or a distance between patterns of 32 to 130 nm. The covered thin film is used as an etching gas with a halogenated carbon compound gas (provided that halogen is at least two of F, I and Br, and at least one of I and Br is an atomic composition ratio of 26% or less of the total amount of halogen atoms) The pattern is transferred to the thin film by dry etching in a plasma atmosphere.

また、上記ドライエッチング方法において、レジストマスクから薄膜に転写されたパターンをハードマスクとして、その下地材料を精密にエッチングすることも可能となるから、このハードマスクを介してレジストパターンを下地材料に高精度に転写することができるようになる。上記薄膜としては、電気絶縁膜を適用可能であり、また、この電気絶縁膜が層間絶縁膜である場合には、転写された上記パターンにダマシン法により更に金属配線材料を埋め込むこともできる。また、この電気絶縁膜はC又はNを含む材料からなり、その比誘電率が、1.5以上、3.7以下の範囲であることが望ましい。   In the dry etching method described above, it is possible to precisely etch the underlying material using the pattern transferred from the resist mask to the thin film as a hard mask. It becomes possible to transfer accurately. As the thin film, an electric insulating film can be applied. When the electric insulating film is an interlayer insulating film, a metal wiring material can be further embedded in the transferred pattern by a damascene method. The electrical insulating film is preferably made of a material containing C or N, and the relative dielectric constant thereof is desirably in the range of 1.5 or more and 3.7 or less.

なお、上記パターンが転写された薄膜をマスクにして当該薄膜の下地材料をエッチングすることも可能であって、この下地材料をゲート電極用の膜又はSi基板とすることができる。上記ゲート電極用の膜として、W、Ti、Ta、Co若しくはNiを含む導電膜又はポリシリコン膜又は当該導電膜とポリシリコン膜との積層膜を適用することができる。   It is also possible to etch the underlying material of the thin film using the thin film to which the pattern has been transferred as a mask, and this underlying material can be used as a gate electrode film or a Si substrate. As the gate electrode film, a conductive film containing W, Ti, Ta, Co, or Ni, a polysilicon film, or a stacked film of the conductive film and the polysilicon film can be used.

本発明によれば、パターン寸法が130nm以下の微細パターンをエッチングして半導体装置を製造する場合に、レジストマスクに損傷(変形や欠損)を与えずに薄膜のプラズマエッチングが可能となるため、130nm以下の微細パターンであっても精密な薄膜加 工が可能になる。よって、ストライエーションという課題を克服しながら絶縁膜にホールや溝を形成することができるので、当該絶縁膜パターンをマスクにして、その下地材料を精密にエッチングすることでレジストパターンを下地材料に精密に転写することができる。このため、ストライエーションのないホールや溝等を形成できるので、精密な寸法の配線やトランジスタのゲート等を備えた半導体装置を製造することができる。従って、130nm以下のパターンであっても、パターン周辺の変形等の損傷を50nm以下に抑制することができるから、設計値通りに機能する半導体装置を歩留まりよく提供できるようになる。   According to the present invention, when a semiconductor device is manufactured by etching a fine pattern having a pattern dimension of 130 nm or less, plasma etching of a thin film can be performed without damaging (deformation or defect) the resist mask. Even the following fine patterns enable precise thin film processing. Therefore, holes and grooves can be formed in the insulating film while overcoming the problem of striations, and the resist pattern can be precisely used as the underlying material by precisely etching the underlying material using the insulating film pattern as a mask. Can be transferred to. For this reason, since a hole, a groove, or the like without striation can be formed, it is possible to manufacture a semiconductor device including wiring with a precise dimension, a gate of a transistor, and the like. Therefore, even with a pattern of 130 nm or less, damage such as deformation around the pattern can be suppressed to 50 nm or less, so that a semiconductor device that functions as designed can be provided with high yield.

また、本発明で用いるエッチングガスの効果はパターン寸法に依存しないので、90nm世代、65nm世代、45nm世代の半導体装置の製造にも有効である。   In addition, since the effect of the etching gas used in the present invention does not depend on the pattern size, it is also effective in the manufacture of 90 nm generation, 65 nm generation, and 45 nm generation semiconductor devices.

本発明に係る半導体装置及びその製造方法、並びに薄膜のドライエッチング方法及びこのドライエッチング方法を用いた配線材料の作製方法を実施するための最良の形態について、添付図面を参照して説明する。   The best mode for carrying out a semiconductor device and a manufacturing method thereof, a thin film dry etching method and a wiring material manufacturing method using the dry etching method according to the present invention will be described with reference to the accompanying drawings.

図1は、本発明に係る半導体装置の一例を示す模式的断面図である。本実施形態の半導体装置aでは、シリコン結晶1表面の一部がゲート酸化膜2で覆われると共に、シリコン結晶1中には、素子分離(STI:Shallow
Trench Isolation)構造3、深いソースとドレイン4、及び浅いソースとドレイン5が配される。また、ゲート酸化膜2上には、ポリシリコン膜11aとタングステン(W)膜11bとの積層膜からなるゲート電極11が配される。これらのソースドレインと電気的に接続するタングステン配線12が、バリアメタル膜(TiN膜)10と銅(Cu)13とからなる上層配線に接続されるとともに、これらのタングステン配線12を相互に電気的に絶縁するBPSG膜7が下層SiO膜6と上層SiN膜8とに挟まれる。同様に、このSiN膜8上には、バリアメタル10とCu13とからなる上層配線を相互に絶縁するためのTEOS−SiO膜9が成膜されて構成される。
FIG. 1 is a schematic cross-sectional view showing an example of a semiconductor device according to the present invention. In the semiconductor device a of the present embodiment, a part of the surface of the silicon crystal 1 is covered with the gate oxide film 2, and element isolation (STI: Shallow) is included in the silicon crystal 1.
Trench Isolation) structure 3, deep source and drain 4, and shallow source and drain 5 are arranged. On the gate oxide film 2, a gate electrode 11 made of a laminated film of a polysilicon film 11a and a tungsten (W) film 11b is disposed. Tungsten wiring 12 electrically connected to these source and drain is connected to an upper layer wiring made of a barrier metal film (TiN film) 10 and copper (Cu) 13, and these tungsten wirings 12 are electrically connected to each other. A BPSG film 7 that insulates between the lower SiO 2 film 6 and the upper SiN film 8. Similarly, a TEOS-SiO 2 film 9 is formed on the SiN film 8 to insulate the upper wiring made of the barrier metal 10 and Cu 13 from each other.

本発明では、絶縁膜をエッチングするガスとして、安定な化合物を形成すると共にそれ自体Siに対するエッチャントとしての機能を有するI及びBrの少なくとも一方を含有するフッ化炭素化合物ガスを用いる。このフッ化炭素化合物ガスとしては、ヨウ素化フッ化炭素化合物ガス及び臭素化フッ化炭素化合物ガスのいずれか一方、又はこれらの混合ガスである。   In the present invention, a fluorocarbon compound gas containing at least one of I and Br, which forms a stable compound and itself functions as an etchant for Si, is used as a gas for etching the insulating film. The fluorinated carbon compound gas is one of iodinated fluorinated carbon compound gas and brominated fluorinated carbon compound gas, or a mixed gas thereof.

このようなエッチングガスにより損傷することなくドライエッチングされてレジストマスクから転写されたパターンを有する薄膜を備えるので、本実施形態に係る半導体装置aでは、STI3、ゲート電極11、W配線12及びCu配線13等のパターン構造にストライエーションがない。よって、ゲート長Lgの分布が小さくシャープなオンオフ特性を有するトランジスタと、配線間リークが低減された配線とを備えることが可能となる。また、ストライエーションに起因したCu拡散等の経時変化に基づく不良発生率も少ない。   Since the semiconductor device a according to this embodiment includes a thin film having a pattern that is dry-etched without being damaged by the etching gas and transferred from the resist mask, the STI 3, the gate electrode 11, the W wiring 12, and the Cu wiring are provided. There is no striation in the pattern structure such as 13. Therefore, it is possible to include a transistor having a small distribution of the gate length Lg and a sharp on / off characteristic, and a wiring with reduced wiring leakage. In addition, the occurrence rate of defects based on changes over time such as Cu diffusion caused by striation is small.

ここで、図2を参照し、本発明で用いるエッチング装置について説明する。このエッチング装置21は、磁場ゼロを含む領域に発生させた放電プラズマ(NLDプラズマ)を用いるものであり、ドライポンプ又はロータリーポンプやターボ分子ポンプ等の真空排気手段22を設けた真空チャンバー23を有する。   Here, the etching apparatus used in the present invention will be described with reference to FIG. The etching apparatus 21 uses discharge plasma (NLD plasma) generated in a region including a magnetic field of zero, and has a vacuum chamber 23 provided with a vacuum pumping means 22 such as a dry pump, a rotary pump, or a turbo molecular pump. .

チャンバー23は、石英のような誘電体製の円筒状側壁23cを有する上部のプラズマ発生室23aと下部の基板処理室23bとから構成されている。円筒状側壁23cの外側には、三つの磁場コイル24a、24b及び24cが所定の間隔を置いて設けられ、磁場発生手段を構成する。三つの磁場コイル24a、24b及び24cは、その外側を上下から囲むように高透磁率材料製のヨーク部材25に取付けられている。この場合、上側及び下側の各磁場コイル24a及び24cには、同方向の電流を流し、中間のコイル24bには逆向きの電流を流すようにしている。これにより、中間のコイル24bのレベル付近に円筒状側壁23cの内側に連続した磁場ゼロの位置ができ、環状磁気中性線が形成される。   The chamber 23 includes an upper plasma generation chamber 23a having a cylindrical side wall 23c made of a dielectric material such as quartz and a lower substrate processing chamber 23b. Three magnetic field coils 24a, 24b and 24c are provided at predetermined intervals on the outside of the cylindrical side wall 23c to constitute a magnetic field generating means. The three magnetic field coils 24a, 24b, and 24c are attached to a yoke member 25 made of a high magnetic permeability material so as to surround the outside from above and below. In this case, a current in the same direction is supplied to the upper and lower magnetic field coils 24a and 24c, and a reverse current is supplied to the intermediate coil 24b. As a result, a position of zero magnetic field continuous inside the cylindrical side wall 23c is formed near the level of the intermediate coil 24b, and an annular magnetic neutral line is formed.

環状磁気中性線の大きさは、上側及び下側の各コイル24a及び24cに流す電流と中間のコイル24bに流す電流との比を変えることで適宜設定でき、環状磁気中性線の上下方向の位置は、上側及び下側の各磁場コイル24a及び24cに流す電流の比によって適宜設定できる。また、中間のコイル24bに流す電流を増していくと、環状磁気中性線の径は小さくなり、同時に磁場ゼロの位置での磁場の勾配も緩やかになってゆく。中間のコイル24bと円筒状側壁23cとの間には、高周波電場発生用のアンテナ26aが設けられ、このアンテナは高周波電源26bに接続され、磁場発生手段を構成する。そして、三つの磁場コイル24a、24b及び24cによって形成された環状磁気中性線に沿ってNLDプラズマを発生させる。   The size of the annular magnetic neutral line can be set as appropriate by changing the ratio of the current flowing through the upper and lower coils 24a and 24c and the current flowing through the intermediate coil 24b. The position of can be appropriately set by the ratio of the currents flowing through the upper and lower magnetic field coils 24a and 24c. Further, as the current flowing through the intermediate coil 24b is increased, the diameter of the annular magnetic neutral wire is reduced, and at the same time, the gradient of the magnetic field at the position where the magnetic field is zero becomes gentler. An antenna 26a for generating a high-frequency electric field is provided between the intermediate coil 24b and the cylindrical side wall 23c, and this antenna is connected to a high-frequency power source 26b to constitute a magnetic field generating means. Then, NLD plasma is generated along the annular magnetic neutral line formed by the three magnetic field coils 24a, 24b and 24c.

基板処理室23b内には、環状磁気中性線の作る面と対向させて処理基板Sが載置される基板載置部である断面円形の基板電極27が絶縁体28を介して設けられている。この基板電極27は、コンデンサー29aを介して第2高周波電源29bに接続され、電位的に浮遊電極となって負のバイアス電位となる。   In the substrate processing chamber 23b, a substrate electrode 27 having a circular cross section, which is a substrate mounting portion on which the processing substrate S is mounted, is provided via an insulator 28 so as to face the surface formed by the annular magnetic neutral line. Yes. The substrate electrode 27 is connected to the second high-frequency power source 29b via the capacitor 29a, and becomes a floating electrode in terms of potential and has a negative bias potential.

また、プラズマ発生室23aの上方の天板23dは、円筒状側壁23cの上部に密封固着され、電位的に浮遊状態とし対向電極を形成する。この天板の内面には、チャンバー23内にエッチングガスを導入するガス導入手段30が設けられ、このガス導入手段30は、ガス流量制御手段(図示せず)を介してガス源に接続されている。このような構成のエッチング装置21において、Arとエッチングガス(例えば、CIガス)とを導入して薄膜をエッチングすることによって、ストライエーションのないパターン形成が可能となる。The top plate 23d above the plasma generation chamber 23a is hermetically fixed to the upper part of the cylindrical side wall 23c, and is in a floating state in terms of potential to form a counter electrode. A gas introduction means 30 for introducing an etching gas into the chamber 23 is provided on the inner surface of the top plate. The gas introduction means 30 is connected to a gas source via a gas flow rate control means (not shown). Yes. In the etching apparatus 21 having such a configuration, a pattern without striation can be formed by introducing Ar and an etching gas (for example, C 3 F 7 I gas) to etch the thin film.

次に、本発明に係る半導体装置の製造方法の適用例として、トランジスタ作製工程からシングルダマシンCu配線形成工程までを含む半導体製造工程のモデル工程を、図3乃至10を参照して以下に説明する。各工程間には洗浄や測定等の工程があるが、その説明は本発明とは直接関係がないので除外する。   Next, as an application example of the method for manufacturing a semiconductor device according to the present invention, a model process of a semiconductor manufacturing process including a transistor manufacturing process to a single damascene Cu wiring forming process will be described below with reference to FIGS. . There are processes such as washing and measurement between each process, but the explanation is omitted because it is not directly related to the present invention.

まず、図3(a)に示すように、シリコンウエハ31を準備し、公知の酸化炉を用いて約900℃で酸化膜を約10nm成長させ、図3(b)に示すように、SiO膜32を形成する。次に図3(c)のように、公知のLP−SiN炉を用いて約800℃でSiN膜33を90nm程度成膜してから、ArF露光法を用いて100nmの溝パターンを有するレジストマスク34を形成する。First, as shown in FIG. 3 (a), to prepare the silicon wafer 31, about to 10nm grown oxide film at about 900 ° C. using a known oxidation furnace, as shown in FIG. 3 (b), SiO 2 A film 32 is formed. Next, as shown in FIG. 3C, a resist mask having a groove pattern of 100 nm is formed by using an ArF exposure method after forming a SiN film 33 with a thickness of about 90 nm at about 800 ° C. using a known LP-SiN furnace. 34 is formed.

このレジストマスク34で覆われたSiO膜32とSiN膜33とを、図3(d)のように、ハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いてエッチングし、図3(e)に示すように、レジストマスク34をアッシング除去してハードマスク32、33を形成する。このとき、ハードマスク32、33には、ストライエーションは認められない。このハードマスク32、33の下地材料であるシリコンウエハ31をさらにエッチングすることによって、図3(f)に示すように、シリコンウエハ31中に、幅100nmのトレンチ(溝)パターン35を形成する。パターンを転写するハードマスク32、33が滑らかなので、この溝パターン35にもストライエーションは発生しない。As shown in FIG. 3D, the SiO 2 film 32 and the SiN film 33 covered with the resist mask 34 are made of a halogenated carbon compound gas (however, the halogen is at least two of F, I and Br, At least one of I and Br is 26% or less of the total amount of halogen atoms in atomic composition ratio), and as shown in FIG. 33 is formed. At this time, no striation is allowed in the hard masks 32 and 33. By further etching the silicon wafer 31 which is the base material of the hard masks 32 and 33, a trench (groove) pattern 35 having a width of 100 nm is formed in the silicon wafer 31 as shown in FIG. Since the hard masks 32 and 33 to which the pattern is transferred are smooth, no striation occurs in the groove pattern 35.

このように溝パターン35の形成されたシリコンウエハ31を約900℃で酸化した後、図4(a)に示すように、約400℃のHDP(High
Density Plasma、高密度プラズマ)−SiO膜41により溝パターン35を埋め込む。そして、公知のHDP−CMP(Chemical Mechanical Polishing、化学機械研磨)を適用して平坦化し(図4(b))、約850℃の酸化工程を経てから、例えば公知のICPエッチャーによるSiN剥離工程を実施した後、希フッ酸(HF)を用いた酸化膜除去工程によって、図4(c)に示すように、平坦化された表面とSTI構造35aとを備えたシリコンウエハ31を得る。
After the silicon wafer 31 having the groove pattern 35 formed thereon is oxidized at about 900 ° C., as shown in FIG.
The groove pattern 35 is embedded with a Density Plasma (SiO 2 film 41). Then, planarization is performed by applying a known HDP-CMP (Chemical Mechanical Polishing) (FIG. 4B), and after an oxidation process at about 850 ° C., for example, a SiN peeling process using a known ICP etcher is performed. After the execution, as shown in FIG. 4C, a silicon wafer 31 having a planarized surface and an STI structure 35a is obtained by an oxide film removing process using dilute hydrofluoric acid (HF).

次に、図4(d)に示すように、約850℃での酸化工程によりゲート酸化膜42を成長させ、図4(e)に示すように、公知のCVD法を用いてポリシリコン膜43を150nm成膜し、さらにW膜44を200nmほど成膜してゲート電極用の膜を積層させた後、ハードマスク用のPE−TEOS(テトラエトキシシラン)−SiO膜45を200nm成膜する。Next, as shown in FIG. 4D, a gate oxide film 42 is grown by an oxidation process at about 850 ° C., and as shown in FIG. After forming a W film 44 to a thickness of about 200 nm and laminating a gate electrode film, a hard mask PE-TEOS (tetraethoxysilane) -SiO 2 film 45 is formed to a thickness of 200 nm. .

図4(f)のように、ArF露光法によるゲート露光工程でゲート電極パターン46を形成し、このパターン付レジストマスク46を用いて、上述のハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いて図2のエッチング装置21によりTEOS−SiO膜45を50%オーバーエッチングを含めて300nm分エッチングして、図4(g)に示すハードマスク45を形成する。このハードマスク・エッチング工程では、ストライエーションが発生しないので、なめらかな形状のハードマスク45を形成可能である。As shown in FIG. 4 (f), a gate electrode pattern 46 is formed by a gate exposure process using an ArF exposure method, and the above-described halogenated carbon compound gas (however, halogen is F, I) using this patterned resist mask 46. 2) using the etching apparatus 21 of FIG. 2 using 50% of the TEOS-SiO 2 film 45 by using at least two of N and Br, and at least one of I and Br is 26% or less of the total amount of halogen atoms in terms of atomic composition ratio. Etching is performed for 300 nm including overetching to form a hard mask 45 shown in FIG. In this hard mask / etching step, no striation occurs, so that a hard mask 45 having a smooth shape can be formed.

次に、図5(a)に示すように、ゲートエッチとして、W膜44とポリシリコン膜43とを、公知のICPエッチャー等でエッチングすることによって、ゲート電極51を完成する。レジストパターン46は、このエッチング時にエッチアウト(消失)してしまう。また、ここでも本発明によりストライエーションの発生を抑制して形成されたハードマスク45からゲート電極51にパターンが転写されるので、ゲート電極51には、ストライエーションは認められない。   Next, as shown in FIG. 5A, the gate electrode 51 is completed by etching the W film 44 and the polysilicon film 43 with a known ICP etcher or the like as a gate etch. The resist pattern 46 is etched out (disappeared) during this etching. Also, here, since the pattern is transferred from the hard mask 45 formed by suppressing the occurrence of striation according to the present invention to the gate electrode 51, no striation is observed in the gate electrode 51.

次に、酸化膜再成長工程を約850℃で実施した後、As:1×1015/cmをイオン注入してソースドレイン(SD)の浅い注入LDD(Lightly doped drain)52を形成する。Next, after performing an oxide film regrowth step at about 850 ° C., As: 1 × 10 15 / cm 2 is ion-implanted to form a lightly doped drain (LDD) 52 having a shallow source / drain (SD) implantation.

その後、図5(b)に示すように、約400℃のPE−CVD工程によりSiN膜53を成長させ、RIE(Reactive Ion Etching:反応性イオンエッチング)を用いて図5(c)に示すサイドウオール53cを形成する。そして、このサイドウオール53cをマスクとしてAs:5×1015/cmをイオン注入してから850℃で30分間アニールすることによって、ソースドレイン54を形成する。Thereafter, as shown in FIG. 5B, a SiN film 53 is grown by a PE-CVD process at about 400 ° C., and the side shown in FIG. 5C is used by RIE (Reactive Ion Etching). The wall 53c is formed. Then, As: 5 × 10 15 / cm 2 is ion-implanted using the side wall 53c as a mask, and annealing is performed at 850 ° C. for 30 minutes, thereby forming the source / drain 54.

次に、図5(d)のように、PE−SiN膜55を100nm程度成膜させた後、図5(e)に示すように、BPSG(boro-phospho silicate glass)膜56を700nm成長させてから800℃でアニールする。そして、公知のILD−CMPを適用してBPSG膜56を突起部が無くなるように研磨除去することによって、図6(a)に示すように、平坦化された第1層間絶縁膜56aを形成する。   Next, as shown in FIG. 5D, after a PE-SiN film 55 is formed to a thickness of about 100 nm, a BPSG (boro-phospho silicate glass) film 56 is grown by 700 nm as shown in FIG. 5E. Then anneal at 800 ° C. Then, by applying known ILD-CMP, the BPSG film 56 is polished and removed so that the protrusions are eliminated, thereby forming a flattened first interlayer insulating film 56a as shown in FIG. .

次に、図6(b)に示すように、平坦化された絶縁膜56a上に、CVD法によるTEOS−SiOキャップ膜61を約400℃で成長させてから、図6(c)に示すように、ArF露光法により直径約100nmのコンタクトホールパターン62を有するレジストマスク63を形成する。このレジストマスク63で覆われたTEOS−SiO膜61を
上述のハロゲン化炭素化合物ガスによりストライエーションを発生させることなくエッチングし、続けて下層のBPSG膜56a、PE−SiN膜55及びゲート酸化膜42もエッチングし、図6(d)に示すようなコンタクトホール64を形成する。
Next, as shown in FIG. 6B, a TEOS-SiO 2 cap film 61 is grown on the planarized insulating film 56a by a CVD method at about 400 ° C., and then shown in FIG. 6C. Thus, a resist mask 63 having a contact hole pattern 62 with a diameter of about 100 nm is formed by ArF exposure. The TEOS-SiO 2 film 61 covered with the resist mask 63 is etched without generating striations by the above-described halogenated carbon compound gas, and subsequently the lower BPSG film 56a, PE-SiN film 55, and gate oxide film 42 is also etched to form a contact hole 64 as shown in FIG.

公知のアッシング法によりレジストマスク63を剥離し、図7(a)に示すように、バリアメタル71として、TiN膜を約20nmCVD成膜してから、図7(b)に示すように、CVD−W膜72を約50nm成膜することによって、コンタクトホール64を埋め込む。続いて、公知のW−CMP法を用いてバリアメタル71をストッパーとして余分なW膜を研磨除去した後バリアメタル膜71も除去することによって、図7(c)のようなWプラグ73を形成する。これらのWプラグによりソースドレイン54及びゲート電極51との電気接続をとることになる。なお、ゲート電極51へのコンタクトプラグは図示しない。   The resist mask 63 is peeled off by a known ashing method, and as shown in FIG. 7A, a TiN film is formed as a barrier metal 71 with a thickness of about 20 nm by CVD. Then, as shown in FIG. The contact hole 64 is embedded by forming a W film 72 with a thickness of about 50 nm. Subsequently, by using a known W-CMP method, the barrier metal 71 is used as a stopper to remove the excess W film, and then the barrier metal film 71 is also removed, thereby forming a W plug 73 as shown in FIG. To do. These W plugs establish electrical connection between the source / drain 54 and the gate electrode 51. A contact plug to the gate electrode 51 is not shown.

このようにして形成されたトランジスタに、シングルダマシン工程によりCu配線を形成する方法を以下に述べる。図7(d)に示すように、まず公知のプラズマCVD法によって、PE−SiNキャップ膜74を約400℃で約50nm成長させる。   A method for forming a Cu wiring in the transistor thus formed by a single damascene process will be described below. As shown in FIG. 7D, first, a PE-SiN cap film 74 is grown at about 400 ° C. by about 50 nm by a known plasma CVD method.

同様にして図8(a)のように、プラズマCVD法によりTEOS−SiO膜81を約250nm成膜させてからPE−SiN膜82をPE−SiN膜74と同じく50nm成長させる。続いて、ArF露光法を用いて配線パターン83を有するArFレジスト膜84を約200nmの厚さで形成する。配線パターン83は、配線幅及び/又は配線間隔が130nm以下の微細配線であって、より微細化を進めるために、100nm以下であってもよい。Similarly, as shown in FIG. 8A, a TEOS-SiO 2 film 81 is formed to a thickness of about 250 nm by plasma CVD, and then a PE-SiN film 82 is grown to a thickness of 50 nm in the same manner as the PE-SiN film 74. Subsequently, an ArF resist film 84 having a wiring pattern 83 is formed with a thickness of about 200 nm using an ArF exposure method. The wiring pattern 83 is a fine wiring having a wiring width and / or a wiring interval of 130 nm or less, and may be 100 nm or less in order to promote further miniaturization.

次に、上述の本発明に係るハロゲン化炭素化合物ガスを用いたドライエッチングによって、このArFレジストマスク84からPE−SiN膜82にストライエーションを発生させることなくパターンを転写し(図8(b))、さらに図9(a)に示すように、下層のTEOS−SiO膜81までエッチングを継続する。これによって、配線パターンがストライエーションのない滑らかな側壁を備えて層間絶縁膜81に溝パターンとして形成される。Next, the pattern is transferred from the ArF resist mask 84 to the PE-SiN film 82 without causing striation by dry etching using the halogenated carbon compound gas according to the present invention (FIG. 8B). Further, as shown in FIG. 9A, the etching is continued up to the lower TEOS-SiO 2 film 81. As a result, the wiring pattern is formed as a groove pattern in the interlayer insulating film 81 with smooth sidewalls without striations.

その後、通常のマイクロ波アッシャーによりレジストマスク84を剥離し、さらにICPエッチング装置によりSiNエッチを施すことによって、図9(b)のように、配線用の溝83aの底部からSiN膜74を除去する。   Thereafter, the resist mask 84 is peeled off by a normal microwave asher, and further, SiN etching is performed by an ICP etching apparatus, thereby removing the SiN film 74 from the bottom of the wiring groove 83a as shown in FIG. 9B. .

次に、図10(a)に示すように、公知のスパッタ法を用いてTaN膜を約10nm成膜してからTa膜を約15nm成膜することによって、バリアメタル膜101を形成し、さらにCuめっき法によりCu膜102を約1μm成膜した後、約200℃でのアニールを施す。最後に、CMP法を用いて、図10(b)に示すように、バリアメタル膜101のTa膜をストップ層として、余分のCu膜を研磨除去する。これによって、レジストマスク84から転写されたパターン、すなわち配線用の溝83aにダマシン法により金属配線となるCu102bを埋め込む。   Next, as shown in FIG. 10A, a barrier metal film 101 is formed by forming a TaN film by about 10 nm using a known sputtering method and then forming a Ta film by about 15 nm. After forming a Cu film 102 to a thickness of about 1 μm by Cu plating, annealing at about 200 ° C. is performed. Finally, as shown in FIG. 10B, the excess Cu film is removed by polishing using the Ta film of the barrier metal film 101 as a stop layer using the CMP method. As a result, Cu 102b to be a metal wiring is buried in the pattern transferred from the resist mask 84, that is, the wiring groove 83a by the damascene method.

上述の本実施形態に係るCu配線102b によれば、本発明に係るエッチング方法を適用して滑らかに形成された溝パターン83にバリアメタル膜101及びCu膜102を埋め込むから、図16に示す従来のCu配線164のようなストライエーション165がないので、層間絶縁膜81へのCuの拡散は起こりえない。よって、本実施形態に係る半導体装置aでは、従来のCu配線164でのストライエーション165に起因したCu拡散に伴う配線間リーク等の不良発生を、配線幅及び/又は配線間隔が130nm以下の微細パターンであっても完全に防止できるので、半導体装置の製造歩留まりを著しく向上させることができる。   According to the Cu wiring 102b according to the above-described embodiment, the barrier metal film 101 and the Cu film 102 are embedded in the groove pattern 83 formed smoothly by applying the etching method according to the present invention. Since there is no striation 165 like the Cu wiring 164, the diffusion of Cu into the interlayer insulating film 81 cannot occur. Therefore, in the semiconductor device a according to the present embodiment, the occurrence of defects such as inter-wiring leakage due to Cu diffusion due to the striation 165 in the conventional Cu wiring 164 is reduced to a wiring width and / or wiring interval of 130 nm or less. Since even the pattern can be completely prevented, the manufacturing yield of the semiconductor device can be remarkably improved.

ここで、本発明で用いるエッチングガスについて詳述する。本発明では、上述したように絶縁膜をエッチングするガスとして、安定な化合物を形成すると共にそれ自体Siに対するエッチャントとしての機能を有するI及びBrの少なくとも一方を含有するフッ化炭素化合物ガスを用いる。このフッ化炭素化合物ガスとしては、ヨウ素化フッ化炭素化合物ガス及び臭素化フッ化炭素化合物ガスのいずれか一方、又はこれらの混合ガスである。 Here, the etching gas used in the present invention will be described in detail. In the present invention, as described above, as the gas for etching the insulating film, a fluorocarbon compound gas containing a stable compound and containing at least one of I and Br that itself has a function as an etchant for Si is used. The fluorinated carbon compound gas is one of iodinated fluorinated carbon compound gas and brominated fluorinated carbon compound gas, or a mixed gas thereof.

ヨウ素化フッ化炭素化合物ガス及び/又は臭素化フッ化炭素化合物ガスを、C(Hal)2n+2(式中、Halはハロゲン原子を表し、n=1〜3)と表現する。好ましくは、CFI、CFBr、CI、CBr、CI、CBr、C、CBrの中から選択された少なくとも1種、又はこれらのフッ化炭素化合物ガスとHI若しくはBrとから選択された二種以上を含有する混合ガスであることが好ましい。nの数が3を超えると、エッチングの際にチャンバー内が汚染される等の不具合が生じ、実用的でない。The iodinated fluorocarbon compound gas and / or brominated fluorocarbon compound gas is expressed as C n (Hal) 2n + 2 (wherein Hal represents a halogen atom, n = 1 to 3). Preferably, in CF 3 I, CF 3 Br, C 2 F 5 I, C 2 F 5 Br, C 3 F 7 I, C 3 F 7 Br, C 3 F 6 I 2 , C 3 F 6 Br 2 It is preferable that the mixed gas contains at least one selected from the above or two or more selected from these fluorocarbon compound gas and HI or Br. When the number of n exceeds 3, problems such as contamination of the chamber occur during etching, which is not practical.

また、エッチングガスとしては、Cなどのヨウ素化フッ化炭素化合物ガスやCBrなどの臭素化フッ化炭素化合物ガスも用いることもできる。この場合、原子組成比でハロゲンの総量の26%以下になるように、CFガスなどを添加して利用する。The etching gas may also be used brominated fluorocarbon compound gas such as C 2 F 4 iodination fluoride such as I 2 carbon compound gas and C 2 F 4 Br 2. In this case, CF 4 gas or the like is added and used so that the atomic composition ratio is 26% or less of the total amount of halogen.

さらに、エッチングガスは、HI及びHBrの少なくとも一方と、テトラフルオロエチレンのような過フッ化炭素化合物(C(Hal)2n(式中、Halはハロゲン原子を表し、n=1〜3))ガスとの混合ガスであってもよく、エッチングガスとして、CFIと過フッ化炭素化合物との混合ガス、CFBrと過フッ化炭素化合物との混合ガスを用いてもよい。Further, the etching gas is at least one of HI and HBr, and a perfluorocarbon compound such as tetrafluoroethylene (C n (Hal) 2n ( where Hal represents a halogen atom, n = 1 to 3)) A mixed gas with a gas may be used, and a mixed gas of CF 3 I and a fluorocarbon compound or a mixed gas of CF 3 Br and a fluorocarbon compound may be used as an etching gas.

上記エッチングガスは、CFとC又はCBrとの混合ガスとしてもよいし、HI及びHBrの少なくとも一方と過フッ化炭素化合物との混合ガスとしてもよいし、CFIと過フッ化炭素化合物との混合ガスとしてもよいし、CFBrと過フッ化炭素化合物との混合ガスとしてもよい。The etching gas may be a mixed gas of CF 4 and C 2 F 4 I 2 or C 2 F 4 Br 2 , or a mixed gas of at least one of HI and HBr and a fluorocarbon compound. , CF 3 I and a perfluorocarbon compound may be used as a mixed gas, or CF 3 Br and a perfluorocarbon compound may be used as a mixed gas.

本発明のエッチングによる反応生成物のデポジションの量を調節してエッチングしたホールや溝が埋まってしまうのを防止するために、エッチングガスに、チャンバー内に導入するガスの総流量に対して3〜15%程度の酸素を添加することが好ましい。この場合、3%未満では、上記効果を達成することができず、また、デポジションの量を調節することができなくなる。他方で、15%を超えると、ArFレジストがダメージを受けてエッチングされてしまう。   In order to prevent the etched holes and grooves from being filled by adjusting the deposition amount of the reaction product by etching according to the present invention, the etching gas is 3% of the total flow rate of the gas introduced into the chamber. It is preferable to add about 15% oxygen. In this case, if it is less than 3%, the above effect cannot be achieved, and the amount of deposition cannot be adjusted. On the other hand, if it exceeds 15%, the ArF resist is damaged and etched.

上記エッチング装置21を用いて、エッチングされる絶縁膜としては、SiOなどの酸化物膜、HSQやMSQのようにスピンコートによって形成されたSiOCH系材料、或いはCVDによって形成されるSiOC系材料もしくはCVD法により形成されるSiOF膜で比誘電率1.5〜3.7のLow−k材料であり、多孔質材料を含む。As an insulating film to be etched using the etching apparatus 21, an oxide film such as SiO 2 , a SiOCH material formed by spin coating such as HSQ or MSQ, a SiOC material formed by CVD, or A SiOF film formed by a CVD method, which is a low-k material having a relative dielectric constant of 1.5 to 3.7, and includes a porous material.

SiOCH系材料としては、例えば、商品名NCS/触媒化成工業社製、商品名LKD5109r5/JSR社製、商品名HSG−7000/日立化成社製、商品名HOSP/Honeywell
Electric Materials社製、商品名Nanoglass/Honeywell Electric
Materials社製、商品名OCD T−12/東京応化社製、商品名OCD T−32/東京応化社製、商品名IPS2.4/触媒化成工業社製、商品名IPS2.2/触媒化成工業社製、商品名ALCAP−S5100/旭化成社製、商品名ISM/ULVAC社製等がある。
Examples of the SiOCH-based material include, for example, trade name NCS / Catalyst Chemical Industries, trade name LKD5109r5 / JSR, trade name HSG-7000 / Hitachi Chemical Co., trade name HOSP / Honeywell
Product name Nanoglass / Honeywell Electric, made by Electric Materials
Made by Materials, trade name OCD T-12 / manufactured by Tokyo Ohkasha, trade name OCD T-32 / manufactured by Tokyo Ohkasha, trade name IPS 2.4 / manufactured by Catalytic Kasei Kogyo Co., Ltd. And trade name ALCAP-S5100 / Asahi Kasei Co., Ltd., trade name ISM / ULVAC, etc.

SiOC系材料としては、例えば、商品名Aurola2.7/日本ASM社製、商品名Aurola2.4/日本ASM社製、商品名Orion2.7/TRIKON社製、商品名Coral/Novellus社製、商品名Black Diamond/AMAT社製等がある。また、商品名SiLK/Dow
Chemical社製、商品名Porous-SiLK/Dow
Chemical社製、商品名FLARE/Honeywell
Electric Materials社製、商品名 Porous FLARE/Honeywell
Electric Materials社製、商品名 GX‐3P/Honeywell
Electric Materials社製等などの有機系の低誘電率層間絶縁膜であってもよい。
Examples of the SiOC material include trade name Aurola 2.7 / Japan ASM Co., trade name Aurola 2.4 / Japan ASM Co., trade name Orion 2.7 / TRIKON, trade name Coral / Novellus, trade name Black Diamond / AMAT and others. Also, the brand name SiLK / Dow
Product name Porous-SiLK / Dow
Product name FLARE / Honeywell, manufactured by Chemical
Product name Porous FLARE / Honeywell
Product name GX-3P / Honeywell, manufactured by Electric Materials
It may be an organic low dielectric constant interlayer insulating film such as that manufactured by Electric Materials.

ここで、本発明に到った経緯を説明すると共に、本発明の原理を考察する。例えば誘導結合方式(ICPプラズマ)のエッチング装置(図示せず)を用い、1〜3Paの作動圧力下で、フロロカーボンガス(C)を含有するエッチングガスをプラズマ雰囲気中で導入してシリコン酸化膜エッチングを行うと(この場合、Arプラズマ密度は〜1×1011cm−3である)、レジストマスクはプラズマに曝されてダメージを受けて、レジストマスクのエッジ部に荒れと変形(エッジ荒れ)が生じる(ストライエーションと呼ぶ)。この状態で酸化膜エッチングを継続すると、その形状がホールや溝に転写されて膜のストライエーションが発生する。Here, the background to the present invention will be explained and the principle of the present invention will be considered. For example, using an inductively coupled (ICP plasma) etching apparatus (not shown), an etching gas containing a fluorocarbon gas (C x F y ) is introduced in a plasma atmosphere under an operating pressure of 1 to 3 Pa. When oxide film etching is performed (in this case, the Ar plasma density is ˜1 × 10 11 cm −3 ), the resist mask is exposed to plasma and damaged, and the edge portion of the resist mask is roughened and deformed (edge (Roughness) occurs (referred to as striation). If the oxide film etching is continued in this state, the shape is transferred to the hole or groove, and the film striation occurs.

本発明で用いるNLD装置21を用いると、通常圧力(1Pa以上)より低い圧力(0.3−0.7Pa)でもプラズマ放電が可能である。これを用いてCガスで低圧でエッチングするとストライエーションを抑制できる傾向にあることを見出した。一般にCガスを分解して発生する分解種にはF、CF、CF、CF等があるが、この中でF以外の分子ラジカルは主に重合前駆体としての働き、レジストに対するエッチャントとしての働きは低い。このことから、F原子ラジカルがレジストのC=O基や他の官能基と反応し、レジストマスクを脆弱化させるものと考えた。このことから、ストライエーションを抑制するためには、このFラジカルを排除する反応が有効であると推測した。When the NLD device 21 used in the present invention is used, plasma discharge is possible even at a pressure (0.3-0.7 Pa) lower than a normal pressure (1 Pa or more). It has been found that when this is used to etch with C 3 F 8 gas at low pressure, striation can be suppressed. Generally, there are F, CF, CF 2 , CF 3, etc. as the decomposition species generated by decomposing C 3 F 8 gas. Among these, molecular radicals other than F mainly function as polymerization precursors and are used for resists. The work as an etchant is low. From this, it was considered that the F atom radical reacts with the C═O group of the resist and other functional groups to weaken the resist mask. From this, in order to suppress striations, it was speculated that the reaction of eliminating this F radical was effective.

でなくエッチングガスとしてCIを用いると、同じ圧力でもレジストのエッチング速度が低下した。このときレジストのエッチング速度が減少するのは、レジストマスクのエッチャントであるFラジカルが気相中においてIと反応し、IF、IF、IF等を形成するためFラジカルが減少するからと考えた。When C 3 F 7 I was used as an etching gas instead of C x F y , the resist etching rate decreased even at the same pressure. At this time, the resist etching rate decreases because the F radical, which is an etchant of the resist mask, reacts with I in the gas phase to form IF 3 , IF 5 , IF 7, etc., and the F radical decreases. Thought.

上記考察を実証するために、以下に具体的な実施例を記載する。   In order to demonstrate the above considerations, specific examples are described below.

本発明では、絶縁膜をストライエーションなしでエッチングすることが基本であるので、この実施例では、絶縁膜としてシリコン基板(ウエハ)上にTEOSガスからプラズマCVD法により膜厚300nm狙いで成長(堆積)させた酸化膜(TEOS−SiO)を準備した。In the present invention, since the insulating film is basically etched without striation, in this embodiment, the insulating film is grown (deposited) on the silicon substrate (wafer) by a plasma CVD method from TEOS gas with a film thickness of 300 nm. ) Oxide film (TEOS-SiO 2 ) was prepared.

そして、この絶縁膜を覆うように反射防止膜に続けてArF露光用レジスト膜を塗布成膜した後、ArF露光技術を用いて幅100nmの溝を含む配線パターンを形成した。そして、この配線パターンを有するレジスト膜で覆われた絶縁膜をプラズマ雰囲気中でドライエッチングした。   Then, after applying an anti-reflection film and an ArF exposure resist film so as to cover the insulating film, a wiring pattern including a groove having a width of 100 nm was formed using an ArF exposure technique. Then, the insulating film covered with the resist film having the wiring pattern was dry etched in a plasma atmosphere.

上記エッチングには、エッチング装置21において、ArとエッチングガスであるCIガスとを2.67Paの圧力下で真空チャンバー23内に導入し、絶縁膜をエッチングして100nmの溝を形成し、レジストを剥離した。このときArの流量を230sccm、CIの流量を50sccm、酸素の流量を20sccmに設定して行った。また、プラズマ発生用高周波アンテナコイル26aに接続した高周波電源26bの出力を1kW、基板電極27に接続した高周波電源29bの出力を0.3kW、基板温度10℃に設定して行った。In the etching, Ar and etching gas C 3 F 7 I gas are introduced into the vacuum chamber 23 under a pressure of 2.67 Pa in the etching apparatus 21, and the insulating film is etched to form a 100 nm groove. The resist was peeled off. At this time, the flow rate of Ar was set to 230 sccm, the flow rate of C 3 F 7 I was set to 50 sccm, and the flow rate of oxygen was set to 20 sccm. The output of the high frequency power supply 26b connected to the plasma generating high frequency antenna coil 26a was set to 1 kW, the output of the high frequency power supply 29b connected to the substrate electrode 27 was set to 0.3 kW, and the substrate temperature was set to 10 ° C.

得られた溝の状態を基板上面から観察したSEM写真を図11(a)に示す。絶縁膜111aに幅100nmの溝パターン112aが滑らかに形成され、溝パターン112aの底部には下地材料のシリコン結晶が観察された。このように、本実施例では、溝内のストライエーションの発生が3nm未満に抑制されていることが確認された。よって、本発明によれば、配線用溝パターンのストライエーションに起因する不良が発生しないことが明瞭なので、このストライエーションに起因した不良による歩留まり低下を完全に防止できることが実証された。   FIG. 11A shows an SEM photograph of the obtained groove state observed from the upper surface of the substrate. A groove pattern 112a having a width of 100 nm was smoothly formed in the insulating film 111a, and a base crystal silicon crystal was observed at the bottom of the groove pattern 112a. Thus, in this example, it was confirmed that the occurrence of striation in the groove was suppressed to less than 3 nm. Therefore, according to the present invention, it is clear that a defect due to the striation of the wiring groove pattern does not occur, and it has been proved that the yield reduction due to the defect due to the striation can be completely prevented.

従来技術と比較のために、同じ装置条件でCIガス代えてCガスを用いて得られた形状を図11(b)に示す。従来例では、絶縁膜111bに約100nmの幅で溝パターン112bが形成されていたが、溝内にストライエーション113が発生したため、設計値100nmの配線幅が、エッチング後には100nm±15%もの分布を持つことを確認した。従来技術では、このようにストライエーション113の発生した配線用の溝112bに金属配線材料が埋め込まれてしまうので、上述したCu拡散等により配線工程での歩留まりが低下してしまうのである。For comparison with the prior art, FIG. 11B shows a shape obtained by using C 3 F 8 gas instead of C 3 F 7 I gas under the same apparatus conditions. In the conventional example, the groove pattern 112b is formed with a width of about 100 nm in the insulating film 111b. However, since the striation 113 is generated in the groove, the wiring width with the design value of 100 nm is distributed as 100 nm ± 15% after etching. Confirmed to have. In the prior art, since the metal wiring material is buried in the wiring groove 112b in which the striation 113 is generated in this way, the yield in the wiring process is lowered due to the above-described Cu diffusion or the like.

なお、ここではCIガスを使用した実施例を記載したが、CBrガスを適用しても、同様の効果を奏してストライエーションのない溝パターンが得られた。Here, has been described the embodiment using the C 3 F 7 I gas, applying a C 3 F 7 Br gas, striation-free groove pattern was obtained exhibit the same effects.

本実施例では、Cuダマシン法に従って、半導体装置のCu配線を形成する方法について説明する。一層分の形成工程の基本部分について説明するが、2層以上の配線を形成するときには、以下の手順を繰り返し又は若干修正することにより形成できる(図12(a)乃至(c)参照)。   In this embodiment, a method for forming a Cu wiring of a semiconductor device according to a Cu damascene method will be described. The basic part of the formation process for one layer will be described. When two or more layers of wiring are formed, the wiring can be formed by repeating or slightly modifying the following procedure (see FIGS. 12A to 12C).

(1)まず、プラズマCVD法により、400℃でSi基板121上にTEOS−SiO膜122aを250nm成膜し、続けてcap−SiN膜122bを50nm厚さに成長させた。
(2)このSiN膜122b上に、Cu配線を形成する予定のTEOS−SiO層間絶縁膜122cを、公知のプラズマCVD法により400℃で200nmの厚さに形成し、さらにプラズマCVD法で400℃でCMPストッパーとしてのプラズマシリコン窒化膜(p−SiN)122dを膜厚:30nmで成長させた。
(1) First, a 250 nm TEOS-SiO 2 film 122a was formed on the Si substrate 121 at 400 ° C. by plasma CVD, and then a cap-SiN film 122b was grown to a thickness of 50 nm.
(2) on the SiN film 122b, the TEOS-SiO 2 interlayer insulating film 122c plan to form a Cu interconnection, formed to a thickness of 200nm at 400 ° C. by a known plasma CVD method, further by plasma CVD 400 A plasma silicon nitride film (p-SiN) 122d as a CMP stopper was grown at a temperature of 30 nm at a temperature of 30 ° C.

(3)このSiN膜122d上に、ArF露光のためのレジスト(Shipley社製の商品名:UV−6)をコートした。この場合、下の層からの光の反射を防止するために、反射防止膜(BARC(東京応化工業社製))をコートした後に、ArF露光用のレジストを300nmの厚さにコートした。 (3) A resist for ArF exposure (trade name: UV-6 manufactured by Shipley) was coated on the SiN film 122d. In this case, in order to prevent reflection of light from the lower layer, an antireflection film (BARC (manufactured by Tokyo Ohka Kogyo Co., Ltd.)) was coated, and then a resist for ArF exposure was coated to a thickness of 300 nm.

(4)このレジスト膜に、公知のArF露光装置を用いて、100nm幅の配線パターンを転写した。
(5)配線パターンを溝として現像した。
(4) A 100 nm wide wiring pattern was transferred to this resist film using a known ArF exposure apparatus.
(5) The wiring pattern was developed as a groove.

(6)次いで、SiN膜122dとSiO層間絶縁膜122cとを、以下のプロセス条件で、200nmエッチングし、このSiO膜122c内に溝を形成した。
・エッチングガス:O添加してArガスで希釈したCIガス。比較のために、CIガスに代えてCガスを用いた従来例も実施した。
・Arガス流量:230sccm
・CIガス流量:50sccm(Cガス流量も同じとした)
・Oガス流量:20sccm
・圧力:2.67Pa
・アンテナ高周波電力:1kW
・基板高周波電力:0.3kW
・設定基板温度:10℃
(6) Next, the SiN film 122d and the SiO 2 interlayer insulating film 122c were etched by 200 nm under the following process conditions to form a groove in the SiO 2 film 122c.
Etching gas: C 3 F 7 I gas added with O 2 and diluted with Ar gas. For comparison, a conventional example using C 3 F 8 gas instead of C 3 F 7 I gas was also carried out.
Ar gas flow rate: 230sccm
C 3 F 7 I gas flow rate: 50 sccm (C 3 F 8 gas flow rate is the same)
・ O 2 gas flow rate: 20 sccm
・ Pressure: 2.67 Pa
・ Antenna high frequency power: 1kW
・ Substrate high frequency power: 0.3kW
・ Set substrate temperature: 10 ℃

(7)アッシングによりレジストを除去した。
(8)洗浄後に、上記形成された溝内にスパッタ法によりTaN膜123を10nm厚さで均一に成長させた。
(9)このTaN膜上に、Cuシード層を30nmスパッタリングしたあと、公知のCuメッキを行い、膜厚500nmのCu膜を形成した。
(7) The resist was removed by ashing.
(8) After cleaning, a TaN film 123 was grown uniformly in a thickness of 10 nm in the formed groove by sputtering.
(9) A Cu seed layer was sputtered to 30 nm on this TaN film, and then known Cu plating was performed to form a Cu film having a thickness of 500 nm.

(10)CMP法でCu膜を研磨除去した。この場合、SiN膜122dの表面で止めることによって、Cu配線124を得た。
(11)洗浄した後、得られた試料の上面を観察した。
上記工程(1)〜(11)を経て得られた試料の断面構造を図12(a)に示すと共に、試料の上面図を図12(b)に、また、図12(a)の線X−Xで切断したCu配線の上面図を図12(c)に模式的に示す。
(10) The Cu film was polished and removed by the CMP method. In this case, Cu wiring 124 was obtained by stopping at the surface of the SiN film 122d.
(11) After cleaning, the upper surface of the obtained sample was observed.
The cross-sectional structure of the sample obtained through the above steps (1) to (11) is shown in FIG. 12 (a), the top view of the sample is shown in FIG. 12 (b), and the line X in FIG. A top view of the Cu wiring cut at −X is schematically shown in FIG.

図12(b)から明らかなように、本発明に従って行ったエッチングの結果、溝にはストライエーションは観察されなかったが、図16(b)及び(c)に示したように、従来のエッチングガスを用いた場合は、溝にストライエーション165が発生していた。このようなストライエーション165が発生していると、この溝をTaN膜163で埋め込むとき、深くくびれた部分にはTaN163が十分に成長しないで薄く成長するか、又はこの部分には成長しないことが分かった。このTaN膜163は、Cu膜が層間絶縁膜162c内へ侵入して拡散するのを防ぐバリア膜としての機能を有するため、その機能が不十分であると半導体特性が不良となり、製品歩留まりの低下となる。   As is apparent from FIG. 12B, no striation was observed in the groove as a result of the etching performed according to the present invention. However, as shown in FIGS. 16B and 16C, the conventional etching was performed. When gas was used, striations 165 were generated in the grooves. If such a striation 165 is generated, when the trench is filled with the TaN film 163, the TaN 163 does not grow sufficiently in the deeply constricted portion, or it does not grow in this portion. I understood. The TaN film 163 has a function as a barrier film that prevents the Cu film from entering and diffusing into the interlayer insulating film 162c. If the function is insufficient, the semiconductor characteristics are deteriorated and the product yield is reduced. It becomes.

図16(a)の線X−Xで切断し、配線断面を上から観察し、ストライエーション165部分を拡大した場合を、模式的に図16(c)に示す。図12に示す本発明の方法で形成したCu配線の実験では、ストライエーションはない。一方、図16(b)に示すCガスを用いた従来方法の場合では、ストライエーション165が発生した配線が形成された。図16(c)中のA部分は一部でCuと層間絶縁膜162cとが接触に近い状態となっていることが分かる。FIG. 16C schematically shows a case where the section taken along line XX in FIG. 16A is observed, the wiring cross section is observed from above, and the striation 165 portion is enlarged. In the experiment of the Cu wiring formed by the method of the present invention shown in FIG. 12, there is no striation. On the other hand, in the case of the conventional method using the C 3 F 8 gas shown in FIG. 16B, the wiring in which the striation 165 was generated was formed. It can be seen that part A in FIG. 16C is in a state in which Cu and the interlayer insulating film 162c are close to contact.

これに対して、本発明による実施例では、図12から明らかなように、溝形成時にストライエーションが発生しないので、製造仕上がり寸法が配線全体にわたって許容値内で一定なので局所的に細い部分がなくなる。   On the other hand, in the embodiment according to the present invention, as is apparent from FIG. 12, since no striation occurs at the time of forming the groove, the manufacturing finished dimensions are constant within the allowable value over the entire wiring, so that there are no locally narrow portions. .

配線幅の局所バラツキがあると従来は一番細くなるところが設計値を下回ることが起きないように線幅の設計値を太くする。本発明によれば、小さな余裕を与えて線幅を設計できるのでチップを小さく設計できる。よって従来の場合よりもコストを下げることができ、価格競争力を獲得できる。   If there is local variation in the wiring width, the design value of the line width is increased so that the narrowest part of the conventional technology does not fall below the design value. According to the present invention, since the line width can be designed with a small margin, the chip can be designed small. Therefore, the cost can be reduced as compared with the conventional case, and price competitiveness can be obtained.

また、ストライエーションによる鋭い凹みが発生するとバリアメタル膜(TiN膜やTaN膜等)の膜厚が薄くなる部分が出来てCuがそこから拡散する弊害があるが、本実施例によるパターン転写法では、全体にわって凹みが発生しないのでバリアメタルのCu拡散バリアとしての機能の信頼性が高まる。従来技術による配線のようなストライエーションに起因した不良を防止できるので、半導体装置aの製造歩留まりを向上させることが可能となる。   In addition, when a sharp dent due to striation occurs, a barrier metal film (TiN film, TaN film, etc.) becomes thin, and there is a problem that Cu diffuses from there. However, in the pattern transfer method according to this embodiment, In addition, since no dent is generated on the whole, the reliability of the function of the barrier metal as a Cu diffusion barrier is enhanced. Since defects caused by striations such as wiring according to the prior art can be prevented, the manufacturing yield of the semiconductor device a can be improved.

なお、上記のエッチングにおいて、層間絶縁膜(膜厚:200nm)をエッチングする場合に、CIガスの代わりに、エッチングガスとしてCとI原子を含むIH等の混合ガスを用い、エッチングを行う際に反応室で反応させてCIガスを生成させ、このガスを真空チャンバー内へ導入する方法も考えられる。この方法は、技術的に可能であり、同様な効果を期待できるが、制御するパラメータが増えるので量産向きではない。In the above etching, when the interlayer insulating film (film thickness: 200 nm) is etched, a mixed gas such as IH containing C 3 F 8 and I atoms is used as an etching gas instead of the C 3 F 7 I gas. It is also possible to use a method in which a C 3 F 7 I gas is generated by reacting in a reaction chamber when etching is performed, and this gas is introduced into a vacuum chamber. This method is technically possible and can be expected to have the same effect, but is not suitable for mass production because the number of parameters to be controlled increases.

本実施例では、本発明に係る半導体装置aに含まれるゲートを正確に作製するための主要な工程部分を説明する。図13(a)乃至(c)及び(a')乃至(c')に主要工程で得られた半導体装置の断面図及び上面図を、それぞれ模式的に示す。ゲート作製前のトランジスタの絶縁分離工程やゲート絶縁膜の製造工程、また、ゲート材料をエッチングした後のサイドウオール形成やソースドレインの拡散工程は公知の方法に従って実施できるので、ここでは説明しない。   In this embodiment, a main process part for accurately manufacturing a gate included in the semiconductor device a according to the present invention will be described. 13A to 13C and 13A to 13C schematically show a cross-sectional view and a top view of the semiconductor device obtained in the main process. The transistor isolation process before gate fabrication, the gate insulation film fabrication process, the sidewall formation after the gate material is etched, and the source / drain diffusion process can be performed according to known methods and will not be described here.

ゲート作製工程:
(1)シリコン(Si)ウエハ131上にゲート酸化膜132を所定膜厚成長させた後、ドープアモルファスSi(a−Si)膜133aを200nm厚さで、公知のCVD法により500℃で成膜させた。
(2)このa−Si膜133a上に、400℃でタングステン(W)膜133bを200nm厚さで、CVD成長させた。
Gate fabrication process:
(1) After a gate oxide film 132 is grown to a predetermined thickness on a silicon (Si) wafer 131, a doped amorphous Si (a-Si) film 133a is formed to a thickness of 200 nm at 500 ° C. by a known CVD method. I let you.
(2) On this a-Si film 133a, a tungsten (W) film 133b was grown by CVD at 400 ° C. to a thickness of 200 nm.

(3)次に、700℃で30分間アニール処理した。こうして、ゲート電極用の膜133を形成した。
(4)上記タングステン膜133b上に、ハードマスクとしてプラズマ酸化膜(TEOS−SiO)134を、400℃で200nm厚さに成長させた。
(3) Next, annealing was performed at 700 ° C. for 30 minutes. Thus, a gate electrode film 133 was formed.
(4) on the tungsten film 133b, a plasma oxide film (TEOS-SiO 2) 134 as a hard mask, grown 200nm thickness at 400 ° C..

(5)このハードマスク134上に、ArF露光のためのレジスト(Shipley社製の商品名:UV−6)136をコートした。この場合、下の層からの光の反射を防止するために反射防止膜(BARC)135をコートした後、ArF露光用のレジスト136を300nm厚さにコートした。
(6)次いで、80nm幅のゲートパターンを公知のArF露光装置を用いてレジストに転写した。これによって、図13(a)に示すように、ゲート長80nmのパターンを有するレジストマスクを形成した。
(5) A resist (trade name: UV-6, manufactured by Shipley) 136 for ArF exposure was coated on the hard mask 134. In this case, in order to prevent reflection of light from the lower layer, an antireflection film (BARC) 135 was coated, and then a resist 136 for ArF exposure was coated to a thickness of 300 nm.
(6) Next, an 80 nm wide gate pattern was transferred to the resist using a known ArF exposure apparatus. Thus, a resist mask having a pattern with a gate length of 80 nm was formed as shown in FIG.

(7)プラズマ酸化物膜134を、以下のプロセス条件で、200nmエッチングした。
・エッチングガス:O添加してArガスで希釈したCIガス。比較のために、CIガスに代えてCガスを用いた場合(従来技術、図15参照)も実施した。
・Arガス流量:230sccm
・CIガス流量:50sccm(C流量も同じ)
・Oガス流量:20sccm
・圧力:2.67Pa
・アンテナ高周波電力:1kW
・基板高周波電力:0.3kW
・ 設定基板温度:10℃
(7) The plasma oxide film 134 was etched by 200 nm under the following process conditions.
Etching gas: C 3 F 7 I gas added with O 2 and diluted with Ar gas. For comparison, a case where C 3 F 8 gas was used instead of C 3 F 7 I gas (prior art, see FIG. 15) was also carried out.
Ar gas flow rate: 230sccm
-C 3 F 7 I gas flow rate: 50 sccm (C 3 F 8 flow rate is the same)
・ O 2 gas flow rate: 20 sccm
・ Pressure: 2.67 Pa
・ Antenna high frequency power: 1kW
・ Substrate high frequency power: 0.3kW
・ Setting board temperature: 10 ℃

(8)レジスト136及び反射防止膜135を剥離した(図13(b)参照)。このとき、ハードマスク134bには、3nm以上のストライエーションは認められず、なめらかな外観であった。
(9)次に、HBrガスを用いて、W膜133bを200nm及びポリシリコン膜133aを200nmエッチングすることによって、図13(c)に示すように、ゲート電極構造137を作成した。
(10)最後に、ハードマスク134bを残したまま、洗浄し、再酸化した。
(8) The resist 136 and the antireflection film 135 were peeled off (see FIG. 13B). At this time, striations of 3 nm or more were not recognized on the hard mask 134b, and the appearance was smooth.
(9) Next, by using HBr gas, the W film 133b is etched by 200 nm and the polysilicon film 133a is etched by 200 nm, thereby forming a gate electrode structure 137 as shown in FIG.
(10) Finally, it was cleaned and reoxidized while leaving the hard mask 134b.

上記工程(1)〜(10)を経て得られたゲート電極構造137では、ハードマスク134bを形成するときにストライエーションが発生せずにレジストマスク136のパターンが転写されているので、なめらかな側壁を有するハードマスク134bから、更にエッチングによりこのパターンが転写されてゲート電極構造137が形成された。よって、レジストマスク136から設計値通りのゲート長でゲート電極構造137を形成可能となるから、ストライエーションに起因したゲート長Lgの分布発生を完全に抑制できる。   In the gate electrode structure 137 obtained through the above steps (1) to (10), since the pattern of the resist mask 136 is transferred without generating striation when the hard mask 134b is formed, the side wall is smooth. This pattern was further transferred by etching from the hard mask 134b having a gate electrode structure 137. Therefore, since the gate electrode structure 137 can be formed from the resist mask 136 with the gate length as designed, the generation of the distribution of the gate length Lg due to striation can be completely suppressed.

本実施例では公知の熱酸化膜をゲート酸化膜として用いたが、高誘電率ゲート酸化膜(例えば、HfO)であっても良い。また、ゲート構造としてアモルファスシリコン膜とタングステン膜との積層構造を用いたが、アモルファスシリコン膜に代えてポリシリコン膜を用いても良いし、タングステン(W)、チタン(Ti)、タンタル(Ta)、コバルト(Co)又はニッケル(Ni)を含むメタル膜(導電膜)単体の場合であっても良い。さらに、本実施例では80nmをゲート長としたが、ArF液浸露光、電子線露光等で解像する、より微細なパターン(50nm位以下まで可能)に対しても適用できる。In this embodiment, a known thermal oxide film is used as the gate oxide film, but a high dielectric constant gate oxide film (for example, HfO x ) may be used. In addition, although a laminated structure of an amorphous silicon film and a tungsten film is used as the gate structure, a polysilicon film may be used instead of the amorphous silicon film, or tungsten (W), titanium (Ti), and tantalum (Ta). Alternatively, a metal film (conductive film) containing cobalt (Co) or nickel (Ni) alone may be used. Furthermore, although the gate length is 80 nm in this embodiment, the present invention can also be applied to a finer pattern (possible to about 50 nm or less) that is resolved by ArF immersion exposure, electron beam exposure, or the like.

従来のCガスを用いてエッチングした時のパターンでは、図15(c)に示したように、一つのゲートでみたとき、最短と最長で評価して±15%((最大−最小)/(最大+最小)の%表示)のゲート長分布が発生していたが、本発明で得られたパターンでは、図13(c)に示すように、ゲート長の分布範囲は±5%以内であって、エッジ部分の荒れは、5nm未満であった。In the case of etching using a conventional C 3 F 8 gas, as shown in FIG. 15C, when viewed with one gate, the shortest and longest evaluations are ± 15% ((maximum-minimum). ) / (Maximum + minimum% display) gate length distribution has occurred, but in the pattern obtained in the present invention, the gate length distribution range is ± 5% as shown in FIG. The roughness of the edge portion was less than 5 nm.

よって、本発明によれば、ストライエーションの発生をおさえた方法でエッチングすることにより、従来よりゲート長Lg分布が少ない仕上がりの半導体装置を提供できる。本発明を用いるとSi結晶の側面をチャネルとして利用するトランジスタの作製においても平滑な側面を得ることが可能である。次にその実施例を記載する。   Therefore, according to the present invention, it is possible to provide a finished semiconductor device having a smaller gate length Lg distribution than conventional ones by etching by a method that suppresses the occurrence of striations. By using the present invention, it is possible to obtain a smooth side surface even in the manufacture of a transistor using the side surface of the Si crystal as a channel. Next, examples will be described.

本実施例では、本発明に係る半導体装置の製造方法として、フィン型トランジスタのチャネルの作製方法を説明する。   In this embodiment, a method for manufacturing a channel of a fin-type transistor will be described as a method for manufacturing a semiconductor device according to the present invention.

図14(a)乃至(e)及び(a')乃至(e')は、それぞれ、本発明を適用したフィン型トランジスタのチャネルの作製方法を模式的に示す断面図及び上面図である。フィン型トランジスタでは、Si結晶の側面をチャネルとして使用することから、従来のようにSi結晶のエッチングに際してストライエーションが発生すると、表面散乱によりトランジスタ特性が劣化するという問題がある。   14A to 14E and 14A to 14E are a cross-sectional view and a top view, respectively, schematically showing a method for manufacturing a channel of a fin-type transistor to which the present invention is applied. Since the fin-type transistor uses the side surface of the Si crystal as a channel, when striation occurs during etching of the Si crystal as in the prior art, there is a problem that the transistor characteristics deteriorate due to surface scattering.

本実施例では、図14(a)に示すように、シリコンウエハ141に熱酸化膜142を100nm成長させてから、反射防止膜143に続けてArF露光用レジスト144を塗布成膜した後、このレジスト膜144を公知のArF露光法を用いてパターン形成することによって、チャネル形成用の微細パターンを有するレジストマスク144を形成した。チャネル電位をゲート電位に追従させるためには、この微細パターンは、通常100nm以下であることが望ましい。   In this embodiment, as shown in FIG. 14A, after a thermal oxide film 142 is grown to 100 nm on a silicon wafer 141, an ArF exposure resist 144 is applied and formed after the antireflection film 143. A resist mask 144 having a fine pattern for channel formation was formed by patterning the resist film 144 using a known ArF exposure method. In order to make the channel potential follow the gate potential, this fine pattern is usually desirably 100 nm or less.

次に、レジストマスク144で覆われた熱酸化膜142を、実施例3と同様のプロセス条件を用いてプラズマ雰囲気中でエッチングし、ハードマスク142bを形成した(図14(b)参照)。このとき、本発明の作用によって、ハードマスク142bにはストライエーションの発生は認められなかった。さらにエッチングガス系をシリコンエッチング可能な塩素(Cl)とHBrの混合ガスとしてエッチングを継続し、このハードマスク142bからシリコンウエハ141にパターンを転写した(図14(c)参照)。このハードマスク142bからパターンを転写されたシリコンウエハ141dにも、3nm以上のストライエーションは認められなかった。Next, the thermal oxide film 142 covered with the resist mask 144 was etched in a plasma atmosphere under the same process conditions as in Example 3 to form a hard mask 142b (see FIG. 14B). At this time, the occurrence of striation was not recognized in the hard mask 142b by the action of the present invention. Further, etching was continued using a mixed gas of chlorine (Cl 2 ) and HBr capable of etching silicon as the etching gas system, and the pattern was transferred from the hard mask 142b to the silicon wafer 141 (see FIG. 14C). No striation of 3 nm or more was observed in the silicon wafer 141d to which the pattern was transferred from the hard mask 142b.

次に、図14(d)に示すように、ハードマスク142cを約0.5%の希フッ酸により溶解除去することによって、フィン型チャネル141dを作製する。そして、このフィン型チャネル141dのパターンを有するシリコンウエハ141を熱酸化させてゲート酸化膜145を成長させた。これによって、フィン型チャネル141dを作製した。このフィン型チャネル141d上にポリシリコン等からなるゲート電極を公知の方法に従って作製してフィン型トランジスタを完成する。このゲート電極の作成方法については、多数の公知例があるのでここでは述べない。   Next, as shown in FIG. 14D, the fin-type channel 141d is produced by dissolving and removing the hard mask 142c with about 0.5% dilute hydrofluoric acid. Then, the gate oxide film 145 was grown by thermally oxidizing the silicon wafer 141 having the pattern of the fin-type channel 141d. Thus, a fin-type channel 141d was produced. A gate electrode made of polysilicon or the like is formed on the fin-type channel 141d according to a known method to complete a fin-type transistor. Since there are many known examples of the method for forming the gate electrode, it will not be described here.

本実施例によれば、シリコン結晶141に形成されたシリコンの微細ライン141dをその側壁にストライエーションを発生させることなく滑らかに形成することができるため、この側壁をチャネルとして使用するフィン型トランジスタを高精度に制御可能となる。   According to this embodiment, since the fine silicon line 141d formed in the silicon crystal 141 can be smoothly formed on the side wall without causing striations, a fin-type transistor using the side wall as a channel is formed. Control with high accuracy is possible.

本発明は、DRAM及びフラッシュメモリーから選ばれたメモリー、ロジックデバイス、システムLSI、又はこれらを一部に含む半導体装置及びその製造方法として利用可能である。   The present invention can be used as a memory selected from DRAM and flash memory, a logic device, a system LSI, or a semiconductor device partially including these, and a manufacturing method thereof.

本発明のドライエッチング方法を適用してトランジスタのゲートを作製して得られた半導体装置の模式的断面図。FIG. 3 is a schematic cross-sectional view of a semiconductor device obtained by manufacturing a gate of a transistor by applying the dry etching method of the present invention. 本発明のドライエッチング方法に用いるエッチング装置の一例を概略的に示す配置断面図。The arrangement sectional view showing roughly an example of the etching device used for the dry etching method of the present invention. 本発明に係わる半導体装置の製造方法の一実施の形態を説明するために、そのプロセスの最初の工程を示す半導体装置の断面図。1 is a cross-sectional view of a semiconductor device showing a first step of the process for explaining an embodiment of a method for producing a semiconductor device according to the present invention; 図3のプロセスの次の工程を説明するための半導体装置の断面図。FIG. 4 is a cross-sectional view of a semiconductor device for explaining a next step of the process of FIG. 3. 図4のプロセスの次の工程を説明するための半導体装置の断面図。FIG. 5 is a cross-sectional view of a semiconductor device for explaining a next step of the process of FIG. 4. 図5のプロセスの次の工程を説明するための半導体装置の断面図。FIG. 6 is a cross-sectional view of a semiconductor device for explaining a next step of the process of FIG. 5. 図6のプロセスの次の工程を説明するための半導体装置の断面図。Sectional drawing of the semiconductor device for demonstrating the next process of the process of FIG. 図7のプロセスの次の工程を説明するための半導体装置の断面図。FIG. 8 is a cross-sectional view of a semiconductor device for illustrating a next step of the process of FIG. 7. 図8のプロセスの次の工程を説明するための半導体装置の断面図。FIG. 9 is a cross-sectional view of a semiconductor device for illustrating a next process of the process of FIG. 8. 図9のプロセスの次の配線形成工程を説明するための半導体装置の断面図。FIG. 10 is a cross-sectional view of a semiconductor device for explaining a wiring formation step next to the process of FIG. 9. 実施例1で得られた溝の状態を基板上面から観察したSEM写真(a)及び比較のために行われた従来例の場合のSEM写真。The SEM photograph (a) which observed the state of the groove | channel obtained in Example 1 from the board | substrate upper surface, and the SEM photograph in the case of the prior art example performed for the comparison. 実施例2の工程(1)乃至(11)で得られた試料の断面構造(a)、その模式的上面図(b)及び(a)の線X−Xで切断した場合の配線断面を示す図(c)。Sectional structure (a) of the sample obtained in steps (1) to (11) of Example 2, a schematic top view (b), and a wiring cross section when cut along line XX in (a) are shown. FIG. 本発明に係る半導体装置aに含まれるゲートを作製するための主要工程部分を説明するための、半導体装置の断面図(a)乃至(c)及び上面図(a')乃至(c')。9A to 9C are cross-sectional views (a) to (c) and top views (a ′) to (c ′) of a semiconductor device for explaining a main process portion for manufacturing a gate included in the semiconductor device a according to the present invention. 本発明を適用したフィン型トランジスタのチャネルの作製方法を模式的に示す断面図(a)乃至(e)及び上面図(a')乃至(e')。Sectional drawing (a) thru | or (e) and top view (a ') thru | or (e') which show typically the manufacturing method of the channel of the fin type transistor to which this invention is applied. 従来のトランジスタのゲート製造方法を示す半導体装置の断面図(a)乃至(c)及び上面図(a')乃至(c')の概略図。Schematics of cross-sectional views (a) to (c) and top views (a ′) to (c ′) of a semiconductor device showing a conventional transistor gate manufacturing method. 従来技術に従ってCu配線を作製した場合の断面図(a)、その上面図(b) 及び(a)の線(X−X)で切断した場合の配線断面を拡大した上面図(c)。Sectional view (a) when Cu wiring is produced in accordance with the prior art, top view (b) and top view (c) enlarging the wiring section when cut along line (XX) in (a).

符号の説明Explanation of symbols

1 Si結晶 2 ゲート酸化膜
3 STI 4 深いソースドレイン
5 ドレイン 6 SiO
7 BPSG膜 8 キャップ膜(SiN膜)
9 TEOS−SiOキャップ膜 10 バリアメタル膜(TiN膜)
11 ポリSi膜(アモルファスSi膜) 12 タングステン膜
13 Cu配線膜 21 エッチング装置
22 真空排気手段 23 チャンバー
23a プラズマ発生室 23b 基板処理室
23c 円筒状側壁 23d 天板
24a、24b、24c 磁場コイル 25 ヨーク部材
26a アンテナコイル 27 基板電極
30 ガス導入手段 S 処理基板
31 シリコンウエハ 32 SiO
33 SiN膜 34 レジストマスク
35 溝パターン
35a STI構造
41 HDP−SiO
42 ゲート酸化膜 43 ポリシリコン膜(ドープアモルファスSi膜)
44 ゲート電極パターン 45 PE−TEOS−SiO
46 レジストマスク 47 Cu膜
51 ゲート電極 52 LDD
53 SiN 53c サイドウオール
54 ソースドレイン 55 PE−SiN膜
56 BPSG膜 56a 絶縁膜
61 TEOS−SiOキャップ膜 62 コンタクトホールパターン
63 レジストマスク 64 TEOS−SiO
71 バリアメタル 72 W膜
73 Wプラグ 74 PE−SiNキャップ膜
81 TEOS−SiO 82 PE−SiN膜
83 配線パターン 84 ArFレジスト膜
83a 配線用の溝
101 バリアメタル膜 102 Cu膜
111a、111b 絶縁膜 112a、112b 溝パターン
113 ストライエーション
121 Si基板 122a TEOS−SiO
122b SiN膜 122c TEOS−SiO層間絶縁膜
122d p−SiN膜 123 TaN膜
124 Cu配線 131 Siウエハ
132 ゲート酸化膜 133 ゲート電極用の膜
133a a−Si膜 133b W膜
134 TEOS−SiO膜 135 反射防止膜
136 レジスト 137 ゲート電極構造
141 シリコンウエハ 142 熱酸化膜
142b ハードマスク 142c ハードマスク
142d シリコンウエハ 143 反射防止膜
144 レジストマスク 145 ゲート酸化膜
151 Si基板 152 ゲート酸化膜
153 積層膜 153a ポリシリコン膜
153b タングステン膜 154 SiO
154b ハードマスク 155 反射防止膜
156 レジスト 157 ゲート電極
161 トランジスタ作製領域 162層間絶縁膜
162a SiO膜 162b SiN膜
162c SiO膜 162d SiN膜
163 TaN 164 Cu配線
A Cuが侵入した部分
1 Si crystal 2 Gate oxide film 3 STI 4 Deep source drain 5 Drain 6 SiO 2 film 7 BPSG film 8 Cap film (SiN film)
9 TEOS-SiO 2 cap film 10 Barrier metal film (TiN film)
DESCRIPTION OF SYMBOLS 11 Poly Si film (amorphous Si film) 12 Tungsten film 13 Cu wiring film 21 Etching device 22 Vacuum exhaust means 23 Chamber 23a Plasma generating chamber 23b Substrate processing chamber 23c Cylindrical side wall 23d Top plates 24a, 24b, 24c Magnetic field coil 25 York member 26a Antenna coil 27 Substrate electrode 30 Gas introduction means S Processing substrate 31 Silicon wafer 32 SiO 2 film 33 SiN film 34 Resist mask 35 Groove pattern
35a STI structure 41 HDP-SiO 2 film 42 Gate oxide film 43 Polysilicon film (doped amorphous Si film)
44 Gate electrode pattern 45 PE-TEOS-SiO 2 film 46 Resist mask 47 Cu film 51 Gate electrode 52 LDD
53 SiN 53c Side wall 54 Source drain 55 PE-SiN film 56 BPSG film 56 a Insulating film 61 TEOS-SiO 2 cap film 62 Contact hole pattern 63 Resist mask 64 TEOS-SiO 2 film 71 Barrier metal 72 W film 73 W plug 74 PE -SiN cap film 81 TEOS-SiO 2 film 82 PE-SiN film 83 wiring pattern 84 ArF resist film 83a groove 101 barrier metal film 102 Cu film 111a for wiring, 111b insulating film 112a, 112b groove pattern 113 striations 121 Si substrate 122a TEOS-SiO 2 film 122b SiN film 122c TEOS-SiO 2 interlayer insulating film 122d p-SiN film 123 TaN film 124 Cu wiring 131 Si wafer 132 gate oxide film 133 gate electrode film 133a a-Si film 133b W film 134 TEOS-SiO 2 film 135 antireflection film 136 Resist 137 Gate electrode structure 141 Silicon wafer 142 Thermal oxide film 142b Hard mask 142c Hard mask 142d Silicon wafer 143 Antireflection film 144 Resist mask 145 Gate oxide film 1 51 Si substrate 152 Gate oxide film 153 Multilayer film 153a Polysilicon film 153b Tungsten film 154 SiO 2 film 154b Hard mask 155 Antireflection film 156 Resist 157 Gate electrode 161 Transistor fabrication region 162 Interlayer insulating film 162a SiO 2 film 162b SiN film 162c SiO 2 films 162d SiN film 163 TaN 164 Cu wiring A Cu intruded portion

本発明は、半導体装置及びその製造方法に関し、特に、脆弱なArF露光用レジストを損傷せずにエッチングできるようにしたことによって、ラインエッジラフネス(Line Edge Roughness:LER、ストライエーションともいう)の問題を解決して130nm以下の微細パターンを高精度に形成可能とした半導体装置及びその製造方法に係る。本発明はまた、脆弱なArF露光用レジストを損傷せずにエッチングできるドライエッチング方法及びこのドライエッチング方法を利用した配線材料の作製方法や、エッチング装置にも係わる。 The present invention relates to a semiconductor device and a method for manufacturing the same, and in particular, by enabling etching without damaging a fragile ArF exposure resist, there is a problem of line edge roughness (also referred to as LER or striation). The present invention relates to a semiconductor device and a method for manufacturing the same that can form a fine pattern of 130 nm or less with high accuracy by solving the above. The present invention also relates to a dry etching method capable of etching without damaging a fragile ArF exposure resist, a method for producing a wiring material using the dry etching method, and an etching apparatus .

Claims (22)

ArF露光技術を用いて形成されたパターンを有するレジストマスクで覆われた薄膜をプラズマ雰囲気中でドライエッチングして当該薄膜に上記パターンを転写する工程を備えた半導体装置の製造方法において、
パターン幅及びパターンとパターンとの間隔の両方又はそのいずれか一方が32〜130nmであるパターンを有するレジストマスクで覆われた薄膜を、エッチングガスとしてハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いてエッチングすることを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device comprising a step of dry etching a thin film covered with a resist mask having a pattern formed using ArF exposure technology in a plasma atmosphere and transferring the pattern to the thin film,
A thin film covered with a resist mask having a pattern whose pattern width and / or pattern spacing is 32 to 130 nm is used as an etching gas with a halogenated carbon compound gas (where halogen is F, I And at least one of Br and at least one of I and Br is 26% or less of the total amount of halogen atoms in atomic composition ratio).
上記薄膜が電気絶縁膜であることを特徴とする請求項1に記載の半導体装置の製造方法。 The method of manufacturing a semiconductor device according to claim 1, wherein the thin film is an electrical insulating film. 上記パターンが転写された薄膜をマスクにして当該薄膜の下地材料をエッチングすることを特徴とする請求項1又は2に記載の半導体装置の製造方法。 3. The method of manufacturing a semiconductor device according to claim 1, wherein the base material of the thin film is etched using the thin film to which the pattern is transferred as a mask. 上記下地材料がゲート電極用の膜又はSi基板であることを特徴とする請求項3に記載の半導体装置の製造方法。 4. The method of manufacturing a semiconductor device according to claim 3, wherein the base material is a gate electrode film or a Si substrate. 上記ゲート電極用の膜がW、Ti、Ta、CoもしくはNiを含む導電膜又はポリシリコン膜又は当該導電膜とポリシリコン膜との積層膜からなることを特徴とする請求項4に記載の半導体装置の製造方法。 5. The semiconductor according to claim 4, wherein the film for the gate electrode comprises a conductive film containing W, Ti, Ta, Co or Ni, a polysilicon film, or a laminated film of the conductive film and the polysilicon film. Device manufacturing method. 上記電気絶縁膜がC又はNを含む材料からなり、その比誘電率が、1.5以上、3.7以下の範囲であることを特徴とする請求項2に記載の半導体装置の製造方法。 3. The method of manufacturing a semiconductor device according to claim 2, wherein the electrical insulating film is made of a material containing C or N, and a relative dielectric constant thereof is in a range of 1.5 or more and 3.7 or less. 上記電気絶縁膜は層間絶縁膜であって、転写された上記パターンにダマシン法により更に金属配線材料を埋め込むことを特徴とする請求項6に記載の半導体装置の製造方法。 7. The method of manufacturing a semiconductor device according to claim 6, wherein the electrical insulating film is an interlayer insulating film, and a metal wiring material is further embedded in the transferred pattern by a damascene method. DRAM及びフラッシュメモリーから選ばれたメモリー、ロジックデバイス、システムLSI、又はこれらを一部に含む半導体装置を製造する際に、薄膜のエッチングに適用することを特徴とする請求項1乃至7のいずれか1項に記載の半導体装置の製造方法。 8. The method according to claim 1, wherein the method is applied to etching of a thin film when manufacturing a memory selected from a DRAM and a flash memory, a logic device, a system LSI, or a semiconductor device including a part thereof. 2. A method for manufacturing a semiconductor device according to item 1. ArF露光技術を用いて形成されたパターンを有するレジストマスクで覆われた薄膜をプラズマ雰囲気中でドライエッチングして得られた当該パターンが転写された薄膜を備えた半導体装置において、
上記薄膜は、パターン幅及びパターンとパターンとの間隔の両方又はいずれか一方が32〜130nmであるパターンを有するレジストマスクを用い、エッチングガスとしてハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いたエッチングにより上記レジストマスクから転写されたパターンを有することを特徴とする半導体装置。
In a semiconductor device provided with a thin film to which the pattern obtained by dry etching a thin film covered with a resist mask having a pattern formed by using ArF exposure technology in a plasma atmosphere is transferred.
The thin film uses a resist mask having a pattern with a pattern width and / or a pattern spacing of 32 to 130 nm, and a halogenated carbon compound gas (where halogen is F, I and It has a pattern transferred from the resist mask by etching using at least two types of Br, and at least one type of I and Br is 26% or less of the total amount of halogen atoms in terms of atomic composition ratio). Semiconductor device.
上記薄膜は電気絶縁膜であって、転写された上記パターンにダマシン法により埋め込まれた金属配線を更に備えることを特徴とする請求項9に記載の半導体装置。 The semiconductor device according to claim 9, wherein the thin film is an electrical insulating film, and further includes metal wiring embedded in the transferred pattern by a damascene method. 上記電気絶縁膜がC又はNを含む材料からなり、その比誘電率が、1.5以上、3.7以下の範囲であることを特徴とする請求項10に記載の半導体装置。 11. The semiconductor device according to claim 10, wherein the electrical insulating film is made of a material containing C or N, and has a relative dielectric constant in the range of 1.5 or more and 3.7 or less. ArF露光技術を用いて形成されたパターンを有するレジストマスクで覆われた薄膜がプラズマ雰囲気中でドライエッチングされてハードマスクとなされ、更にエッチングにより当該ハードマスクから上記パターンが転写された部分を備えた半導体装置において、
上記パターンが転写された部分は、パターン幅及びパターンとパターンとの間隔の両方又はいずれか一方が32〜130nmであるパターンを有するレジストマスクを用い、エッチングガスとしてハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いたエッチングにより上記レジストマスクから上記ハードマスクに転写され、当該ハードマスクからさらに転写されたパターンを有することを特徴とする半導体装置。
A thin film covered with a resist mask having a pattern formed using ArF exposure technology is dry-etched in a plasma atmosphere to form a hard mask, and further includes a portion where the pattern is transferred from the hard mask by etching. In semiconductor devices,
The portion to which the pattern is transferred uses a resist mask having a pattern in which the pattern width and / or the interval between the patterns is 32 to 130 nm, and a halogenated carbon compound gas (however, a halogen gas) Is transferred from the resist mask to the hard mask by etching using at least two of F, I, and Br, and at least one of I and Br is 26% or less of the total amount of halogen atoms in terms of atomic composition ratio). A semiconductor device comprising a pattern further transferred from the hard mask.
上記パターンが転写された部分は、ゲート電極用の膜又はSi基板であることを特徴とする請求項12に記載の半導体装置 13. The semiconductor device according to claim 12, wherein the portion to which the pattern is transferred is a film for a gate electrode or a Si substrate. 上記ゲート電極用の膜がW、Ti、Ta、CoもしくはNiを含む導電膜又はポリシリコン膜又は当該導電膜とポリシリコン膜との積層膜からなることを特徴とする請求項13に記載の半導体装置。 14. The semiconductor according to claim 13, wherein the gate electrode film is composed of a conductive film containing W, Ti, Ta, Co, or Ni, a polysilicon film, or a laminated film of the conductive film and a polysilicon film. apparatus. 上記半導体装置は、DRAM及びフラッシュメモリーから選ばれたメモリー、ロジックデバイス、システムLSI、又はこれらを一部に含むことを特徴とする請求項9乃至14のいずれか1項に記載の半導体装置。 15. The semiconductor device according to claim 9, wherein the semiconductor device includes a memory selected from a DRAM and a flash memory, a logic device, a system LSI, or a part thereof. ArF露光技術を用いて形成されたパターンを有するレジストマスクで覆われた薄膜をプラズマ雰囲気中でドライエッチングする方法において、
パターン幅及びパターンとパターンとの間隔の両方又はそのいずれか一方が32〜130nmであるパターンを有するレジストマスクで覆われた薄膜を、エッチングガスとしてハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いてエッチングし、上記パターンを転写することを特徴とするドライエッチング方法。
In a method of dry etching a thin film covered with a resist mask having a pattern formed using ArF exposure technology in a plasma atmosphere,
A thin film covered with a resist mask having a pattern whose pattern width and / or pattern spacing is 32 to 130 nm is used as an etching gas with a halogenated carbon compound gas (where halogen is F, I And at least one kind of Br, and at least one kind of I and Br is an atomic composition ratio of 26% or less of the total amount of halogen atoms, and the pattern is transferred. .
上記薄膜が電気絶縁膜であることを特徴とする請求項16に記載のドライエッチング方法。 The dry etching method according to claim 16, wherein the thin film is an electrical insulating film. 上記パターンが転写された薄膜をマスクにして下地材料をエッチングして、上記パターンを下地材料に転写することを特徴とする請求項16又は17に記載のドライエッチング方法。 18. The dry etching method according to claim 16, wherein the base material is etched using the thin film to which the pattern is transferred as a mask, and the pattern is transferred to the base material. 上記下地材料がゲート電極用の膜又はSi基板であることを特徴とする請求項18記載のドライエッチング方法。 19. The dry etching method according to claim 18, wherein the base material is a gate electrode film or a Si substrate. 上記ゲート電極用の膜がW、Ti、Ta、CoもしくはNiを含む導電膜又はポリシリコン膜又は当該導電膜とポリシリコン膜との積層膜からなることを特徴とする請求項19記載のドライエッチング方法。 20. The dry etching according to claim 19, wherein the gate electrode film comprises a conductive film containing W, Ti, Ta, Co or Ni, a polysilicon film, or a laminated film of the conductive film and the polysilicon film. Method. 上記電気絶縁膜がC又はNを含む材料からなり、その比誘電率が、1.5以上、3.7以下の範囲であることを特徴とする請求項17に記載のドライエッチング方法。 18. The dry etching method according to claim 17, wherein the electrical insulating film is made of a material containing C or N, and has a relative dielectric constant of 1.5 or more and 3.7 or less. ArF露光技術を用いて形成されたパターンを有するレジストマスクで覆われた電気絶縁膜である層間絶縁膜をプラズマ雰囲気中でドライエッチングして上記パターンを転写し、転写された上記パターンに金属配線材料を埋め込む配線材料の作製方法において、
パターン幅及びパターンとパターンとの間隔の両方又はそのいずれか一方が32〜130nmであるパターンを有するレジストマスクで覆われた薄膜を、エッチングガスとしてハロゲン化炭素化合物ガス(ただし、ハロゲンがF、I及びBrの少なくとも2種であり、I及びBrの少なくとも1種が原子組成比でハロゲン原子総量の26%以下である)を用いてエッチングして上記パターンを転写し、転写された上記パターンにダマシン法により金属配線材料を埋め込むことを特徴とする配線材料の作製方法。
An interlayer insulating film, which is an electrical insulating film covered with a resist mask having a pattern formed using ArF exposure technology, is dry-etched in a plasma atmosphere to transfer the pattern, and a metal wiring material is transferred to the transferred pattern In the manufacturing method of the wiring material to embed
A thin film covered with a resist mask having a pattern whose pattern width and / or pattern spacing is 32 to 130 nm is used as an etching gas with a halogenated carbon compound gas (where halogen is F, I And at least one of I and Br, and at least one of I and Br is an atomic composition ratio of 26% or less of the total amount of halogen atoms. A method for producing a wiring material, wherein a metal wiring material is embedded by a method.
JP2006553383A 2006-04-07 2006-04-07 Semiconductor device and manufacturing method thereof, dry etching method, wiring material manufacturing method, and etching apparatus Pending JPWO2007116515A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2006/307499 WO2007116515A1 (en) 2006-04-07 2006-04-07 Semiconductor device, process for producing the same, method of dry etching, and process for fabricating wiring material

Publications (1)

Publication Number Publication Date
JPWO2007116515A1 true JPWO2007116515A1 (en) 2009-08-20

Family

ID=38580824

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006553383A Pending JPWO2007116515A1 (en) 2006-04-07 2006-04-07 Semiconductor device and manufacturing method thereof, dry etching method, wiring material manufacturing method, and etching apparatus

Country Status (2)

Country Link
JP (1) JPWO2007116515A1 (en)
WO (2) WO2007116515A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009123866A (en) 2007-11-14 2009-06-04 Nec Electronics Corp Manufacturing method of semiconductor device, and treatment method of film to be etched
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP2012028431A (en) 2010-07-21 2012-02-09 Toshiba Corp Method of manufacturing semiconductor device
JP6053490B2 (en) 2011-12-23 2016-12-27 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
TWI642809B (en) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Method of etching semiconductor structures with etch gases
US9508589B2 (en) * 2014-01-03 2016-11-29 Qualcomm Incorporated Conductive layer routing
JP7261159B2 (en) 2017-06-08 2023-04-19 株式会社レゾナック Etching method

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI290741B (en) * 2000-11-08 2007-12-01 Daikin Ind Ltd Dry etching gas and method for dry etching
JP4568444B2 (en) * 2001-03-27 2010-10-27 株式会社アルバック Etching of thin films of materials containing porous silica deposited on a substrate
JP2002289594A (en) * 2001-03-28 2002-10-04 Nec Corp Semiconductor device and its manufacturing method
JP2004152862A (en) * 2002-10-29 2004-05-27 Fujitsu Ltd Method for manufacturing semiconductor device
JP2005123314A (en) * 2003-10-15 2005-05-12 Semiconductor Leading Edge Technologies Inc Pattern forming method
JP2005277375A (en) * 2004-02-27 2005-10-06 Nec Electronics Corp Semiconductor device manufacturing method
JP2005268321A (en) * 2004-03-16 2005-09-29 Matsushita Electric Ind Co Ltd Method of manufacturing semiconductor device
JP4523351B2 (en) * 2004-07-14 2010-08-11 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern

Also Published As

Publication number Publication date
WO2007116964A1 (en) 2007-10-18
WO2007116515A1 (en) 2007-10-18

Similar Documents

Publication Publication Date Title
JP3829162B2 (en) Method for forming conductive wiring of semiconductor element
TWI356446B (en) Methods to reduce the critical dimension of semico
US7939446B1 (en) Process for reversing tone of patterns on integerated circuit and structural process for nanoscale fabrication
JP5122106B2 (en) Carbon-containing film etching method and semiconductor device manufacturing method using the same
TW200524124A (en) Method for forming novel BARC open for precision critical dimension control
TWI384529B (en) Etch process for cd reduction of arc material
JPWO2007116515A1 (en) Semiconductor device and manufacturing method thereof, dry etching method, wiring material manufacturing method, and etching apparatus
TW200522203A (en) Method for fabricating semiconductor device
CN110890321A (en) Method for manufacturing semiconductor structure
US20110248385A1 (en) Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
US8125069B2 (en) Semiconductor device and etching apparatus
TW200824002A (en) Method for fabricating semiconductor device
US20090102025A1 (en) Semiconductor device and method for manufacturing the same, dry-etching process, method for making electrical connections, and etching apparatus
CN102034733A (en) Interconnecting structure and forming method thereof
JP2008198990A (en) Method of forming metal wiring in semiconductor device
TW200842971A (en) Semiconductor device, its manufacturing method, dry etching method, method for manufacturing wiring material and dry etching device
JP4768732B2 (en) Semiconductor device and manufacturing method thereof, dry etching method, wiring material manufacturing method, and etching apparatus
US7648910B2 (en) Method of manufacturing opening and via opening
JP2006032721A (en) Fabrication process of semiconductor device
KR100816719B1 (en) Method for fabrication of semiconductor device with improved protection of widening of critical dimension of pattern
KR100895230B1 (en) Semiconductor device and method for manufacturing the same, dry etching process and dry etching apparatus, and method for making electrical connection of the same
KR20090037504A (en) Semiconductor device and method for manufacturing the same, dry etching process and dry etching apparatus, and method for making electrical connection of the same
JP2009065093A (en) Manufacturing method for semiconductor device
KR20090044855A (en) Method for manufacturing semiconductor device
JP2002026020A (en) Method of manufacturing semiconductor device