JPS594056A - Formation of contact hole - Google Patents

Formation of contact hole

Info

Publication number
JPS594056A
JPS594056A JP11293582A JP11293582A JPS594056A JP S594056 A JPS594056 A JP S594056A JP 11293582 A JP11293582 A JP 11293582A JP 11293582 A JP11293582 A JP 11293582A JP S594056 A JPS594056 A JP S594056A
Authority
JP
Japan
Prior art keywords
insulating film
film
contact hole
opening
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11293582A
Other languages
Japanese (ja)
Inventor
Masaki Sato
正毅 佐藤
Sunao Shibata
直 柴田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Tokyo Shibaura Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Tokyo Shibaura Electric Co Ltd filed Critical Toshiba Corp
Priority to JP11293582A priority Critical patent/JPS594056A/en
Publication of JPS594056A publication Critical patent/JPS594056A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE:To provide a miniature and high precision through hole with good yield by forming a through hole in such dimension as is larger than the desired dimension and leaving as insulating film in high accuracy at the side wall of said hole without mask alignment. CONSTITUTION:A P type Si substrate 21 is isolated by an oxide film 22 and a gate oxide film 23, a phosphor added polycrystalline gate electrode 24 are formed. The N type layers 25a, 25b are formed by implanting As ion and the surface is covered with an SiO2 film 26 and an element is annealed in the N2 ambient. A hole 27 is bored in the dimension larger than the desired dimension by the photo etching method. Thereby the surface of gate electrode 24 is partly exposed in the hole 27. The surface is covered with SiO2 28 by the ion planting and a miniature electrode window can be obtained by covering the film 26 and the side wall of electrode 24 with the SiO2 film 28 being left by the reactive ion etching. Thereafter, an FET can be completed by providing Al wiring 29 and protection film 30. According to this structure, a margin of alignment can be made large at the time of forming a through hole and a hole can be opened in the vicinity of gate electrode 24. Thereby, areas of source, drain 25a, 25b can be reduced, realizing reduction in size of element and high integration density.

Description

【発明の詳細な説明】 〔発明の技術分野〕 本発明は、半導体製造技術に係わり、特に電気的接続を
とるためのコンタクトホール形成方法の改良に関する。
DETAILED DESCRIPTION OF THE INVENTION [Technical Field of the Invention] The present invention relates to semiconductor manufacturing technology, and particularly to improvements in a method for forming contact holes for establishing electrical connections.

〔発明の技術的背景とその問題点〕[Technical background of the invention and its problems]

近年、半導体装置の小形化及び高集積化がはかられ、所
謂集積回路(IC)、大規模集積回路(LSI)、さら
には超L8I  が試作開発されるに至っている。半導
体装置、特に集積回路の集積密度を向上させるためには
、その回路を構成する素子の寸法を益々小さくしていく
必要がある。このため、微細加工技術の進歩にはめざま
しいものがあり、光露光に用いられるフォトレジストは
ネガ型からより微細加工に適したポジ型へと、また露光
方式も密着露光からステップアンドリピート方式の縮小
露光、さらには電子線露光方式やX線露光方式等へと研
究開発が進んでいる。
In recent years, semiconductor devices have become smaller and more highly integrated, and prototypes of so-called integrated circuits (ICs), large-scale integrated circuits (LSIs), and even ultra-L8Is have been developed. In order to improve the integration density of semiconductor devices, especially integrated circuits, it is necessary to further reduce the dimensions of the elements constituting the circuits. For this reason, there have been remarkable advances in microfabrication technology, and the photoresists used for light exposure have changed from negative to positive resists, which are more suitable for microfabrication, and the exposure method has been reduced from contact exposure to step-and-repeat. Research and development is progressing into exposure methods, as well as electron beam exposure methods and X-ray exposure methods.

しかしながら、微細なパターンを正確に形成し、これを
半導体素子構造に置き換えていくことは容易ではなく、
種々の解決すべき問題が残っている。−例として加工寸
法の縮小は、その精度及び信頼性の意味において重大な
困難をもたらしており、特に微細な開孔パターン(コン
タクトホール)の形成はその形状からいっても最も困難
なものとされている。また、半導体装置の集積度を向上
させるためには、上記コンタクトホールな寸法的に小さ
くすることは勿論、例えばソース・ドレイン等の素子要
素を小さくしなければならない。しかし、コンタクトホ
ール形成の際には、例えば光露光技術段階において位置
合わせ誤差を考慮し、この誤差に対する合わせ余裕が不
可決となる。このため、第1図(alに示す如くコンタ
クトホール1と多結晶シリコンゲート2との間に一定の
間隔(位置合わせ余裕)を設けなければならない。なお
、図中、1g、、1bはソース・ドレイン領域を示して
いる。
However, it is not easy to accurately form fine patterns and replace them with semiconductor element structures.
Various problems remain to be resolved. -For example, the reduction of processing dimensions has brought about serious difficulties in terms of accuracy and reliability, and in particular, the formation of fine opening patterns (contact holes) is considered to be the most difficult task due to its shape. ing. Furthermore, in order to improve the degree of integration of a semiconductor device, it is necessary not only to reduce the size of the contact hole but also to reduce the size of element elements such as sources and drains. However, when forming a contact hole, alignment errors are taken into account, for example, at the light exposure technology stage, and alignment margins for these errors are not determined. Therefore, as shown in FIG. The drain region is shown.

そして、現在一般に用いられているステップアントリピ
ート方式の縮小露光装置を使用する場合、1〔μm〕程
度の合わせ余裕カー必要となっており、これが高集積化
への犬きfr障害となっている。
When using a step-and-repeat reduction exposure device that is currently commonly used, an alignment margin of about 1 [μm] is required, and this is an impediment to higher integration. .

−h記位置合わせ余裕を低減するために、最近セルファ
ラインコンタクト法と称される技術力1発表サレテイル
。例えば、J a p a n e s e J o 
u r n a 1of Applied Physi
cs 、 Volume 18(1979)P’255
〜260に8ELOC8(5elective 0xi
de Coatingof 8口1con Gate 
)法と称される技術力1発表されている。この方法では
、第2図(a)に示すカ0きシリコン基板II上にフィ
ールド酸化g12、ゲート酸化膜13及び憐ドープ多結
晶シリコンゲート14を形成した試オ゛1上(二、同図
rb+ζ=示す如く燐ドープ多結晶シリ6ンの酸化速度
力を単結晶シリコンのそれより速い性質を用し)、シリ
コン酸化膜I5をゲート14Fで特ζ二厚く形成する。
- h Note: In order to reduce the alignment margin, a technology called the self-line contact method has recently been announced. For example, J a p a n e se J o
u r na 1 of Applied Physi
cs, Volume 18 (1979) P'255
~260 to 8ELOC8 (5elective 0xi
de Coatingof 8 mouths 1 con Gate
) Technological power 1 known as law has been announced. In this method, a field oxidation film 12, a gate oxide film 13, and a doped polycrystalline silicon gate 14 are formed on a silicon substrate II shown in FIG. 2(a). As shown in FIG. 1, using the property that the oxidation rate of phosphorus-doped polycrystalline silicon is faster than that of single crystal silicon, a silicon oxide film I5 is formed to be particularly thick at the gate 14F.

なお、図中168,16b  はソース・ドレインを示
している。次に、第2図(C)1″−示すカロ<POC
l、中でシリコン酸化膜15の表面層をIJンシリケー
トガラス(PEG)膜17に変質す[7める。その後、
ソース・ドレイン16a、16bが露出するまでPSG
膜17をエツチングし、第2図(d+に示す如くゲート
14上及びその側部にのみシリコン酸化膜15を残す。
Note that 168 and 16b in the figure indicate the source and drain. Next, in Fig. 2 (C) 1″-showing Calo<POC
In step 1, the surface layer of the silicon oxide film 15 is transformed into an IJ fused silicate glass (PEG) film 17. after that,
PSG until source/drain 16a, 16b is exposed.
The film 17 is etched, leaving the silicon oxide film 15 only on the gate 14 and its sides, as shown in FIG. 2 (d+).

次いで、第2図(e)に示す如<Al配線膜18を形成
するようにしている。
Next, an Al wiring film 18 is formed as shown in FIG. 2(e).

しかして、この方法では前記コンタクトホール1を形成
するに際し、第1図(blに示す如くゲート2に対する
位置合わせ余裕が不要となるため、高集積化に極めて有
効である。七ころが、このような方法では前記ゲート1
4を覆うシリコン酸化膜15の耐圧が低いと云う問題が
ある。
Therefore, when forming the contact hole 1, this method does not require alignment margin with respect to the gate 2 as shown in FIG. In this method, the gate 1
There is a problem that the withstand voltage of the silicon oxide film 15 covering the silicon oxide film 4 is low.

また、多結晶シリコンを酸化し7シリコン酸化膜15を
形成することによって、ゲート14のやせ細りが生じる
。ゲート14の寸法が小さくなり膜厚が薄くなると、ゲ
ート14の抵抗増大を招く。さらに、前記シリコン酸化
膜15の耐圧を大きくするため多結晶シリコンを厚く酸
化する程、上記ゲート14のやせ細りが顕著となり、こ
れらの問題を同時に解決するのは困難であった。
Further, by oxidizing the polycrystalline silicon and forming the silicon oxide film 15, the gate 14 becomes thinner. When the dimensions of the gate 14 become smaller and the film thickness becomes thinner, the resistance of the gate 14 increases. Furthermore, the thicker the polycrystalline silicon is oxidized in order to increase the withstand voltage of the silicon oxide film 15, the thinner the gate 14 becomes, and it has been difficult to solve these problems at the same time.

〔発明の目的〕[Purpose of the invention]

本発明の目的は、電気的接続に供される微細なコンタク
トホールを歩留り良く形成することができ、かつコンタ
ク) yk−ル形成時の位置合わせ余裕を低減すること
ができ、半導体装置の高集積化に寄与し得るコンタクト
ホール形成方法を提供することにある。
An object of the present invention is to be able to form fine contact holes for electrical connection with a high yield, to reduce the alignment margin when forming contact holes, and to achieve high integration of semiconductor devices. It is an object of the present invention to provide a contact hole forming method that can contribute to the improvement of technology.

〔発明の概要〕[Summary of the invention]

本発明の骨子は、拡散層等の導電層と電気的接続をはか
るためのコンタクトホールを、デート電極等の導電膜に
近接する位置に形成するに際し、まず絶縁膜に所望のコ
ンタクトホールより大径の開孔な形成17、次いでこの
開孔の側壁に新たな絶縁膜を設けるようにして、上記開
孔を形成する際のパターン寸法に余裕を持たせると共に
位置合わせ余裕を低減することにある。
The gist of the present invention is that when forming a contact hole for electrical connection with a conductive layer such as a diffusion layer in a position close to a conductive film such as a date electrode, firstly, a contact hole having a diameter larger than that of the desired contact hole is formed in an insulating film. The purpose of this invention is to form an opening 17 and then provide a new insulating film on the side wall of the opening, thereby providing a margin for pattern dimensions when forming the opening and reducing alignment margin.

すなわち本発明は、導電層及びこの導電層上に絶縁して
設けられた第1の導電膜のそれぞれの上面に設けられた
第1の絶縁膜に、該絶縁膜上に設けられる第2の導電膜
とh配溝電層との接続に供されるコンタクトホールを形
成するに際し、前記第1の絶縁膜に前記′@1の導電膜
と隣接して所望のコンタクトホールより大径の開孔を形
成したのち、1紀開孔を含み前記導電層及び第1の絶縁
膜上に第2の絶縁膜を設け、次いでL記第2の絶縁膜を
一部エッチングし該絶縁膜を上記開孔の側壁部にのみセ
ルファラインで残存セしめるようにした方法である。ま
た、本発明は前記第1の絶縁膜に開化を形成する前工程
として、前記第1の導′亀膜上の該導電膜とL記第1の
絶縁膜との間に前記第2の絶縁膜とはエツチング特性の
異なる第3.の絶縁膜を設けておくようにした方法であ
る。
That is, the present invention provides a first insulating film provided on the upper surface of each of a conductive layer and a first conductive film insulated on the conductive layer, and a second conductive film provided on the insulating film. When forming a contact hole for connection between the film and the h-channel conductive layer, an opening having a diameter larger than the desired contact hole is formed in the first insulating film adjacent to the conductive film of '@1. After forming the first insulating film, a second insulating film is provided on the conductive layer and the first insulating film including the primary openings, and then a portion of the second insulating film L is etched to form the insulating film in the openings. In this method, only the side wall portions are left with self-alignment lines. Further, the present invention provides a method for forming the second insulating film between the conductive film on the first conductive film and the first insulating film L as a pre-process of forming an opening in the first insulating film. The third layer has different etching properties from the film. In this method, an insulating film is provided.

ここで、前記コンタクトホールの加工精麿を向トさせる
には、前記開孔の側壁部に第2の絶縁膜を残存せしめる
工程をマスク合わせなしに行う必要があり、これには第
2の絶縁膜を全面に設けたのち、異方性ドライエツチン
グ法により該絶縁膜を全面エツチングする方法が望まし
い。このとき、第2の絶縁膜の形成工程とし7ては、微
細な開孔にカバーレッジ良く形成で永るイオンブレーテ
ィング法が最も好ましいが、熱酸化法或いはCVD 法
であってもよい。さらに、第2の絶縁膜の材料と1.て
はシリコン酸化膜、シリコン窒化膜或いはこれらの複合
膜を用いればよい。
Here, in order to improve the processing precision of the contact hole, it is necessary to perform a step of leaving the second insulating film on the side wall of the opening without mask alignment. It is desirable to provide a film over the entire surface and then etch the entire surface of the insulating film by an anisotropic dry etching method. At this time, as the step 7 for forming the second insulating film, the most preferable method is the ion blasting method since it can form fine holes with good coverage, but a thermal oxidation method or a CVD method may also be used. Furthermore, the material of the second insulating film and 1. Alternatively, a silicon oxide film, a silicon nitride film, or a composite film thereof may be used.

また、開孔の側壁部に残存せしめる第2の絶縁膜をより
高精度に制御するためには、第2の絶縁膜を設けたのち
、この絶縁膜−ににコントロール用の被膜を堆積12、
次いでW方性ドライエツチング法によりコントロール用
被膜を全面エツチングし、その後E配性った被膜をマス
クとして第2の絶縁膜を選択エツチングする方法を用い
ればよい。このとき、コントロール用被膜としては多結
晶シリコン等の半導体、kl等の金属若しくはその合金
、或いはレジスト等の有機膜を用いることが可能である
In order to control the second insulating film remaining on the side wall of the opening with higher precision, after providing the second insulating film, a control film is deposited on the insulating film (12).
Next, a method may be used in which the control film is etched over the entire surface by a W-oriented dry etching method, and then the second insulating film is selectively etched using the E-oriented film as a mask. At this time, as the control film, it is possible to use a semiconductor such as polycrystalline silicon, a metal such as KL or an alloy thereof, or an organic film such as resist.

また、前記第1の糸色縁嗅に閉孔を形成する際、一般に
前記第1の導電膜の一部表面が露出することになるが′
、第1の導電膜を積極的に露出させる必要はない。つま
り、第1の導電膜が酋出する程度、開化を第1の導電膜
に前接した位置に形成すればよいのである。
Furthermore, when forming a closed hole in the first thread-colored edge, a part of the surface of the first conductive film is generally exposed.
, it is not necessary to actively expose the first conductive film. In other words, it is sufficient to form the opening at a position in front of the first conductive film to the extent that the first conductive film protrudes.

〔発明の効果〕〔Effect of the invention〕

本発明によれば、コンタクトホールの形成に際17、パ
ターニングすべ大開孔の寸法を所望のコンタクトホール
径よ()大きくすることができる。つまり、パターニン
グ技術において開孔しなければならない最小寸法を大き
くするこトカで〜るので、パターニング限界或いはそれ
以下の微細なコンタクトホールの形成に極めて有効であ
る。[7かも、開化の側壁部に残存せしめる絶縁膜の幅
をマスク合わ→士玉稈なしに高精度に制御することがで
きるので、微細なコンタクトホールをも歩留り良く形成
することができる。
According to the present invention, when forming a contact hole (17), the size of the large opening to be patterned can be made larger than the desired contact hole diameter. In other words, since it is possible to increase the minimum dimension that must be formed in patterning technology, it is extremely effective in forming fine contact holes that are at or below the patterning limit. [7] Since the width of the insulating film left on the side wall of the opening can be controlled with high precision without mask alignment → masking, even minute contact holes can be formed with a high yield.

このため、半導体装置、特に隼積回路の小型化及び高集
積化に有効である。
Therefore, it is effective for downsizing and highly integrating semiconductor devices, especially integrated circuits.

また、前記第1の絶縁膜に開孔を形成しこのあっても、
後続する第2の絶縁膜の残存工程により露出した第1の
導電膜°を披↑Wするこ)・ができるので、コンタクト
ホール形成の際の位置合わせ余裕の低減をはかり得る。
Further, even if an opening is formed in the first insulating film,
Since the exposed first conductive film can be removed by the subsequent remaining process of the second insulating film, it is possible to reduce the alignment margin when forming the contact hole.

したがっ゛〔、半導体装置のより一層の高集積化に寄巧
し得る等の効果を奏する。
Therefore, it has the effect of contributing to even higher integration of semiconductor devices.

また、前記ツル2の絶縁膜をイオンブレーティング法等
により形成すれば、前記第1の導電膜のやせ細りを招く
ことなく開孔側壁部に残す第2の絶縁膜の残存幅を十分
厚くすることができる。このため、FFI述したS 1
8 L (、) CS法に比してもその効果は絶大であ
る。
Furthermore, if the insulating film of the temple 2 is formed by an ion blating method or the like, the remaining width of the second insulating film left on the side wall of the opening can be made sufficiently thick without causing thinning of the first conductive film. I can do it. For this reason, FFI mentioned S 1
8 L (,) The effect is tremendous compared to the CS method.

〔発明の実施例〕[Embodiments of the invention]

第3図(a)〜ff)は本発明の第1の実施例に係わる
MOS  )ランジスタfiA造工程を示す断面図であ
る。まず、第3図(lに示す如く比抵抗5〜50〔Q−
m)(7)P型(ioo) i/!J :+ y71.
板2Iを用意し、この基板21の菓子分離領域にフィー
ルド酸化膜22を埋め込むと共に、素子形成領域上にゲ
ート酸化膜23及び燐ドープ多結晶シリコン膜からなる
ゲート電極(第1の導電膜)24を形成する。続いて、
ゲート電極24をマスクどしてシリコン基板2ノにAs
イオンを加速電圧40(KeV)、ドーズ量lXl0”
〔啓ゼ〕でイオン注入し、ソース・ドレイン領域(導電
1ift)2sn、zsb  を形成する。次いで、気
相成長法を用い、」−記試料上に第2図(b)に示す如
くシリコン酸化膜(第1の絶縁膜)26を1〔μm〕程
度形成し、その複核試料を1000(’C)  窒素雰
囲気中で20分間アニールする。次いで、フォトリング
ラフィ技術により図示しないレジストの開孔パターンを
形成し、このパターンをマスクとしてシリコン酸化膜2
6をエツチングし第3図fc)に示す如く開孔27を形
成する。このとき、開孔27の大きさは必蜆とするコン
タクトホール径より大きくした。また、レジストのパタ
ーンニングには10:1ステツプアンドリピート型光露
光装置を用い、開孔27が前記ゲート電極24に隣接す
る位置に形成されるようにした。これにより、ゲート電
極24はその一部表面が開孔27内に露出された。
3(a) to ff) are cross-sectional views showing the manufacturing process of the MOS transistor fiA according to the first embodiment of the present invention. First, as shown in Figure 3 (l), the specific resistance is 5 to 50 [Q-
m) (7) P type (ioo) i/! J:+y71.
A plate 2I is prepared, a field oxide film 22 is embedded in the confectionery isolation region of this substrate 21, and a gate oxide film 23 and a gate electrode (first conductive film) 24 made of a phosphorous-doped polycrystalline silicon film are formed on the element formation region. form. continue,
Masking the gate electrode 24, As is applied to the silicon substrate 2.
Accelerating ions at a voltage of 40 (KeV) and a dose of lXl0”
Ion implantation is performed using [Keise] to form source/drain regions (conductive 1ift) 2sn, zsb. Next, using a vapor phase growth method, a silicon oxide film (first insulating film) 26 of about 1 [μm] was formed on the specimen as shown in FIG. 'C) Anneal for 20 minutes in nitrogen atmosphere. Next, a hole pattern (not shown) is formed in a resist using photolithography technology, and the silicon oxide film 2 is formed using this pattern as a mask.
6 to form an opening 27 as shown in FIG. 3 fc). At this time, the size of the opening 27 was made larger than the required contact hole diameter. Further, a 10:1 step-and-repeat type light exposure apparatus was used for patterning the resist, so that an opening 27 was formed at a position adjacent to the gate electrode 24. As a result, a portion of the surface of the gate electrode 24 was exposed within the opening 27.

次に、気相成長法を用い上記試料上の全面に、第3図(
fl)に示す如くシリコン酸化膜(第2の絶縁膜)28
を4000(A)  程度形成する。次いで、リアクテ
ィブイオンエツチング法を用い、第3図(elに示す如
くシリコン酸化膜28を約4000(A)]  全全面
エラチンする。これにより、前記開孔27の側壁、すな
わち前記露出したゲート電極24及びシリコン酸化膜2
6の側壁を覆うようにシリコン酸化膜28が残存される
Next, using the vapor phase growth method, the entire surface of the sample was coated as shown in FIG.
As shown in fl), a silicon oxide film (second insulating film) 28
4000(A). Next, using a reactive ion etching method, the entire surface of the silicon oxide film 28 is etched to about 4000 (A) as shown in FIG. 24 and silicon oxide film 2
A silicon oxide film 28 remains so as to cover the side walls of 6.

そして、シリコン酸化膜28で囲まAtた部分がコンタ
クトホールとして用いられることになる。
Then, the portion surrounded by the silicon oxide film 28 will be used as a contact hole.

なお、これ以降は第3図(flに示す如く、A/配線膜
(第2の導電膜)29及び保護膜30等を形成すること
によってNチャンネルMO8)ランジスタが作製される
ことになる。
From this point on, an N-channel MO8 transistor is manufactured by forming the A/wiring film (second conductive film) 29, protective film 30, etc. as shown in FIG. 3 (fl).

かくして本実施例によれば、コンタクトボール形成に際
し、パターンニングすべき開孔27の寸法を必要とする
コンタクトホールよ奄)太きくすることができ、パター
ニングの容易化をはかり得る。また、光露光技術による
バクーンニング時にレジストの開孔パターンを前記ゲー
ト電極24にぎりぎりまで接近させて設計することが可
能である。1.0 : tステップアンドリピート型光
露光装置の重ね合わせ精度は約0.3〔μm〕とするこ
とができるので、上記のようなマスク設計でレジストパ
ターンを形成すれば開孔27はゲート電極24側にずれ
たとしてもせいぜい0.3〔μm〕 である。この程度
のずれによるゲート電極24の露出部は後続するシリコ
ン酸化膜28の残存工程により完全に被覆されて1、ま
うので、絶縁」二の問題はない。したがって、コンタク
トホール形成時の位置合わせ余裕を低減干ることができ
、ゲート電極24の近傍にコンタクトホールな形成する
ことができる。このため、ソース・ドレイン領域25a
、25b  の面積を小さくすることができ、これによ
り素子の小型化および高集積化をはかり得る。
Thus, according to this embodiment, when forming a contact ball, the size of the opening 27 to be patterned can be made thicker than the required contact hole, and patterning can be facilitated. Further, it is possible to design the opening pattern of the resist to be as close as possible to the gate electrode 24 during back-cooning using a light exposure technique. 1.0: tThe overlay accuracy of a step-and-repeat type light exposure device can be approximately 0.3 [μm], so if a resist pattern is formed with the mask design as described above, the opening 27 will become the gate electrode. Even if it deviates to the 24 side, it is at most 0.3 [μm]. The exposed portion of the gate electrode 24 due to this degree of deviation is completely covered by the remaining silicon oxide film 28 in the subsequent process, so there is no problem with insulation. Therefore, the alignment margin when forming the contact hole can be reduced, and the contact hole can be formed in the vicinity of the gate electrode 24. Therefore, the source/drain region 25a
, 25b can be reduced, which allows the device to be made smaller and more highly integrated.

第4図(a)〜(C)は第2の実施例工程を示す断面図
である。この実施例が先に説明1−yだ実施例と異なる
点は、前記シリコン酸化膜26を形成する前工程として
、ゲート電極24ヒにシリコン窒化膜(第3の絶縁膜2
3)を形成することにある。すなわち、前記ゲート′咀
極24を形成する際に、予めゲート電極24となる多結
晶シリコン膜上にシリコン窒化膜31を形成しておき。
FIGS. 4(a) to 4(C) are cross-sectional views showing the steps of the second embodiment. This embodiment differs from the embodiment described in 1-y above in that a silicon nitride film (a third insulating film 2
3). That is, when forming the gate electrode 24, a silicon nitride film 31 is previously formed on the polycrystalline silicon film that will become the gate electrode 24.

パターニングにより第4図(alに示す如くシリコン窒
化膜31およびゲート電極24を形成する。
By patterning, a silicon nitride film 31 and a gate electrode 24 are formed as shown in FIG. 4 (al).

その後、前記したシリコン酸化Itψ26の形成及び選
択エツチング1程を経て第4図tb+に示す如く開孔2
7を形成する。この場合、開孔27の位置が多層ずれて
もゲート電極24の上面が露出することはない。続いて
、前記したシリコン酸化膜28の形成及び全面エツチン
グ工程を経て、第4図(C)に示す如く開孔27の側壁
部にシリコン酸化膜28を残存せしめる。これ以降も先
の実施例と同様の工程を経てMOS  )ラソジスタが
作成されることになる。
Thereafter, through the formation of the silicon oxide Itψ26 described above and selective etching step 1, the opening 2 is formed as shown in FIG. 4 tb+.
form 7. In this case, even if the position of the opening 27 is shifted in multiple layers, the upper surface of the gate electrode 24 will not be exposed. Subsequently, the silicon oxide film 28 is formed and the entire surface is etched as described above, so that the silicon oxide film 28 is left on the side wall of the opening 27 as shown in FIG. 4(C). From this point on, a MOS resistor is manufactured through the same steps as in the previous embodiment.

かくして本実施例によれば、先の実施例と同様の効果は
勿論、次のような効果奪奏する。すなわち、前記開孔2
7の形成位置力を設H[イ立置力1ら大きくずれたとし
ても、開孔、?7に露出するゲート電極24はその側面
のみである(第4図(b))。そして、開孔27の側壁
をなすゲート電極24の側面はシリコン酸イヒ膜28盈
二て完全1=覆われる(第4図(C))。したカニって
、開孔27の形成位置が大きくずれても絶縁上の問題#
まなく、これにより位置合わせ余裕を先の実施イ列以上
に低減することができる。このため、先の実施例で用い
た露光装置よ〜〕も重ね合わせ余裕Q)少ない一括転写
方式の露光装置−等を用T、%ることも可能となる。
Thus, according to this embodiment, not only the same effects as the previous embodiment but also the following effects can be achieved. That is, the opening 2
Setting the formation position force of 7. Only the side surfaces of the gate electrode 24 are exposed to the surface of the gate electrode 7 (FIG. 4(b)). Then, the side surface of the gate electrode 24 forming the side wall of the opening 27 is completely covered by the silicon oxide film 28 (FIG. 4(C)). Even if the formation position of the hole 27 is significantly shifted, it will cause insulation problems#
This makes it possible to reduce the alignment margin more than in the previous implementation. Therefore, it is possible to use an exposure apparatus using a batch transfer method, which has a small overlap margin (Q), compared to the exposure apparatus used in the previous embodiment.

第5図(a)〜(clは第3の実施例工程を示す断面図
である。この実施例力を先の第1の実施fljと異なる
点は、前記シリコン酸イヒ膜28の残存ニオ呈にある。
FIGS. 5(a) to (cl) are cross-sectional views showing the steps of the third embodiment. The difference between this embodiment and the previous first embodiment is that the remaining nitric oxide film 28 is It is in.

すなわち、前記第3図(d)(−示したシリコン酸化膜
28の形成工程献第5図(a);二示す如くシリコン酸
化膜28上1ニレジスト、?2を塗布形成する。次いで
、異方性ドライエ゛ソチング法を用い、第5図rb)に
示す如くレジスト32を全面エツチングし、開化側壁部
にのみレジスト592を残存せしめる。続いて1.ヒ紀
残ったレジスト32をマスクとしてシリコン酸化膜28
を選択エツチングし、その後レジスト32を除去するこ
とによって、第5図(CIに示す如く開孔27の側壁部
にのみシリコン酸化膜28を残存させることができた。
That is, as shown in FIG. 3(d) (-) is the formation process of the silicon oxide film 28, and as shown in FIG. Using a dry etching method, the entire surface of the resist 32 is etched as shown in FIG. 5 (rb), leaving the resist 592 only on the exposed sidewalls. Next is 1. Silicon oxide film 28 using the remaining resist 32 as a mask
By selectively etching and then removing the resist 32, it was possible to leave the silicon oxide film 28 only on the side wall of the opening 27, as shown in FIG. 5 (CI).

したがって本実施例によれば、先の第1の実施例と同様
の効果を奏するのは勿論、開孔27の側壁に残存せしめ
るシリコン酸化膜28の残存幅制御をより高精度に行う
ことが可能となる。
Therefore, according to this embodiment, not only can the same effects as in the first embodiment described above be achieved, but also the remaining width of the silicon oxide film 28 remaining on the side wall of the opening 27 can be controlled with higher precision. becomes.

なお、本発明は上述した実施例に限定されるものではな
い。例えば、前記第1の絶縁膜は1層に限るものではな
く、2層以J=の多層構造であっても何ら差し支えない
。また、前記第2の絶縁膜は気相成長法によるシリコン
酸化膜に限るものではなく、気相成長シリコンψ化膜、
或いはシリコンの熱酸化によるシリコン酸化膜やシリコ
ン窒化膜等であってもよく、さらにはこれらを組み合わ
せた多層膜でもよい。さらに、第1の絶縁膜に形成する
開孔の寸法h、所望するコンタクトホール寸法及び第2
の絶縁膜の残存幅等の条件に応じて適宜定めればよい。
Note that the present invention is not limited to the embodiments described above. For example, the first insulating film is not limited to one layer, and may have a multilayer structure of two or more layers. Furthermore, the second insulating film is not limited to a silicon oxide film grown using a vapor phase growth method;
Alternatively, it may be a silicon oxide film or a silicon nitride film formed by thermal oxidation of silicon, or a multilayer film combining these. Furthermore, the dimension h of the opening to be formed in the first insulating film, the desired contact hole dimension, and the second
It may be determined as appropriate depending on conditions such as the remaining width of the insulating film.

また、前記第3の絶縁膜はシリコン窒化膜に限るもので
はなく、第2の絶縁膜とエツチング特性の異なるもので
あればよい。さらに、前記コントロール用被膜としての
レジストの代りに、多結晶シリコン、シリコン窒化膜或
いは/l膜等を用いることも可能である。また、MOS
  )ランジスタに限らず、第1の導体膜の側部近傍に
該導体膜と接続しないコンタクトホールを形成する必要
のある各種半導体装置の製造に適用することが可能であ
る。つまり、前記導体層はソース・ドレイン領域に限定
されるものではなく、多結晶シリコンやAl配線等の導
電膜であってもよい。要するに本発明は、その要旨を逸
脱しない範囲で、種々変形17て実施することができる
Further, the third insulating film is not limited to a silicon nitride film, but may be any film having etching characteristics different from those of the second insulating film. Furthermore, instead of the resist as the control film, it is also possible to use polycrystalline silicon, silicon nitride film, /l film, or the like. Also, MOS
) The present invention is applicable not only to transistors but also to the manufacture of various semiconductor devices in which it is necessary to form a contact hole near the side of a first conductor film that is not connected to the conductor film. That is, the conductive layer is not limited to the source/drain region, but may be a conductive film such as polycrystalline silicon or Al wiring. In short, the present invention can be implemented with various modifications 17 without departing from the gist thereof.

【図面の簡単な説明】[Brief explanation of the drawing]

@1図(81、(h)はコンタクトホール形成における
位置合わせ余裕の問題を説明するための模式図、第2図
(a)〜(elは従来のMOS)ランジスタ製造工程を
示す断面図、第3図(at〜げ)は本発明の第1の実施
例に係わるMOSトランジスタ製造工程を示す断面図、
第4図(al〜(C1は第2の実施例工程を示す断面図
、第5図(al〜(C)は第3の実施例工程を示す断面
図である。 21・・・シリコン基板、22・・・フィールド酸化膜
、23・・・ゲート酸化膜、24・・・ゲート電極(第
1の導電膜)、25a、25b・・・ソース・ドレイン
領域(導電層)、26・・・シリコン酸化膜(第1の絶
縁膜)、27・・・開孔、28・・シリコン酸化膜(第
2の絶縁膜)、29・・・A、J配線膜(第2の導電膜
)、3o・・・保護嗅、31・・・シリコン窒化H(3
3の絶縁膜)、32・・・レジスト(コントロール用被
膜)。 出願人代理人 弁理士 鈴 江 武 彦第1図 (a) (1)) 第2図 (a
@1 Figure (81, (h) is a schematic diagram for explaining the problem of alignment margin in contact hole formation, Figures 2 (a) to (el is a conventional MOS) sectional view showing the transistor manufacturing process, Figure 3 (at to ge) is a cross-sectional view showing the manufacturing process of a MOS transistor according to the first embodiment of the present invention;
FIG. 4 (al~(C1) is a sectional view showing the process of the second embodiment, FIG. 5 (al~(C) is a sectional view showing the process of the third example. 21...Silicon substrate, 22... Field oxide film, 23... Gate oxide film, 24... Gate electrode (first conductive film), 25a, 25b... Source/drain region (conductive layer), 26... Silicon Oxide film (first insulating film), 27... Opening, 28... Silicon oxide film (second insulating film), 29... A, J wiring film (second conductive film), 3o. ...protective odor, 31...silicon nitride H (3
3), 32...resist (control film). Applicant's agent Patent attorney Takehiko Suzue Figure 1 (a) (1)) Figure 2 (a)

Claims (1)

【特許請求の範囲】 (11導電層及びこの導′Il1層上に絶縁して設けら
れた第1の導電膜のそれぞれの上面に設けられた第1の
絶縁膜に、該絶縁膜上に設けられる第2の導電膜と上記
導電層との接続に供されるコンタクトホールを形成する
方法において、前記第1の絶縁膜に前記第1の導電膜と
隣接して所望のコンタクトホールより大径の開孔を形成
する工程と、次いで上記開孔を含み前記導電層及び第1
の絶縁膜上に第2の絶縁膜を設ける工程と、しかるのち
E記第2の絶縁膜を一部エッチングし該絶縁膜を上記開
孔の側壁部にのみセルファラインで残存せしめる工程と
を具備したことを特徴とするコンタクトホール形成方法
。 (2)前記導電層は、半導体基板の表面に形成されたソ
ース領域或いはドレイン領域であることを特徴とする特
許請求の範囲第1項記載のコンタクトホール形成方法。 (3)前記第2の導電膜は、2層目の配線として用いら
れるAJ膜或いはA7合金膜であることを特徴とする特
許請求の範囲第1項記載のコンタクトホール形成方法。 (4)前記第2の絶縁膜は、イオンブレーティング法或
いは熱酸化法により形成したシリコン酸化膜であること
を特徴とする特許請求の範囲第1項記載のコンタクトホ
ール形成方法。 (5)前記第2の絶縁膜を前記開化の側壁部にのみ残存
せしめる工程として、異方性エツチング法により上記@
2の絶縁膜を全面エツチングすることを特徴とする特許
請求の範囲第1項記載のコンタクトホール形成方法。 (6)前記第2の絶縁膜を前記開孔の側壁部にのみ残存
せしめる工程として、」二記第2の絶縁膜を設けたのち
、この第2の絶縁膜上jニレジストを塗布し、次いで異
方性エツチング法により上記レジストを全面エツチング
し該レジストを前記開孔の側壁部にのみ残存せしめ、し
かるのち上記レジストをマスクとして上記第2の絶縁膜
を選択エツチングすることを特徴とする特許請求の範囲
第1項記載のコンタクトホール形成方法。 (7)  導電層及びこの導電層上に絶縁して設けられ
た第1の導電膜のそれぞれの上面に設けられたα゛31
の絶縁膜に、該絶縁膜上に設けられる第2の導電膜と上
記導電層との接続に供されるコンタクトホールを形成す
る方法において、予め前記第1の導電膜上の該導電膜と
前記第1の絶縁膜との間に第3の絶縁膜を設ける工程と
、次いで前記第1の絶縁膜に上記第3の絶縁膜と隣接し
て所望のコンタクトホールより大径の開孔な形成する工
程と、次いで上記開孔な含み前記導電層及び第3の絶縁
膜」二に上記第3の絶縁膜とエツチング特性の異なる第
2の絶縁膜を設ける工程と、しかるのち上記第2の絶縁
膜を一部エッチングし該絶縁膜なギl記開孔の側壁部に
のみセルファラインで残存せしめる工程とを具備したこ
とを特徴とするコンタクトポール形成
[Scope of Claims] In the method of forming a contact hole for connection between a second conductive film and the conductive layer, a contact hole having a diameter larger than a desired contact hole is formed in the first insulating film adjacent to the first conductive film. forming an opening in the conductive layer and the first conductive layer including the opening;
a step of providing a second insulating film on the insulating film, and then a step of etching a portion of the second insulating film described in E to leave the insulating film only on the side wall of the opening as a self-line. A contact hole forming method characterized by: (2) The contact hole forming method according to claim 1, wherein the conductive layer is a source region or a drain region formed on a surface of a semiconductor substrate. (3) The contact hole forming method according to claim 1, wherein the second conductive film is an AJ film or an A7 alloy film used as a second layer wiring. (4) The contact hole forming method according to claim 1, wherein the second insulating film is a silicon oxide film formed by an ion blating method or a thermal oxidation method. (5) As a step of leaving the second insulating film only on the side wall portion of the opening, the above @
2. The contact hole forming method according to claim 1, wherein the insulating film No. 2 is etched over the entire surface. (6) As the step of leaving the second insulating film only on the side wall of the opening, after providing the second insulating film, coating the second insulating film with a resist, and then A patent claim characterized in that the entire surface of the resist is etched by an anisotropic etching method so that the resist remains only on the side wall of the opening, and then the second insulating film is selectively etched using the resist as a mask. The contact hole forming method according to item 1. (7) α゛31 provided on the upper surface of each of the conductive layer and the first conductive film provided insulated on the conductive layer.
In the method of forming a contact hole in an insulating film for connection between a second conductive film provided on the insulating film and the conductive layer, the conductive film on the first conductive film and the conductive layer are formed in advance. a step of providing a third insulating film between the first insulating film, and then forming an opening in the first insulating film adjacent to the third insulating film and having a diameter larger than a desired contact hole. a second insulating film having different etching characteristics from the third insulating film; Contact pole formation characterized by comprising a step of etching a portion of the insulating film so that a self-line remains only on the side wall of the opening of the insulating film.
JP11293582A 1982-06-30 1982-06-30 Formation of contact hole Pending JPS594056A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11293582A JPS594056A (en) 1982-06-30 1982-06-30 Formation of contact hole

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11293582A JPS594056A (en) 1982-06-30 1982-06-30 Formation of contact hole

Publications (1)

Publication Number Publication Date
JPS594056A true JPS594056A (en) 1984-01-10

Family

ID=14599170

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11293582A Pending JPS594056A (en) 1982-06-30 1982-06-30 Formation of contact hole

Country Status (1)

Country Link
JP (1) JPS594056A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61172351A (en) * 1984-09-26 1986-08-04 テキサス インスツルメンツ インコ−ポレイテツド Ic and making thereof
JPS61179555A (en) * 1984-09-26 1986-08-12 テキサス インスツルメンツ インコ−ポレイテツド Making of ic

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61172351A (en) * 1984-09-26 1986-08-04 テキサス インスツルメンツ インコ−ポレイテツド Ic and making thereof
JPS61179555A (en) * 1984-09-26 1986-08-12 テキサス インスツルメンツ インコ−ポレイテツド Making of ic

Similar Documents

Publication Publication Date Title
US6798027B2 (en) Semiconductor device including gate insulation films having different thicknesses
JP3406302B2 (en) Method of forming fine pattern, method of manufacturing semiconductor device, and semiconductor device
JPH0358173B2 (en)
JPS624867B2 (en)
JPH0214782B2 (en)
JPS594057A (en) Formation of contact hole
JPS60138971A (en) Manufacture of semiconductor device
JPS594056A (en) Formation of contact hole
JPH04107831A (en) Manufacture of semiconductor device
JPH0524658B2 (en)
CN115424924A (en) Manufacturing and etching method of polysilicon gate for manufacturing MOS (metal oxide semiconductor) tube gate
JPH0113230B2 (en)
JPH0327521A (en) Manufacture of mos-type transistor
KR100910222B1 (en) Method of manufacturing semiconductor device applied dual gate oxide
JPH0653519A (en) Semiconductor non-volatile memory and fabrication thereof
US5821165A (en) Method of fabricating semiconductor devices
KR100677992B1 (en) Method for manufacturing in semiconductor device
KR100253344B1 (en) Manufacturing method for contact hole of semiconductor memory
JPH05343515A (en) Semiconductor device and its manufacture
JPH0456354A (en) Manufacture of semiconductor device
JPS59124767A (en) Manufacture of semiconductor-integrated circuit device
KR20030056339A (en) Method for forming gate oxide of merged semiconductor device
JPH01264267A (en) Mis semiconductor element and its manufacture
JPS63207154A (en) Manufacture of semiconductor device
JPS6032990B2 (en) Manufacturing method of semiconductor device