JPH10187790A - 論理回路シミュレータ - Google Patents

論理回路シミュレータ

Info

Publication number
JPH10187790A
JPH10187790A JP8354365A JP35436596A JPH10187790A JP H10187790 A JPH10187790 A JP H10187790A JP 8354365 A JP8354365 A JP 8354365A JP 35436596 A JP35436596 A JP 35436596A JP H10187790 A JPH10187790 A JP H10187790A
Authority
JP
Japan
Prior art keywords
result
register
logic circuit
signal
logic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8354365A
Other languages
English (en)
Other versions
JP2904172B2 (ja
Inventor
Junichiro Minamitani
淳一郎 南谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP8354365A priority Critical patent/JP2904172B2/ja
Publication of JPH10187790A publication Critical patent/JPH10187790A/ja
Application granted granted Critical
Publication of JP2904172B2 publication Critical patent/JP2904172B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】 【課題】ソフトウェア互換性を持つが実行タイミングが
異なる2つのCPUの動作が一致していることをシミュ
レーション結果に基づき検証可能とする方式の提供。 【解決手段】2つの異なるシミュレーションの実行結果
から、命令実行の区切りのタイミングを検出し、その時
点におけるフリップフロップ等の記憶素子の状態値を比
較することにより、2つのCPUがソフトウェア互換性
を有することを確認する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、論理回路シミュレ
ータに関し、論理検証等に用いて好適な2つのシミュレ
ーション結果同士の自動照合技術に関する。
【0002】
【従来の技術】論理回路シミュレータにおける2つのシ
ミュレーション結果同士の照合する方法に関する、従来
の技術を、図2に示す。
【0003】図2を参照して、シミュレーション実行対
象である回路情報201と、テストパタン202とを入
力し、シミュレーション203を実行する。
【0004】次に、シミュレーション結果205と予め
作成された期待値パタン204と、を比較手段206に
て比較し、その結果207を出力する。その際、期待値
パタン204は、別のシミュレーションでの出力結果で
あることが多い。
【0005】従来の技術において、2つのシミュレーシ
ョン結果同士を比較する方法について、具体的な回路例
を参照して説明する。
【0006】図3は、簡単なCPUの構成の一例を示す
図である。
【0007】このCPUは、デコーダ・シーケンサ30
1と、命令レジスタ(IR)302と、インクリメンタ
(INC)303と、プログラムカウンタ(PC)30
4と、Aレジスタ305、Bレジスタ306と、CPU
内データバス307と、ALU(算術論理演算装置)3
08と、T0レジスタ309と、T1レジスタ310
と、ALU出力バッファ311と、TAレジスタ312
と、アドレス出力セレクタ313と、データバスバッフ
ァ314と、定数0(ゼロ)出力バッファ315と、を
備えて構成される。
【0008】デコーダ・シーケンサ301の詳細を図1
2に示す。
【0009】デコーダ・シーケンサ301は、クロック
に同期したラッチ1201と、デコード結果から、制御
信号と次ステートを生成するデコード回路1202と、
からなる。デコード回路1202の出力により、CPU
内のすべての回路の動作を制御する。
【0010】デコーダ・シーケンサ301の動作の詳細
を図4〜図11のフローチャートに示す。フローチャー
トにおいて、1つの処理は1クロックで実行される。な
お、図4〜図11のフローチャートは後に説明する。
【0011】再び、図3を参照して、命令レジスタ(I
R)302は、8ビットのレジスタであり、WR_IR
信号が“1”の時に、データバス307からデータを読
み込む。
【0012】インクリメンタ(INC)303は、16
ビットの1加算器であり、PC304の出力に「1」を
加算した結果を出力する。
【0013】プログラムカウンタ(PC)304は、1
6ビットのレジスタであり、上位8ビットと下位8ビッ
ト毎にデータバス307との間でデータを読み書きす
る。INC_PC信号が“1”の時に、INC303の
出力を読み込み、PCH_WR信号が“1”のときにデ
ータバス307からのデータをPC304の上位8ビッ
トに読み込み、PCL_WR信号が“1”の時にデータ
バス307からデータをPC304の下位8ビットに読
み込む。
【0014】また、PCL_RD信号が“1”の時にP
C304の下位8ビットをデータバス307に出力し、
PCH_RD信号が“1”の時にPC304の上位8ビ
ットをデータバス307に出力する。
【0015】Aレジスタ305は、8ビットのレジスタ
であり、RD_A信号が“1”の時にデータバス307
に出力し、WR_A信号が“1”の時にデータバス30
7からデータを読み込む。
【0016】Bレジスタ306は、8ビットのレジスタ
であり、RD_B信号が“1”の時にデータバス307
に出力し、WR_B信号が“1”の時にデータバス30
7からデータを読み込む。
【0017】ALU308は、T0レジスタ309とT
1レジスタ310の内容を加算する。ALU308の出
力は、ALU_OUT信号が“1”の時にALU出力バ
ッファ311を介して、データバス307に出力され
る。
【0018】T0レジスタ309は、8ビットのレジス
タで、WR_T0信号が“1”の時にデータバス307
からデータを読み込み、RD_T0信号が“1”の時に
データバス307に出力する。
【0019】T1レジスタ310は、8ビットのレジス
タで、WR_T1信号が“1”の時にデータバス307
からデータを読み込む。
【0020】TAレジスタ312は、16ビットのレジ
スタで、上位8ビットと下位8ビット毎にデータバス3
07との間でデータを読み書きする。
【0021】TAH_WR信号が“1”の時にデータバ
ス307から上位8ビットに書き込み、TAL_WR信
号が“1”の時にデータバス307から下位8ビットに
書き込む。TAH_RD信号が“1”の時に上位8ビッ
トをデータバス307に出力し、TAL_RD信号が
“1”の時に下位8ビットをデータバス307に出力す
る。
【0022】アドレスセレクタ313は、16ビットの
レジスタで、SEL_PC信号が1かつWR_AD信号
が“1”の時にPC304の出力を読み込み、SEL_
PC信号が0かつWR_AD信号の時にTAレジスタ3
12の出力を読み込む。
【0023】データバスバッファ314は、外部データ
バスとCPU内データバス307との間で8ビットのデ
ータを入出力する。DB_IN信号が“1”の時に、外
部データバスからデータを入力しデータバス307に出
力し、DB_OUT信号が“1”の時にデータバス30
7からデータを入力し外部データバスに出力する。
【0024】RD信号とWR信号とアドレス・バスと外
部データバスは、CPUの外部に接続されたメモリとの
間でデータを読み書きするのに使用される信号である。
【0025】図14に、CPUが解釈実行する命令の一
例を示す。「LDA Memory」は、命令コード
「00」に続く第2、3バイトが示すアドレスから読み
込んだデータをAレジスタに書き込む。
【0026】「STA Memory」は、Aレジスタ
の内容を命令コード「01」に続く第2、3バイトが示
すアドレスに書き込む。
【0027】「LDB Memory」は、命令語「0
0」に続く第2、3バイトが示すアドレスから読み込ん
だデータをBレジスタに書き込む。
【0028】「STB Memory」は、Bレジスタ
の内容を命令語「02」に続く第2、3バイトが示すア
ドレスに書き込む。
【0029】「ADD A,B」は、AレジスタとBレ
ジスタとの内容を加算し、結果をAレジスタに書き込
む。
【0030】図15は、CPUに接続されたメモリに格
納されたプログラムの内容の一例を示す図である。図1
5を参照して、アドレス「0000」〜「0002」
(HEX表示)には、ロード命令「LDA 1000」
が、アドレス「0003」〜「0005」(HEX表
示)には、ロード命令「LDB 1001」が、アドレ
ス「0006」には加算命令「ADD A,B」が、ア
ドレス「0007」には、ストア命令「STA 100
2」が格納されている。すなわちアドレス1000と1
001に格納されたデータ(03、04)をAレジス
タ、Bレジスタにロードし、AレジスタとBレジスタを
加算した結果をAレジスタに書込み、Aレジスタの内容
をアドレス1002にストアする、というものである。
【0031】CPUが、図15に示すプログラムを実行
した結果を、図20〜図24のタイミングチャートに示
す。
【0032】タイミングチャート内の「デコーダ・シー
ケンサの状態」の番号は、図4〜図11のフローチャー
ト内のステップの番号に対応する。
【0033】図20を参照して、最初にCPUはリセッ
トされ、図4のステップ401からスタートする。
【0034】次のクロックで、図4のステップ402に
進み、デコーダ・シーケンサ301の全ての出力を
「0」にする。
【0035】次のクロックで、図4のステップ403に
進み、プログラムカウンタ(PC)304の内容を「0
000」(ヘキサデシマル表示)に初期設定する。
【0036】次のクロックで、図4のステップ404に
進み、プログラムカウンタ(PC)の初期値設定を解除
する。
【0037】次のクロックで、図4のステップ405に
進み、コードフェッチを実行する。
【0038】コードフェッチの手順は、図5に流れ図と
して示されている。またそのタイミングチャートを図2
1に示す。
【0039】ステップ501で、SEL_PC信号とW
R_AD信号を“1”とし、プログラムカウンタ(P
C)304の内容をアドレスバスに出力する。
【0040】次のクロックで、ステップ502に進み、
アドレスバスに出力した内容を保持する(WD_AD←
“0”)。
【0041】次のクロックで、ステップ503に進み、
RD信号を“1”に設定する(RD←“1”)。
【0042】次のクロックで、ステップ504に進み、
データバスバッファを介して読み込んだデータを命令レ
ジスタ(IR)302に書き込む(IR_RW←
“1”)とともに、プログラムカウンタ(PC)304
のインクリメントを指示する(INC_PC←
“1”)。
【0043】以上の動作で、メモリアドレス「000
0」の内容をプログラムとして、命令レジスタ(IR)
302に読み込むことができる。
【0044】次のクロックでステップ406に進み、命
令レジスタ(IR)302の内容をデコードし、命令レ
ジスタ(IR)302の内容により各命令毎(LDA命
令、LDB命令、STA命令等)に分岐する。
【0045】以下、同様に実行し、メモリアドレス「1
000」の内容と「1001」の内容を加算した結果
を、メモリアドレス1002に書き込む。図6はLDA
命令、LDB命令の処理を流れ図で示したものであり、
図7はSTA命令、図8はADD命令の処理手順を示し
た流れ図である。図9は、図6、図7のオペランドリー
ドの処理の流れ図、図10は、LDA命令、LDB命令
におけるデータリード処理、図11は、STA命令のデ
ータライト処理の流れ図である。
【0046】例えば、図6を参照して、LDA命令は、
オペランドをリードし(ステップ601)、次にデータ
をリードする(ステップ602)。このデータリード処
理では、図10に示すように、リードデータはT0レジ
スタ309にセットされる。次にAレジスタ305の書
き込み信号WR_Aを“1”、T0レジスタ309の読
み出し信号RD_T0を“1”として(ステップ60
3)、T0レジスタ309の内容をAレジスタ305に
書き込み、その後、信号WR_A、RD_T0を“0”
とする(ステップ604)。
【0047】また、図7を参照して、STA命令では、
まずオペランドをリードし(ステップ701)、Aレジ
スタ305の読み出し信号RD_Aを“1”とし、T0
レジスタ309の書き込み信号WR_T0を“1”とし
(ステップ702)、WR_T0、RD_Aを“0”と
した後、データライト処理(ステップ704)を行う。
このデータライト処理では、図11に示すようにアドレ
スを出力し、T0レジスタ309の内容(データ)をバ
ッファ314から出力する。
【0048】そして、図8を参照すると、ADD命令
は、Aレジスタ305の読み出し信号RD_Aを“1”
とし、T0レジスタ309の書き込み信号WR_T0を
“1”とし(ステップ801)、次にBレジスタ306
の読み出し信号RD_Bを“1”とし、T1レジスタ3
10の書き込み信号RW_T1を“1”とし(ステップ
803)、ALU308の機能を選択する信号ALU_
FNを“ADD”(加算)に設定し、ALU308の出
力結果を取り込む出力バッファ311の制御信号ALU
_OUTを“1”とし、出力バッファ311の出力はA
レジスタ305に書き込まれる(信号WR_Aを
“1”)(ステップ805)。例えば、図23には、A
レジスタ305の内容が“3”、Bレジスタ306の内
容が“4”の時のADD命令実行時のタイミングチャー
トが示されている。
【0049】これらの命令の実行の様子を、図20〜図
24のタイミングチャートに示す。
【0050】論理回路シミュレーションを実行すると、
これらのタイミングチャートと同様の結果を得ることが
できる。
【0051】図16から図19は、このCPUのデコー
ダ・シーケンサ301の動作の一部を変更したフローチ
ャートである。
【0052】このデコーダ・シーケンサ301の変更の
結果、メモリアクセスに必要なクロック数が2クロック
になっており、前述のCPUとはソフトウェア互換を保
ちつつ、より高速にプログラムを実行することができ
る。
【0053】図25から図29は、一部変更したCPU
で同一のプログラムを実行した結果のタイミングチャー
トである。
【0054】プログラムの実行結果は、前述したCPU
の場合と全く同一ながら、実行に要するクロック数が異
なるので、同一時刻に全く同一の動作をしておらず、こ
れら2つの実行結果を比較するのに単純比較をすること
ができない。
【0055】
【発明が解決しようとする課題】以上説明したように、
従来の技術の論理回路のシミュレーションにおいては、
ソフトウェア互換のある2つのCPU間において、プロ
グラムの実行結果が同一であるか否かを照合すること
が、できない、という問題点を有している。
【0056】その理由は、上記従来技術においては、シ
ミュレーション結果と、時刻と信号値を記述してある期
待値パタンと、を直接比較していたため、完全に1対1
に対応していなければ、同一動作と判定することができ
ない、ことによる。
【0057】したがって、本発明は、上記事情に鑑みて
なされたものであって、その目的は、従来の論理回路シ
ミュレータがチェックできなかった、ソフトウェア互換
性のある2つのCPU間でプログラムの実行結果の照合
を自動的に行い、且つ従来人手に頼っていたチェック作
業を効率化する、照合方法を提供することにある。
【0058】
【課題を解決するための手段】前記目的を達成するた
め、本発明の論理回路シミュレータは、互いに内容の異
なる2つの論理回路シミュレーションを実行する手段
と、前記2つの論理回路シミュレーション結果の中か
ら、予め定めた所定の信号値の組み合わせの条件を満足
する時刻を検索する手段と、前記検索された時刻におけ
る、前記2つの論理回路シミュレーション結果からシミ
ュレーション対象の論理回路に含まれる所定の記憶素子
の状態値を抽出する手段と、前記2つの論理シミュレー
ション結果の前記各検索時刻における前記記憶素子の状
態値を比較する手段と、前記比較結果を出力する手段
と、を備えたことを特徴とする。
【0059】本発明の概要を以下に説明する。本発明に
おいては、内容の異なる2つの論理回路シミュレーショ
ンを実行する手段(図1の105、106)と、2つの
論理回路シミュレーション結果(図1の107、10
8)の中から特定の信号値の組み合わせの条件を満足す
る時刻を検索する比較タイミング検出手段(図1の10
9、110)と、検索した時刻において2つの論理回路
シミュレーション結果に含まれる記憶素子の論理値を出
力する手段(図1の111、112)と、2つの検索時
刻における記憶素子の論理値を比較する手段(図1の1
13)と、比較結果を出力する手段(図1の114)
と、を備えて構成される。
【0060】本発明においては、ソフトウェア互換性の
ある2つの異なるCPUが同一のプログラムを実行する
シミュレーションの結果の中から、それぞれの命令実行
の区切りのタイミングを検索し、そのタイミングでのC
PU内のフリップフロップ等の記憶素子の状態を出力す
る。それらの記憶素子の状態を比較することにより、2
つの異なるCPUのプログラムの実行結果が同一である
か否かをチェックすることができる。
【0061】
【発明の実施の形態】本発明の実施の形態について以下
に説明する。図1は、本発明の実施の形態の構成をブロ
ック図にて示したものである。
【0062】図1を参照して、本発明の実施の形態にお
いては、回路情報1とテストパタン1は、第1のシミュ
レーションの入力データであり、シミュレーションを実
行し、結果1を生成する。回路情報2とテストパタン2
は、第2のシミュレーションの入力データであり、シミ
ュレーションを実行し、結果2を生成する。
【0063】比較タイミング検出手段109により、結
果1の中から特定の信号値の組み合わせを検索条件とし
て検索し、検索条件に一致した時刻において、結果1の
中からフリップフロップ等の記憶素子の状態を出力す
る。検索の具体的な方法については、図13のフローチ
ャートに示されている。なお、この検索方法については
後述する。
【0064】同様にして、回路情報2とテストパタン2
でシミュレーションを行い、結果2の中から、検索条件
に従って検索し、記憶素子の状態を出力する。なお、結
果1と結果2の検索において、両者の検索条件は、同一
である必要はない。
【0065】次に、比較手段113により、両者の結果
が同一であるかどうかを比較する。この比較において、
時刻は重要な意味をもたず、信号の変化の順序関係のみ
が比較の対象になる。
【0066】
【実施例】上記した本発明の実施の形態について更に詳
細に説明すべく、本発明の実施例について図面を参照し
て以下に説明する。本実施例において、被シミュレーシ
ョン対象のCPUは、図3を参照して説明したCPUと
同様とし、ここでは、その構成等の詳細な説明は省略す
る。
【0067】図20〜図24のタイミングチャートは、
第1のシミュレーションの結果1、図25〜図29のタ
イミングチャートは第2のシミュレーションの結果2で
ある。
【0068】次に、シミュレーション結果の検索を行
う。検索の条件は、下記の通りとする。
【0069】WR_IR信号=“1”
【0070】この条件は、結果1と結果2の検索で共通
である。
【0071】まず、結果1の検索について説明する。
【0072】図13を参照して、ステップ1301で
は、任意の信号の変化する時刻を見つける。最初は、時
刻1から検索を始める。
【0073】結果1において、最初に時刻404におい
てPC(プログラムカウンタ)304が変化する(図2
0参照)。
【0074】ステップ1303で検索条件と比較し、W
R_IR信号は“0”であるため、条件を満足しないこ
とを判断する。
【0075】次に、ステップ1301に進み、次に任意
の信号が変化するタイミングを検索する。
【0076】図20を参照して、次に任意の信号が変化
するタイミング時刻6である(アドレス信号が変化)。
【0077】ステップ1303に進み、検索条件(WR
_IR信号=“1”)を満足しないことが判定され、再
びステップ1301に戻る。
【0078】同様にして、検索を継続し、その結果、図
21を参照して、時刻11で、WR_IR信号が条件
(WR_IR=“1”)を満足することが検出される。
【0079】次に、ステップ1304に進み、記憶素子
の状態を出力する。
【0080】この時のアドレスバスの値は「0000」
(ヘキサデシマル表示)、データバスの値は「00」
(ヘキサデシマル表示)、命令レジスタ(IR)302
の内容は不定(XX)、Aレジスタ305の内容は不定
(XX)、プログラムカウンタ(PC)304の内容は
「0000」(ヘキサデシマル表示)である。
【0081】同様にして、シミュレーションの最後まで
繰り返し、検索条件に一致した時刻の記憶素子の状態値
を出力した例が、図30である。図30を参照すると、
WR_IR信号=“1”の検索条件を満たす各時刻点
「11」、「33」、「55」、「67」、「90」に
おける、アドレスバス、データバスの値、RD、WR信
号、命令レジスタ(IR)、Aレジスタ305、Bレジ
スタ306、T0レジスタ309、T1レジスタ31
0、PC(プログラムカウンタ)304、TAレジスタ
312の状態値が出力される。
【0082】同様に、シミュレーション結果2(図25
〜図29のタイミングチャート)に適用した結果の例
が、図31である。
【0083】これら2つの検索結果は、絶対時刻が異な
る点を除けば、状態値と、変化の順序が一致している。
【0084】このように、本実施例によれば、状態値の
変化の順序を比較することにより、2つのCPUのシミ
ュレーション結果が、ソフトウェア的に同一であること
を、確認することができる。
【0085】
【発明の効果】以上説明したように、本発明によれば、
従来、論理回路シミュレータでチェック不可能とされて
いた、ソフトウェア互換性のある2つのCPU間でのプ
ログラムの実行結果の自動照合を可能とし、且つ従来方
式においては人手作業でチェックせざるを得なかった照
合作業を自動化するという、効果を奏する。
【0086】その理由は、本発明によれば、2つの異な
るシミュレータ結果から命令の区切りを検索し、その時
刻の記憶素子の状態を比較することにより、ソフトウェ
ア互換性はあるが実行タイミングの異なるCPU間の照
合を行えるからである。
【図面の簡単な説明】
【図1】本発明の一実施例の処理を示す図である。
【図2】従来技術の処理を示す図である。
【図3】本発明の実施例及び従来技術を説明するために
用いられるCPUの構成を示す図である。
【図4】CPU内のデコーダ・シーケンサの動作を記述
したフローチャートである。
【図5】CPU内のデコーダ・シーケンサの動作を記述
したフローチャートである。
【図6】CPU内のデコーダ・シーケンサの動作を記述
したフローチャートである。
【図7】CPU内のデコーダ・シーケンサの動作を記述
したフローチャートである。
【図8】CPU内のデコーダ・シーケンサの動作を記述
したフローチャートである。
【図9】CPU内のデコーダ・シーケンサの動作を記述
したフローチャートである。
【図10】CPU内のデコーダ・シーケンサの動作を記
述したフローチャートである。
【図11】CPU内のデコーダ・シーケンサの動作を記
述したフローチャートである。
【図12】デコーダ・シーケンサのブロック図である。
【図13】本発明の一実施例におけるシミュレーション
結果を検索するフローチャートである。
【図14】図2に示したCPUの命令セット(オペコー
ドとニーモニックの対応)の一例を示す図である。
【図15】シミュレーションにおいて、CPUの実行す
るプログラムが格納されているメモリの内容の一例を示
す図である。
【図16】一部を変更したデコーダ・シーケンサの動作
を記述したフローチャートである。
【図17】一部を変更したデコーダ・シーケンサの動作
を記述したフローチャートである。
【図18】一部を変更したデコーダ・シーケンサの動作
を記述したフローチャートである。
【図19】一部を変更したデコーダ・シーケンサの動作
を記述したフローチャートである。
【図20】シミュレーション結果1のタイミングチャー
トである。
【図21】シミュレーション結果1のタイミングチャー
トである。
【図22】シミュレーション結果1のタイミングチャー
トである。
【図23】シミュレーション結果1のタイミングチャー
トである。
【図24】シミュレーション結果1のタイミングチャー
トである。
【図25】シミュレーション結果2のタイミングチャー
トである。
【図26】シミュレーション結果2のタイミングチャー
トである。
【図27】シミュレーション結果2のタイミングチャー
トである。
【図28】シミュレーション結果2のタイミングチャー
トである。
【図29】シミュレーション結果2のタイミングチャー
トである。
【図30】本発明の実施例を説明するための図であり、
シミュレーション結果1から、命令の実行の区切りのタ
イミングで記憶素子の状態を出力した結果を示す図であ
る。
【図31】本発明の実施例を説明するための図であり、
シミュレーション結果2から、命令の実行の区切りのタ
イミングで記憶素子の状態を出力した結果を示す図であ
る。
【符号の説明】
101 回路情報1 102 テストパタン1 103 回路情報2 104 テストパタン2 105 第1のシミュレーション 106 第2のシミュレーション 107 結果1 108 結果2 109、110 比較タイミング検出手段 111、112 記憶素子状態出力手段 113 比較手段 114 結果出力 201 回路情報 202 テストパタン 203 シミュレーション 204 期待値パタン 205 シミュレーション結果 206 比較手段 207 結果出力 301 デコーダ・シーケンサ 302 命令レジスタ 303 インクリメンタ 304 プログラムカウンタ 305 Aレジスタ 306 Bレジスタ 307 データバス 308 ALU 309 T0レジスタ 310 T1レジスタ 311 ALU出力バッファ 312 TAレジスタ 313 アドレス出力セレクタ 314 データバスバッファ 315 定数0出力バッファ

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】互いに内容の異なる2つの論理回路シミュ
    レーションを実行する手段と、 前記2つの論理回路シミュレーション結果の中から、予
    め定めた所定の信号値の組み合わせの条件を満足する時
    刻を検索する手段と、 前記検索された時刻における、前記2つの論理回路シミ
    ュレーション結果からシミュレーション対象の論理回路
    に含まれる所定の記憶素子の状態値を抽出する手段と、 前記2つの論理シミュレーション結果の前記各検索時刻
    における前記記憶素子の状態値を比較する手段と、 前記比較結果を出力する手段と、を備えたことを特徴と
    する論理回路シミュレータ。
  2. 【請求項2】第1の回路情報及びテストパタン、及び第
    2の回路情報及びテストパタンに基づき行われた論理シ
    ミュレーションの第1、第2のシミュレーション結果か
    ら、前記シミュレーション対象の論理回路の信号におい
    て予め選択された所定の条件を満足するタイミングを検
    出し、 前記検出されたタイミングにおいて、前記第1、第2の
    論理シミュレーション結果から前記論理回路を構成する
    フリップフロップ等所定の記憶素子の状態を抽出し、 前記第1、第2の論理シミュレーション結果のそれぞれ
    の前記検索時刻における前記記憶素子の状態同士及び状
    態値の変化の順序を比較することにより、前記第1、第
    2のシミュレーション結果を照合し、互換性の検証を行
    う、ことを特徴とする論理シミュレーションを用いた検
    証方法。
  3. 【請求項3】前記シミュレーション対象の論理回路がC
    PUを含み、前記CPUの命令の区切りに対応するイベ
    ント情報のうち選択された信号が所定の条件を満足する
    タイミングを検出し、これにより前記第1、第2の論理
    シミュレーション結果から前記CPUを構成する内部レ
    ジスタ等の情報を照合し、前記CPUのソフトウェア互
    換性の検証を行う、ことを特徴とする請求項2記載の論
    理シミュレーションを用いた検証方法。
JP8354365A 1996-12-19 1996-12-19 論理回路シミュレータ Expired - Lifetime JP2904172B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8354365A JP2904172B2 (ja) 1996-12-19 1996-12-19 論理回路シミュレータ

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8354365A JP2904172B2 (ja) 1996-12-19 1996-12-19 論理回路シミュレータ

Publications (2)

Publication Number Publication Date
JPH10187790A true JPH10187790A (ja) 1998-07-21
JP2904172B2 JP2904172B2 (ja) 1999-06-14

Family

ID=18437072

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8354365A Expired - Lifetime JP2904172B2 (ja) 1996-12-19 1996-12-19 論理回路シミュレータ

Country Status (1)

Country Link
JP (1) JP2904172B2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7949510B2 (en) 2006-05-12 2011-05-24 Samsung Electronics Co., Ltd. Distributed simultaneous simulation
JP2011138183A (ja) * 2009-12-25 2011-07-14 Fujitsu Ltd 検証支援プログラム、および検証支援装置
KR101282963B1 (ko) * 2006-05-12 2013-07-08 삼성전자주식회사 에뮬레이션 시스템 및 그 방법
WO2015049758A1 (ja) * 2013-10-03 2015-04-09 株式会社日立製作所 互換性検証装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7949510B2 (en) 2006-05-12 2011-05-24 Samsung Electronics Co., Ltd. Distributed simultaneous simulation
KR101282963B1 (ko) * 2006-05-12 2013-07-08 삼성전자주식회사 에뮬레이션 시스템 및 그 방법
JP2011138183A (ja) * 2009-12-25 2011-07-14 Fujitsu Ltd 検証支援プログラム、および検証支援装置
WO2015049758A1 (ja) * 2013-10-03 2015-04-09 株式会社日立製作所 互換性検証装置

Also Published As

Publication number Publication date
JP2904172B2 (ja) 1999-06-14

Similar Documents

Publication Publication Date Title
US4879646A (en) Data processing system with a pipelined structure for editing trace memory contents and tracing operations during system debugging
US4870562A (en) Microcomputer capable of accessing internal memory at a desired variable access time
US6212625B1 (en) General purpose dynamically programmable state engine for executing finite state machines
JP2003085000A (ja) トレース情報生成装置およびその方法
JP2002163127A (ja) トレース制御回路
JP2904172B2 (ja) 論理回路シミュレータ
US5390306A (en) Pipeline processing system and microprocessor using the system
US4991130A (en) Normalization control system for floating point arithmetic operation
JPS6161416B2 (ja)
JP2525492B2 (ja) プログラマブルコントロ―ラ
JPH03271829A (ja) 情報処理装置
JPH07219766A (ja) 演算処理装置
JPH06324861A (ja) Cpu制御システム及び制御方法
JP2758624B2 (ja) マイクロプログラムの調速方式
JP2781779B2 (ja) 分岐制御回路
JPS61161509A (ja) 高速シ−ケンス演算方式及びその装置
JP2000222243A (ja) デバッグ装置及びデバッグ方法
JP2967741B2 (ja) Cpu互換性テスト装置
JPH0667896A (ja) シングルチップマイクロコンピュータ
JPH0540661A (ja) シミユレータ装置
JPH1055289A (ja) デバッグ情報生成回路、およびそれを用いた情報処理装置
JP2006163523A (ja) 動作モデル生成方法および動作モデル生成方法
JPH0535499A (ja) データ処理装置及びデータ処理方法
JPS63197246A (ja) マイクロプログラム制御装置
JPH0962504A (ja) 命令処理装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990223