JPH0594001A - Manufacture of submicron device - Google Patents

Manufacture of submicron device

Info

Publication number
JPH0594001A
JPH0594001A JP6270392A JP6270392A JPH0594001A JP H0594001 A JPH0594001 A JP H0594001A JP 6270392 A JP6270392 A JP 6270392A JP 6270392 A JP6270392 A JP 6270392A JP H0594001 A JPH0594001 A JP H0594001A
Authority
JP
Japan
Prior art keywords
manufacturing
layer
mask
pattern
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6270392A
Other languages
Japanese (ja)
Inventor
Donald L White
ローレンス ホワイト ドナルド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AT&T Corp
Original Assignee
American Telephone and Telegraph Co Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by American Telephone and Telegraph Co Inc filed Critical American Telephone and Telegraph Co Inc
Publication of JPH0594001A publication Critical patent/JPH0594001A/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/28Phase shift masks [PSM]; PSM blanks; Preparation thereof with three or more diverse phases on the same PSM; Preparation thereof

Abstract

PURPOSE: To make it possible to easily obtain a phase mask which imparts the values of plural phase delays to pattern plotting radiations by forming openings within a mask layer and heating a material enclosing these openings. CONSTITUTION: A resist layer is formed over the entire surface of a substrate 10 and is patterned and plotted by electron beam writing using the writing of, for example, an electron beam exposure system, by which the resist layer 11 is selectively removed to afford consumed shape holes 12, columns 13 and rectangular line projections 14 and to expose the substrate surface 15. Next, the functional masking layer 16 of averaged five thicknesses is constituted by heating up the resist material 11 constituting the projections 13 and 14 by enclosing the openings 12 or allowing the material to flow by another means. Namely, the resist layer consisting of the five thicknesses composed of the initially deposited regions 17; the regions 18 obtd. from the flow for filling the openings 12; the regions 19 obtainable from the openings enclosing the projections 14; the regions 20 and 21 where the flow is limited in design; and the non-packed regions 22 is formed.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、小さな寸法のデバイ
ス、例えば、サブミクロン設計規則を使用する集積回路
の製造及びこの製造に使用される装置/ツールに関す
る。含みは広いが、主要な推進力は、リソグラフィック
描画、つまり、イメージ品質を向上させるための位相マ
スクの使用に関する。現在使用されている描画エネルギ
ー、例えば、近紫外(near ultraviolet)スペクトル内
のエネルギーに基づくか、あるいはより短い波長、例え
ば、深紫外(deep ultraviolet)あるいはx−線スペク
トルの波長に基づくかに関係なく、リソグラフィック描
画の向上は、これらのレンジを広げ、さらなるミニチュ
ア化を可能にする。サブミクロン設計に従って製造され
る大規模集積回路、つまり、エレクトロニック並びに光
学及びハイブリッド集積回路の製造がここでは対象とさ
れる。
FIELD OF THE INVENTION This invention relates to the manufacture of small size devices, such as integrated circuits using submicron design rules, and the equipment / tools used in this manufacture. The broad but major impetus relates to lithographic writing, ie the use of phase masks to improve image quality. Whether based on currently used writing energy, eg, energy in the near ultraviolet spectrum, or shorter wavelengths, eg, wavelengths in the deep ultraviolet or x-ray spectra. The improvement of lithographic drawing expands these ranges and enables further miniaturization. The manufacture of large scale integrated circuits, ie electronic as well as optical and hybrid integrated circuits, manufactured according to submicron designs is of interest here.

【0002】[0002]

【従来の技術】発端から現在に至るまでの大規模集積の
物語は周知である。1μmあるいはこれよりも少し下の
設計規則に従って製造される現在の1−2メガビットチ
ップの進展は終極的な製品を意味するものではない。リ
ソグラフィック描画は過去と同様に今後も大きな役割を
果すと考えられる。最新のデバイスの製造は、近紫外放
射線(例えば、波長、λ=3650Å、水銀Iラインの
放射線)の使用に依存する。次世代のデバイスに向かっ
ての精力的な努力は、さらに短い波長の放射線(”深U
V”スペクトル、例えば、波長、λ=2480Å、フッ
化クリプトンエキシマレーザーライン内の放射線)に依
存すると予想される。さらに小さな設計規則に向けての
将来に向かっての研究は、x−線スペクトル内の電磁エ
ネルギー、あるいは同様に短かな波長の加速電子放射線
の使用を考えている。
2. Description of the Related Art The story of large-scale accumulation from the beginning to the present is well known. The development of current 1-2 megabit chips, which are manufactured according to design rules of 1 μm or a little lower, does not mean an ultimate product. Lithographic drawing is expected to play a major role in the future as in the past. Modern device manufacturing relies on the use of near-ultraviolet radiation (eg wavelength, λ = 3650Å, mercury I-line radiation). The energetic efforts towards the next generation of devices require much shorter wavelength radiation (“deep U”).
V "spectrum, eg wavelength, λ = 2480Å, radiation within the krypton fluoride excimer laser line). Future work towards smaller design rules is in the x-ray spectrum. We are considering the use of electromagnetic energy, or similarly short wavelength accelerated electron radiation.

【0003】競合するような努力が、現在使用されてい
るUV描画放射線の能力を拡張するために費やされてい
る。M.D.レベンソン(Levenson)らによって、IE
EEトランザクション.エレクトロンデバイス(IEEE T
rans. Electron Devices)、Vol.ED−29(1
2)、ページ1828(1982年)において説明され
ており、また1990年12月12日付けのニューヨー
クタイムズの論文によって概説されているように、エッ
ジ分解能の設計規則の制約による損失は”位相マスク
(phase mask)”、つまり、選択されたマスク領域を通
じて透過されたとき放射線の相対位相シフトを提供する
ように設計されたマスクの使用によって少なくすること
ができる。この衝撃は二重である。つまり(1)不透明
の形状マスク(例えば、ガラス上のクロム)の使用を伴
う通常のデバイス製造に応用されたとき及び(2)不透
明のマスク形状を不要とする透明マスクの使用を伴う製
造に応用されたときであるが、後者の場合、異なる位相
遅延の透明マスク領域間の干渉に起因する暗いラインの
イメージが使用される。いずれのケースにおいても、位
相マスクの使用は、設計規則を通常なら使用される特定
の波長の能力を超えると考えられる所まで拡張すること
を可能にするが、この拡張は、形状エッジの所における
回折散乱による分解能制約放射線(diffraction-scatte
red,resolution-limiting radiation )の位相相殺に基
づく。両方のケースにおいて、エッジ描画マスク領域に
隣接して、あるいはこの一体化された一部として、18
0°位相シフト領域が与えられる。
Competing efforts have been expended in expanding the capabilities of the UV writing radiation currently in use. M. D. Levenson et al., IE
EE transaction. Electron device (IEEE T
rans. Electron Devices), Vol. ED-29 (1
2), page 1828 (1982), and as reviewed by the New York Times paper of December 12, 1990, the loss due to edge resolution design rule constraints is "phase mask ( phase mask), ie, the use of a mask designed to provide a relative phase shift of the radiation when transmitted through selected mask areas. This shock is double. That is, (1) when applied to normal device manufacturing involving the use of opaque shaped masks (eg, chrome on glass) and (2) when applied to manufacturing involving the use of transparent masks that eliminate the need for opaque mask shapes. However, in the latter case, an image of dark lines is used due to the interference between transparent mask areas of different phase delays. In either case, the use of a phase mask allows the design rules to be extended beyond what would normally be beyond the capabilities of the particular wavelength used, but this extension is at the shape edge. Resolution-constrained radiation (diffraction-scatte)
red, resolution-limiting radiation) phase cancellation. In both cases, adjacent to the edge drawing mask area or as an integral part of this, 18
A 0 ° phase shift region is provided.

【0004】位相マスキングは伝統的なビジネス上の検
討から見たとき約束されたもののように見える。この使
用は、現在の装置及び処理方法を使用しての次世代デバ
イスの使用を約束する。交換装置(現在ではまだ市販さ
れてないが)のコスト及び従業員の再訓練が回避されで
きるため、今後もこの方向での努力が続けられることは
確かである。
Phase masking appears to be promised in the light of traditional business considerations. This use promises the use of next generation devices using current equipment and processing methods. Certainly, efforts will continue in this direction, as the cost of replacement equipment (although not yet on the market today) and retraining of employees can be avoided.

【0005】広く拡がった見解によれば、UVをベース
とする処理は、位相マスキングを使用することによっ
て、0.3−0.25μm以下の設計規則まで、場合に
よって、一般にUV描画の有効能力を超えると考えられ
ている0.2μmあるいはそれ以下のレンジまで拡張さ
れると予測される。これが正しいと実証された場合、
(近接あるいは投影と無関係に)x−線の使用、並びに
(ビーム書き込みあるいはマスキングとは無関係に)加
速電子放射線の使用によるデバイス製造は今世紀の終り
位まで遅れると思われる。
[0005] According to a widespread view, UV-based processes use phase masking to provide effective UV writing capabilities up to design rules of 0.3-0.25 μm and below, and in some cases generally. It is expected to extend to the range of 0.2 μm or below, which is considered to exceed. If this proves correct,
Device fabrication by use of x-rays (independent of proximity or projection) and accelerated electron radiation (independent of beam writing or masking) will be delayed until the end of the century.

【0006】リソグラフィック分解能の限界は古典的な
以下の式(1)に従って変動する。
The limit of lithographic resolution varies according to the classical equation (1):

【0007】[0007]

【数1】 [Equation 1]

【0008】ここで、λ=適当なユニット、例えば、μ
mでの描画放射線の波長であり、NAは光学システムの
開口である。分解能は要求される形状−空間コントラス
トに基づく。K1 はイメージングシステムの詳細並びに
描画プロセス、例えば、現像プロセスの特性に依存する
定数である。0.7−0.8の値が(0.8−1.0μ
mの設計規則のLSIの)最新の製造における特徴的な
値である。
Where λ = appropriate unit, eg μ
is the wavelength of the writing radiation in m and NA is the aperture of the optical system. The resolution is based on the required shape-space contrast. K 1 is a constant that depends on the details of the imaging system and the characteristics of the drawing process, eg, the developing process. The value of 0.7-0.8 is (0.8-1.0μ
This is a characteristic value in the latest manufacturing (of LSI having a design rule of m).

【0009】与えられた波長/エッチコントラストに対
する180°位相マスク処理は、K1 の≒0.5レベル
までの低減(0.4μmの設計規則に従うデバイスの設
計を可能にする)、あるいは、場合によっては、4分の
1ミクロンの形状を可能とするK1 の≒0.3レベルま
での低減という形で説明できる。
A 180 ° phase mask treatment for a given wavelength / etch contrast reduces K 1 to ≈0.5 levels (allowing device design according to 0.4 μm design rules), or in some cases. Can be explained in the form of a reduction of K 1 to a level of ≈0.3 which allows a quarter micron shape.

【0010】[0010]

【本件発明の要約】本発明は、製造中におけるマスクか
らデバイスへのイメージ転写に依存する少なくとも一つ
のレベルのパターン描画を伴うデバイス製造に関する。
本質的な推進力は、イメージ品質を向上する目的、例え
ば、イメージ化された形状のエッジの分解能を向上させ
る目的で、描画放射線の位相遅延及び振幅の複数の値を
提供するように設計されたマスクの使用を伴う。エッジ
分解能は、形状サイズとは無関係に向上されるが、この
向上は、小さな形状に対して、つまり、狭い間隔の小さ
な形状に対して特に効果を持つ。従って、本発明の主要
な目的は、サブミクロン設計規則に従う形状、つまり、
一般的に集積回路内に含まれる形状の製造を必然的に伴
う。関連するアプローチは、特定の描画放射線で通常達
成可能であると考えられるよりも小さなデバイス設計規
則の使用に向けられる。本発明に属する設計アプローチ
が、同時に出願された合衆国特許出願SN/07/67
3614において説明されており、この出願がここにも
参照のために編入される。この同時にされた特許出願
は、本発明に従って実現されたとき重要な意味を持つ回
路設計アプローチを開示する。これに制約されるもので
はないが、重要な効果の例は、干渉にて生成されるイメ
ージ形状に関する。この例には、ライン終端及びライン
ブランチングが含まれるが、このために位相遅延の複数
のことなる値を使用することの有利さが活用される。こ
れに加えて、位相マスクアプローチのためにしばしば妥
協される形状の間隔が、ペアの描画放射線の異なる位相
遅延値にて狭い間隔にて隣接する形状を生成することに
よって大きく向上される。
SUMMARY OF THE INVENTION The present invention relates to device manufacturing with at least one level of patterning that relies on image transfer from the mask to the device during manufacturing.
Intrinsic propulsion was designed to provide multiple values of the phase delay and amplitude of the writing radiation for the purpose of improving image quality, for example, improving the resolution of the edges of the imaged shape. With the use of masks. The edge resolution is increased independent of the feature size, but this enhancement is particularly effective for small features, that is, small features with small spacing. Therefore, the main object of the present invention is to obtain a shape that follows the submicron design rule, that is,
It generally entails the manufacture of features contained within integrated circuits. A related approach is directed to the use of smaller device design rules than would normally be achievable with a particular writing radiation. A design approach within the scope of the present invention is the co-filed US patent application SN / 07/67
3614, which is incorporated herein by reference. This co-pending patent application discloses a circuit design approach that has significant implications when implemented in accordance with the present invention. Without being limited to this, an example of an important effect relates to the image shape produced by interference. This example includes line termination and line branching, but to this end the advantage of using different values of the phase delay is exploited. In addition to this, the spacing of geometries often compromised due to the phase mask approach is greatly enhanced by creating closely spaced geometries at different phase delay values of the pair of writing radiations.

【0011】同時にされた特許出願から文献(前述参
照)に説明されるような180°位相マスクの提供は、
分解能を大きく向上させるが、さらに改良の余地がある
ことが分かる。この文献に説明されるアプローチは、相
殺されるべきエネルギーが均一の位相であるという想定
に基づく位相相殺に基づく。この想定は、イメージング
の際に、例えば、接近して置かれた形状の接近効果を通
じて導入される位相遅延を考慮に入れない。このような
公称上一定の位相からの変動は、回路の設計規則が小さ
くなればなるほど重大となる。対象として考えられるサ
ブミクロン設計規則デバイスの実際のパターンの波面
は、様々な、通常、連続体の位相変動を持つことを特徴
とする。マスクから放射される望ましくない放射線、例
えば、回折エッジ散乱放射線は、このような位相変動を
持つため、位相相殺という意味での対策は、これを考慮
に入れるべきである。全相殺は、180°位相のずれた
エネルギーを必要とし続けるが、ただし、これは、(接
近性及び他の摂動効果を無視する仮想的な均一のパター
ン波面の公称上の位相に対して均一に固定された180
°の位相遅延ではなく)低減されるべき局所的に散乱さ
れたエネルギーに対して180°位相がずれていること
を意味する。
The provision of a 180 ° phase mask as described in the literature (see above) from a co-pending patent application is
Although the resolution is greatly improved, it can be seen that there is room for further improvement. The approach described in this document is based on phase cancellation based on the assumption that the energies to be canceled are of uniform phase. This assumption does not take into account the phase delay introduced during imaging, for example through the proximity effect of closely spaced features. Such a variation from the nominally constant phase becomes more significant as the circuit design rule becomes smaller. The actual pattern wavefronts of the submicron design rule devices considered are characterized by varying, usually continuum, phase variations. Undesired radiation emanating from the mask, eg diffractive edge scattered radiation, has such a phase variation, and measures in the sense of phase cancellation should take this into account. Total cancellation continues to require 180 ° out-of-phase energy, provided that it is (uniformly with respect to the nominal phase of the virtual uniform pattern wavefront ignoring proximity and other perturbation effects). Fixed 180
This means 180 ° out of phase with the locally scattered energy to be reduced (rather than a phase delay of °).

【0012】マスクの製造の観点から見た場合、上の目
的は少なくとも2つのレベルの位相変化を提供するこ
と、理想的には、(例えば、5°あるいは10°だけ離
れた一連の値を持つ)位相連続体、あるいは近連続体を
提供する手段を持つことが要求される。バリエーション
として、パターン化エネルギーが透過されるマスク層材
料の厚さ及び/あるいは屈折率を変化させる方法、ある
いは別に、表面反射モードにて動作するマスクに対する
これらを変化させる方法が考えられる。本発明の位相遅
延は、反射マスク内に様々な方法にて別個にあるいは組
合わせて提供される。要求される位相経路の長さの局所
的変動は、フリー反射表面の除去の変動;透明層内の入
射放射線に対する反射表面までの侵入度の変動;これら
層内の屈折率の変動などの形式にて実現される。これら
マスクは、透過モードであるか反射モードであるかに関
係なく、望ましくない放射線の相殺/低減のための位相
遅延の3つあるいはそれ以上のレベルを提供するように
設計され、ここでは、多重位相遅延マスク(Multi Phas
e delay Masks、MPM)と呼ばれる。
From a mask fabrication perspective, the above objective is to provide at least two levels of phase variation, ideally having a series of values (eg, 5 ° or 10 ° apart). ) It is required to have a means for providing a phase continuum or near continuum. Variations are conceivable by changing the thickness and / or refractive index of the mask layer material through which the patterning energy is transmitted, or alternatively by changing them for a mask operating in the surface reflection mode. The phase retardation of the present invention may be provided in the reflective mask in various ways, either separately or in combination. Local variations in the required length of the phase path are in the form of variations in the removal of free reflective surfaces; variations in the penetration of incident radiation in the transparent layer to the reflective surface; variations in the refractive index within these layers. Will be realized. These masks, whether in transmissive mode or reflective mode, are designed to provide three or more levels of phase delay for unwanted radiation cancellation / reduction, where Phase delay mask (Multi Phas
e delay masks (MPM).

【0013】文献に説明される180°位相マスキング
において実施される変化されない厚さの(全幅の)マス
ク層領域の選択的除去/保持のために使用される手順の
拡張によるMPMの製造は、本発明においては回避され
る。この手段による位相遅延の追加の値の領域の提供
は、追加のクスク層並びに付随する追加のリソグラフィ
ックステップを要求し、統計的にデバイスの収率が落ち
るためにコスト高となる。
The manufacture of MPMs by extension of the procedure used for the selective removal / retention of unchanged thickness (full width) mask layer regions carried out in 180 ° phase masking as described in the literature It is avoided in the invention. Providing a region of additional value for the phase delay by this means is costly because it requires an additional layer of Cusk as well as an additional lithographic step associated with it, which statistically reduces device yield.

【0014】本発明は、追加のリソグラフィック描画ス
テップを必要とすることなく、複数の位相値、さらには
この連続体を与える簡単に準備できるマスクの使用によ
るデバイス製造方法を提供する。これらマスクは、透過
モードにて動作するか、反射モードにて動作するかに関
係なく、2ステッププロセスと見なされる:つまり、
1.定義された局所的マスク領域の定量的あるいは”デ
ジタル”的修正及び、2.これら修正の物理的平均化、
から成るプロセスによって製造される。位相遅延が層を
薄くするのみの結果として得られる実施例においては、
ステップ1は開口材料を得るための層材料の局所的除去
からなり、ステップ2は周辺層材料の誘引されたフロー
による開口(ここでは”消耗開口(expendable apertur
es)”と呼ばれる)の裏込め(backfilling )から成
る。この結果として、(そのレベルの所で必要とされる
パターン情報に加えて)要求される位相変動を与える層
の厚さの変動を持つ層が得られる。開口は、様々な形状
及びサイズを持ち、一つの実施例においては、要求され
る材料除去を提供する分布/密度を持つ均一の厚さの円
形ホールの形式をとる。他の開口の形状には、たぶん終
極的なデバイス形状によって示唆されるように、スリッ
ト、柱あるいは大地を定義する領域、その他が含まれ
る。変動は、材料の除去ではなく材料の追加を与え、常
に、”平均化(averaging )”ステップがこれに続く。
この平均化ステップにおいて、この例では、パターン化
された追加された材料の高さがフローされる。
The present invention provides a device manufacturing method by the use of an easily prepared mask that provides a plurality of phase values, and even this continuum, without the need for an additional lithographic writing step. These masks are considered a two-step process regardless of whether they operate in transmissive mode or reflective mode:
1. 1. quantitative or "digital" correction of defined local mask areas, and Physical averaging of these modifications,
Manufactured by a process consisting of. In an embodiment where the phase delay only results in a thin layer,
Step 1 consists of the local removal of the layer material to obtain the opening material, and step 2 is the opening by the induced flow of the peripheral layer material (here "expendable aperturing").
es) ”) backfilling. This results in a layer thickness variation that gives the required phase variation (in addition to the pattern information needed at that level). A layer is obtained, the openings having various shapes and sizes, and in one embodiment in the form of circular holes of uniform thickness with a distribution / density that provides the required material removal. The shape of the aperture may include slits, columns or areas that define the ground, etc., as suggested by the possibly device geometry.Variations give the addition of material rather than removal of material, and always This is followed by the "averaging" step.
In this averaging step, the height of the patterned additional material is flowed in this example.

【0015】本発明の特に重要な一面は、消耗形状が
(最終パターン内に意味を持つデバイス機能の保持が回
避されるのに)要求される程度まで裏込めでき、他方に
おいて、デバイスパターン描画形状並びにマスク上に補
償情報として含まれる非デバイス形状が保持できるとい
う能力に関する。後者の特に重要な例は、最終パターン
内の望ましくない明るさの変動を低減するための格子で
ある。裏込め材料のフロー速度の移動される距離に対す
る約4乗の依存という便利さのために、これら二つの分
離(つまり、充填されるべき形状と保持されるべき形状
の分離)が楽になり、保持されるべき”大きな”寸法の
形状と充填されるべき”小さな”寸法の形状との間の識
別が可能となる。ただし、保持される形状に対して同程
度の充填が起こり、結果として、表面除去の規模が小さ
くなることが考えられる。本発明による教示は、あるケ
ースにおいては、保持されるべき形状をフローの後に要
求される除去寸法が達成されるのに要求される程度まで
過大設計することによってこの効果を最少化する。フロ
ーステップの終端は、単純なタイミングによって、ある
いは、重要な場合は、リアルタイムモニタリングによっ
て遂行される。後者の例としては、過剰のピーク対槽の
高さを持つように設計された回折格子が要求される回折
規模との関連で処理の終端ポイントを識別するために監
視される。その他のバリエーションが詳細な記述の欄に
おいて記述される。
One particularly important aspect of the present invention is that the wear shape can be backfilled to the extent required (while avoiding retention of meaningful device functionality in the final pattern), while on the other hand, the device pattern drawing shape. And the ability to hold non-device shapes included on the mask as compensation information. A particularly important example of the latter is a grating to reduce unwanted brightness variations in the final pattern. The convenience of approximately four power dependence of the flow velocity of the backfill material on the distance traveled facilitates and preserves the separation of these two (ie, the shape to be filled and the shape to be retained). A distinction is made between "large" size features to be filled and "small" size features to be filled. However, it is considered that the same degree of filling occurs with respect to the shape to be retained, and as a result, the scale of surface removal is reduced. The teachings of the present invention, in some cases, minimize this effect by overdesigning the shape to be retained to the extent required to achieve the required removal dimensions after flow. Termination of flow steps is accomplished by simple timing or, if important, real-time monitoring. As an example of the latter, a grating designed to have excess peak-to-vessel height is monitored to identify the endpoint of the process in relation to the required diffraction scale. Other variations are described in the detailed description section.

【0016】レオロジー上の理解が得られると、マスク
設計規則が自然に理解できる。要約すると、裏込めは、
知的には、これもまた二つのステップから構成されるも
のと見なされる。初期フローはパターン描画の際に露出
される基板の高いフリー表面エネルギーに応答する。必
須の小さなウエッティング角度を与える適切に選択され
た材料に関しては、この要件は、フローイング材料の薄
い層(約100 あるいはこれ以下の層の厚さ)によっ
て満たされる。表面テンションの考慮事項に応答する相
互のフローは、空虚の寸法に強く依存する。つまり、保
持されるべき空虚(非消耗開口)への大きな光学的影響
なしに消耗開口の裏込めが可能となるのに十分な依存を
持つ。これら二つの考慮事項が材料の選択及び設計幾何
の両方のベースとなる。
Once the rheological understanding is obtained, the mask design rules can be naturally understood. In summary, backfilling is
Intellectually, this too is considered to consist of two steps. The initial flow responds to the high free surface energy of the substrate exposed during pattern writing. For a properly selected material that provides the requisite small wetting angle, this requirement is met by a thin layer of flowing material (about 100 or less layer thickness). Mutual flows in response to surface tension considerations are strongly dependent on the dimensions of the void. That is, it has sufficient reliance to be able to backfill a consumable opening without significant optical impact on the void (non-consumable opening) to be retained. These two considerations are the basis for both material selection and design geometry.

【0017】本発明の第一の含みは、UV描画放射線を
使用する投影縮小イメージ化(projection-reduction i
maging)との関連で期待できる。ただし、原理的には、
接触(近接)プリンティング並びに1:1投影プリンテ
ィングにも適用でき、また、より短い波長の電磁放射線
(例えば、x−線スペクトル内の放射線)の使用にも適
用できる。
A first aspect of the invention is the projection-reduction i using UV writing radiation.
Expected in relation to maging). However, in principle,
It is applicable to contact (proximity) printing as well as 1: 1 projection printing, and also to the use of shorter wavelength electromagnetic radiation (eg radiation in the x-ray spectrum).

【0018】[0018]

【基本的考察】幸運なことに、従来の研究によって本発
明のプロセスを実現するために必要とされる多くのパラ
メータが考察済である。例えば、L.E.スティルワゴ
ン(Stillwagon)及びR.G.ラーソン(Larson)によ
って、ジャーナル オブ アプライド フィジクス(J.
Appl.Phys.)、Vol.63(11)、(1988年6
月1日号)、ページ5251に発表された論文『トポグ
ラフィック基板レベリングの基本事項(Fundamentals o
f Topographic Substrate Leveling)』に注意を向けた
い。この論文内に含まれる情報に他の研究による補足を
加えると、本発明の教示に従って使用することが有効で
ある様々なパラメータの基本が構成される。
[Basic Considerations] Fortunately, previous studies have considered many of the parameters needed to implement the process of the present invention. For example, L. E. Stillwagon and R.W. G. The Journal of Applied Physics (J.
Appl.Phys.), Vol. 63 (11), (1988 June 6)
(March 1 issue), published in page 5251, "Topographical substrate leveling fundamentals (Fundamentals o
f Topographic Substrate Leveling) ”. The addition of other work to the information contained in this article constitutes the basis for various parameters that may be useful in accordance with the teachings of the present invention.

【0019】この参考文献内に示される実験的に検証さ
れた観察事項は、注目すべきであり、ある程度まで、本
発明の全ての局面の詳細な考察の基礎となる。フローイ
ング材料(flowing material)によって横断される距離
への4乗の依存は注目されるべきである。本発明の目的
に対して翻訳された場合、この依存は、より大きな寸法
の形状の保持のためのベースとして機能する。つまり、
例えば、保持されるべき10μmの最も小さな寸法の形
状の中心まで運ばれる材料の量は1μmの消耗形状の量
の10-4である。この結果としての変動は、それ自体が
プロセス識別(process-differentiation )のために十
分である場合も、あるいは追加の処理、例えば、選択性
エッチ除去を起こすための均一な露出処理にて補われる
こともある。
The experimentally verified observations presented in this reference are noteworthy and, to some extent, are the basis for a detailed discussion of all aspects of the invention. It should be noted that the fourth power depends on the distance traversed by the flowing material. Translated for the purposes of the present invention, this dependency serves as a base for holding larger sized features. That is,
For example, the amount of material that is brought to the center of the 10 μm smallest dimension feature to be retained is 10 −4 of the 1 μm expendable feature amount. This resulting variability can be compensated for even if it is sufficient for process-differentiation, or with additional processing, for example, uniform exposure processing to cause selective etch removal. There is also.

【0020】材料の選択 本発明に従う製造は、消耗開口の裏込め(backfilling
)を伴う。関連する材料の組成、例えば、開口を作ら
れるべき層の材料の組成並びに開口を作る際に露出され
る”基板”材料の組成は、最初にパターン化に基づく通
常の要求を満たさなければならない。これら全ての特性
は十分に知られており、また、殆どの材料については十
分な資料が整っている。基本的なテキストは当業者にと
って周知であるが、上に引用のスティルワゴン(Stillw
agon)らの論文によって示唆される方向はレジストの選
択に関しての一つの満足の行く方向を反映する。
Material Selection Manufacturing in accordance with the present invention provides for backfilling of consumable openings.
) Accompanied. The composition of the materials involved, for example the composition of the material of the layer in which the openings are to be made, as well as the composition of the "substrate" material which is exposed in making the openings, must first meet the usual requirements based on patterning. All these properties are well known and well documented for most materials. The basic text is well known to those of skill in the art, but the Stillwagon (Stillwagon, cited above)
The direction suggested by the article by Agon et al. reflects one satisfactory direction for resist selection.

【0021】重要な材料特性は、バックフロー(back f
low )に関する。最も単純アプローチが図1との関連で
説明されるが、ここでは、レジスト層自体がこの機能の
役割も持つ。必然的に収率との関連で要求されるプロセ
スの簡素化は十分に商業上の選択の要因となる。レジス
ト材料は十分に特性を知られており、多くは有望なフロ
ー特性(flow properties )を持つ。結果としての要件
の緩和につながる機能の分離は、パターンを転写する第
二の層の使用、このフロー、また、最終的な位相に依存
する層(厚さ及び/あるいは表面)を必要とする。不十
分な光化学作用特性(描画放射線に対する相対的なイン
センシビリティ)を持つが、ただし、例えば、その後の
放射性の存在下での安定性並びに機械的特性との関係で
は有利な特性を持つ様々な材料が存在する。これらの一
群の材料としては”スピン オン(spin-on )”ガラ
ス、つまり、従来のスピニングプロセスにて層を形成す
るために使用できる市販の低融点ガラスがある。
An important material property is the backf
low) The simplest approach is explained in connection with FIG. 1, but here the resist layer itself also plays the role of this function. Inevitably the process simplification required in terms of yield is a factor of sufficient commercial choice. Resist materials are well known and many have promising flow properties. Functional separation, which results in relaxed requirements, requires the use of a second layer to transfer the pattern, this flow, and also the final phase-dependent layer (thickness and / or surface). Various materials with poor photochemical properties (relative insensitivity to the writing radiation), but with favorable properties in relation to their subsequent stability in the presence of radioactivity as well as their mechanical properties, for example. Exists. One group of these materials is "spin-on" glass, a commercially available low melting glass that can be used to form layers in conventional spinning processes.

【0022】一般に、”厚さを平均化されるべき(thic
kness-averaged)”材料との関連での望ましい特性は明
白である。これら特性には、例えば、製造の最中及びそ
の後の使用の両方において必要な耐久性/反応性を与え
る化学的特性;例えば、温度/環境に依存する必須の寸
法上の安定性を保証する物理的特性;通常のマスク要件
を満たす光学特性等が含まれる。本発明の教示に従う製
造には追加の要件が課せられる。これらの要件は、
(a)サブミクロンLSIの重要なカテゴリー、例え
ば、設計規則0.5μmあるいはそれ以下のLSIの製
造において遭遇されるパラメータを達成するための最少
要件及び(b)より小さな及びより大きな寸法の両方を
考慮し、また、次のような処理及び動作上の考慮事項、
つまり、耐えるあるいは要求される許容温度上昇;本質
的要件及び経済的な考慮事項の両方を満たすために十分
に低い接触ウエッティング(contact wetting )角度を
保証する基板と裏込め材料との間の界面エネルギー値な
どを考慮に入れたレンジとの関連で議論すると便利であ
る。
In general, "thickness should be averaged (thic
The desirable properties in the context of "kness-averaged)" materials are obvious. These properties include, for example, chemical properties that give the required durability / reactivity both during manufacture and in subsequent use; , Physical properties that ensure the required dimensional stability depending on temperature / environment; optical properties that meet the usual mask requirements, etc. Additional requirements are imposed on manufacturing in accordance with the teachings of the present invention. The requirements for
(A) Both the critical category of submicron LSIs, eg, the minimum requirements to achieve the parameters encountered in the fabrication of LSIs with design rules of 0.5 μm or less, and (b) the smaller and larger dimensions. And the following processing and operational considerations:
That is, the interface between the substrate and the backfill material that guarantees a contact wetting angle that is low enough to withstand or require an acceptable temperature rise; both essential requirements and economic considerations. It is convenient to discuss in the context of ranges that take into account energy values etc.

【0023】注意すべきここでの議論は、主にマスクに
関するものである。マスク製造のコストは勿論重要であ
るが、最終的な製品を含む製造コストから見ればそれほ
ど重要でない。つまり、マスクコストの増加は、小さな
標準化された製品コストの改良によっても簡単に挽回す
ることができる。
Note that the discussion here is primarily about masks. The cost of manufacturing the mask is of course important, but it is not so important in terms of the manufacturing cost including the final product. That is, the increase in mask cost can be easily recovered by a small standardized product cost improvement.

【0024】パターン化された材料が、例えば、流動性
の促進、場合によっては化学的な相変化によってフロー
される多くの実施例においては、主要な要件は、フロー
特性である。恐らくは、温度の上昇とともに減少する粘
度は、プロセス時間の目標を満たすために十分に低くな
ければならない。液体状態における裏込め材料の表面張
力は、これも、達成される表面の平坦さの程度との関係
で時間要件を満たすのに十分なものでなければならな
い。これら両方の特性とも、温度に依存する特性であ
る。
In many embodiments, where the patterned material is flowed, eg, by promoting flowability, and possibly by chemical phase change, a major requirement is flow characteristics. Perhaps the viscosity, which decreases with increasing temperature, must be low enough to meet the process time goal. The surface tension of the backfill material in the liquid state must also be sufficient to meet the time requirement in relation to the degree of surface flatness achieved. Both of these properties are temperature dependent.

【0025】リソグラフィック処理により(例えば、蒸
発によるように露出によって直接、あるいは後の現像に
よって間接的に)裸にされた表面の初期ウェッティング
(wetting )は、小さなエット角度によって助けられ
る。一般的な状況では、これは問題とならない。通常の
有機レジスト材料は、結果としてほぼゼロ角度となるよ
うなに温度レベルに悪影響なしに加熱することができ
る。一般的には、他の状況が要求する場合、10°ある
いはそれ以上の角度であっても良い。低ウエット角度を
提供することを主目的として選択された追加の層を含め
ることが必要となる場合もあるが、これら層は、レジス
トあるいはバックフローされるべき他の層の下側にこれ
と接触して置かれる。
The initial wetting of bare surfaces by lithographic processing (eg directly by exposure as by evaporation or indirectly by subsequent development) is aided by a small Ett angle. In common situations this is not a problem. Typical organic resist materials can be heated without adversely affecting the temperature levels, resulting in near zero angles. Generally, angles of 10 ° or more may be used if other circumstances require. It may be necessary to include additional layers selected primarily for the purpose of providing a low wet angle, but these layers contact this underneath the resist or other layers to be backflowed. And placed.

【0026】示されるように、通常、バックフローによ
ってエネルギー要件は満足され、100Å以下の厚さの
ウエット層が得られる。100Å以下という要件は、そ
れほど重要でない光学的影響についての考慮事項であ
り、マスク設計で簡単に補償できる。別の方法として、
このような小さな厚さの層化された材料を、例えば、適
当なエッチング剤に全体を晒すことによってマスク上の
他の所には大きな影響を与えることなく簡単に除去する
こともできる。
As shown, energy requirements are usually met by backflow and wet layers of less than 100Å are obtained. The requirement of 100Å or less is a consideration for less important optical effects and can be easily compensated for in the mask design. Alternatively,
Such a small thickness of layered material can also be easily removed, for example by exposing the whole to a suitable etchant without significantly affecting the rest of the mask.

【0027】初期ウエッティングに続く裏込めとの関連
で、開口サイズへの流速の依存が制御されたプロセスの
ための効果的なベースとして機能することは幸運であ
る。上に引用の論文『トポグラフィック基板レベリング
の基本事項』は、裏込めの際のフローイング材料に関し
ての結論として得られた距離への4乗の依存に関する実
験的な検証を与える。この具体的な条件は、両方ともが
同一自由エネルギーの下に横たわる基板を持ち、また両
方ともが同一の厚さのソース材料(層材料)によって包
囲され、開口に対して同一構成の表面境界を定義する二
つの開口間の比較を必要とする。厳密な数学的解決にお
いては、例えば、フローの原因となる駆動力の変動、つ
まり、表面間スロープ及び開口の寸法の差に依存しての
層の厚さを含む様々な要因を考慮する必要がある。それ
にもかかわらず、裏込め動作の大部分におけるフロー輸
送(flow-transport)の大きさのオーダーは、この4乗
の依存によって支配される。
In the context of initial wetting followed by backfilling, it is fortunate that the dependence of the flow rate on the opening size serves as an effective basis for a controlled process. The above-cited paper "Basics of Topographic Substrate Leveling" gives an experimental verification of the fourth power dependence on distance obtained as a conclusion regarding flowing materials during backfilling. This particular condition is that both have substrates lying under the same free energy, and both are surrounded by a source material (layer material) of the same thickness, with the same configuration of surface boundaries for the openings. We need a comparison between two apertures that we define. In a precise mathematical solution it is necessary to consider various factors including, for example, the variation of the driving force causing the flow, ie the layer thickness depending on the surface-to-surface slope and the difference in the dimensions of the openings. is there. Nevertheless, the order of magnitude of flow-transport in the majority of backfilling operations is governed by this quadratic dependence.

【0028】上の考察の結果から、非消耗開口サイズに
対する消耗開口サイズ(例えば、裏込めされるべき消耗
開口と対比しての保持されるべき形状開口)の比を規定
することが必要となる。バックフローを支配する材料パ
ラメータは表面張力の粘度に対する比である。対象とさ
れるバックフローされるべき材料は、伝統的な考えで
は、ガラス状の材料である。この観点からガラス状であ
るか否かに関係なく、適当な材料は、その後の温度レン
ジ内で(フローが遂行される温度レンジ内で)変動する
温度と関連してのその後の特性の連続した依存を示すこ
とが要求される。このような材料は、無機材料か有機材
料かに関係なく、目的のために適当なレンジ、つまり、
軟化温度より幾分上の最少から制御のために要求される
範囲の粘度の保持に対応する最大温度のレンジ内におい
て、温度とともに急激に減少する粘度を示す。
From the results of the above considerations, it is necessary to define the ratio of the consumable opening size to the non-consumable opening size (eg, the shape opening to be retained as opposed to the consumable opening to be backfilled). . The material parameter that governs backflow is the ratio of surface tension to viscosity. The material to be backflowed is, in the traditional sense, a glassy material. From this point of view, whether glassy or not, a suitable material is a continuous series of subsequent properties in relation to fluctuating temperatures within the subsequent temperature range (within the temperature range in which the flow is carried out). Required to show dependency. Such materials, whether inorganic or organic, have a suitable range for the purpose:
It shows a viscosity that decreases sharply with temperature within a range of maximum temperature corresponding to the retention of viscosity in the range slightly above the softening temperature and required for control.

【0029】適当なバックフロー条件、つまり、主に温
度及び時間の決定は、実用本位的に行なわれる。このア
プローチによれば、マスクはこの時点で、要求されるバ
ックフローが起こるのに十分な温度に加熱及び保持さ
れ、その後、マスクがバックフローを終端させるために
冷却される。回折格子との関連で、変動も有効である。
材料を使用しながら、回折放射線の強度を検出すること
によって上記に基づくモニタリングが行なわれる。照射
には、レーザー生成光線を使用するのが便利であり、検
出は、フォトダイオードを使用して行なわれる。このよ
うな結果が、意図される描画放射線と関連しての波長の
差のために調節を必要とすることは殆ど考えられない。
必要であれば、これは簡単に計算することができる。
Appropriate backflow conditions, that is, mainly temperature and time determinations, are made practically. According to this approach, the mask is now heated and held at a temperature sufficient to cause the required backflow, after which the mask is cooled to terminate the backflow. Variations are also effective in the context of the diffraction grating.
Monitoring based on the above is performed by detecting the intensity of the diffracted radiation while using the material. It is convenient to use a laser-generated beam for irradiation, and detection is done using a photodiode. It is unlikely that such a result would require adjustment due to the difference in wavelength associated with the intended writing radiation.
This can be easily calculated if needed.

【0030】スティルワゴン(Stilwagon )らの(上に
引用の)文献のページ5252は、計算ベースにて終端
ポイントを決定するのに役立つ以下のような”無単位時
間(dimensionless time)”数式を示す。
Page 5252 of the Stilwagon et al. (Cited above) document presents the following "dimensionless time" formula useful for determining termination points on a computational basis. .

【0031】[0031]

【数2】 [Equation 2]

【0032】ここで、Tは、例えば、同一参考文献の図
13から得られる単位を持たない定数である。tは、バ
ックフローの時間である。一定温度という想定の下で
は、このプロセスを特性化する現実の条件は充分に想定
に接近する。通常、これらプロセスに対して数分のレン
ジであるバックフロー時間は、秒以内で本質的にバック
フローを終端する冷却(quenching )と一体となってこ
の命題を支持する。h0 はフローされるべき材料と裏込
めされるべき裸にされた基板との間の境界の所の異なる
高さでのフローに対する物理的駆動力である。wは裏込
めされるべき基板領域の最少寸法である。(アドレスさ
れる場合は、等しい線/間隔格子の最小寸法である。こ
の場合、wは関係ある領域内のラインあるいは空間の幅
である。)γは表面張力、そしてμは粘度である。
Here, T is a unitless constant obtained from FIG. 13 of the same reference, for example. t is the backflow time. Under the assumption of constant temperature, the actual conditions characterizing this process are close enough to the assumption. Backflow times, which are typically in the range of minutes for these processes, together with cooling, which essentially terminates the backflow within seconds, supports this proposition. h 0 is the physical driving force for the flow at different heights at the interface between the material to be flowed and the bare substrate to be backfilled. w is the minimum size of the substrate area to be backfilled. (If addressed, it is the minimum dimension of an equal line / spaced grid, where w is the width of the line or space in the region of interest.) Γ is the surface tension, and μ is the viscosity.

【0033】一例として、これら値は、h=0.5x1
-4cm;w=0.25x10-4cm;T(図13から
決定された場合)=500x10-4;t=3分である。
上の式へのこれらの代入は、比γ/η=0.087cm
/sec.を与える。代表的な表面張力30dynes/cmの
値(適当なレジスト材料に特徴的な値)は、345ポイ
ズの粘度を与える。
As an example, these values are h = 0.5 × 1
0 −4 cm; w = 0.25 × 10 −4 cm; T (if determined from FIG. 13) = 500 × 10 −4 ; t = 3 minutes.
These substitutions into the above equation yield the ratio γ / η = 0.087 cm
/ Sec. give. A typical surface tension of 30 dynes / cm (characteristic of a suitable resist material) gives a viscosity of 345 poise.

【0034】マスク設計/製造上の考慮事項 本発明によるアプローチはマスクに対してある程度の要
求を持つ。機能デバイスパターンに決定的な事項は、本
発明によって、保持されると言うよりむしろ確保され
る。ただし、形状の設計においては、機能デバイスの部
分ではない部分も考慮に入れなければならない。このよ
うな非デバイス(non-device)形状(”補償形状”)に
は、フローにて充填されるべき消耗開口及びグレイスケ
ール要件を満たすための格子/チェッカーボード構造
(充填されず、またイメージ転写の際にそれらの意図す
る役割を果すが、最終的な構造内には、好ましくは、残
されないような寸法及び構成を持つ開口)の両者が含ま
れる。
Mask Design / Manufacturing Considerations The approach according to the present invention has some requirements for masks. Matters decisive for the functional device pattern are ensured by the invention rather than retained. However, in the design of the shape, the part which is not the functional device part must be taken into consideration. Such non-device geometries (“compensation geometries”) include a grid / checkerboard structure (unfilled and image transfer) to meet consumable aperture and grayscale requirements to be filled in the flow. In the final structure, both of which have a size and a configuration such that they do not remain, are included in the final structure.

【0035】マスクの生成は、2つのフェーズから成
る。つまり、ウエーハ上の特定のイメージを生成するマ
スクをマスク上の個々のポイントを通って入って来る光
の波面の位相シフト及び振幅を指定することによって設
計するフェーズ;及び個々のポイントの所に特定の位相
シフト及び振幅を持つマスクを製造するプロセスから成
る。
The mask generation consists of two phases. That is, a phase in which a mask that produces a particular image on the wafer is designed by specifying the phase shift and amplitude of the wavefront of the light coming in through each point on the mask; and specific to each point Of masks with phase shifts and amplitudes of.

【0036】マスクを出るとき位相及び振幅の特定の分
布を持つ光によって生成されるイメージの計算は単純な
問題である。この計算は、かなり正確に行なうことがで
き、オプティクスに関する教科書に説明されている。こ
れに関しては、例えば、レイノルズ(Reynolds)、デベ
リス(DeVelis )、パレント(Parrent )、トンプソン
(Thompson)によって、フーリエオプティクスの講義
(Tutorials in FourierOptics )、SPIE光学エン
ジニアリングプレス出版、1989年、ページ27に掲
載の『物理オプティクスノートブック(Physical Optic
s Notebook)』に説明されている。
A specific amount of phase and amplitude when exiting the mask
The calculation of the image produced by the light with the cloth is simple
It's a problem. This calculation can be done fairly accurately.
Are described in textbooks on optics. This
Regarding this, for example, Reynolds, Debe
Squirrel (DeVelis), Parent (Parrent), Thompson
(Thompson)Fourier Optics Lecture
(Tutorials in FourierOptics), SPIE optical engineering
Zineering Press Publishing, 1989, page 27
"Physical Optic Notebook (Physical Optic
s Notebook) ”.

【0037】ただし、逆の問題、ウエーハ上に特定のパ
ターンが欲しく、そしてマスクによって導入されるどの
ような位相遅延及び振幅がそのパターンを生成するかを
知ることは、さらに複雑な問題である。殆ど同一の結果
を生成する複数の異なる答えが存在する。また、理想的
なパターンを得ることは不可能であり、最良の妥協にて
満足しなければならず、何が良い妥協かの定義は、通
常、価値判断の問題である。
However, the inverse problem, one wants a particular pattern on the wafer, and knowing what phase delays and amplitudes introduced by the mask produce that pattern is a more complex problem. There are several different answers that produce almost identical results. Also, it is not possible to get the ideal pattern, one has to be satisfied with the best compromise, and the definition of what is a good compromise is usually a matter of value judgment.

【0038】マスクの重要なカテゴリーは個々のポイン
トの所で透過あるいは反射された光の特定の位相シフト
を生成するための異なる厚さの領域から構成される透明
の層に依存する。このマスクは、通常、電子レジスト
(electron resist )をレジストがあるポイントにおい
て完全に除去され、別のポイントにおいて留まるように
露出するための電子ビームの使用によって生成される。
この電子レジスト内のパターンがその後フロー要件を満
足させるための適当な物理特性の(例えば、適当な軟化
温度及び粘度の)別の材料に転写される。
An important category of masks relies on transparent layers consisting of regions of different thickness to produce a particular phase shift of the transmitted or reflected light at individual points. This mask is usually created by the use of an electron beam to expose the electron resist so that the resist is completely removed at one point and remains at another point.
The pattern in the electronic resist is then transferred to another material of suitable physical properties (eg, suitable softening temperature and viscosity) to meet the flow requirements.

【0039】関連するマスクの寸法について議論してお
く必要がある。議論は、≒0.35μmの設計規則に基
づいて製造される将来のデバイス世代との関連で行なわ
れる(連続5x縮小投射器を想定すると、これは、結果
として、マスク上に1.75μmの最小寸法を与える。 1.マスク上の最も小さな寸法0.25μmの消耗開口
は、1.75μmの形状よりも数倍小さく、デバイス形
状に対して2,401倍の充填速度を持つものと考えら
れる。 2.グレイスケールはシステムから出る光を回折するこ
とによって生成される。グレイスケールを生成する格子
及びチェッカーボードパターンは(λ=0.365μm
に対して)0.75から1ミクロンのオーダーの回折決
定寸法を持ち、0.25μm消耗形状に対する裏込め比
(back fill ratio )は81倍から256倍である。
It is necessary to discuss related mask dimensions. The discussion is done in the context of future device generations manufactured according to the design rule of ≈0.35 μm (assuming a continuous 5x reduction projector, this results in a minimum of 1.75 μm on the mask. The dimensions are given: 1. The smallest consumable opening on the mask, 0.25 μm, is several times smaller than the 1.75 μm feature and is believed to have a filling rate of 2,401 times the device feature. 2. The gray scale is created by diffracting the light exiting the system The grating and checkerboard pattern that creates the gray scale is (λ = 0.365 μm
, With a diffraction-determined dimension of the order of 0.75 to 1 micron, and a back fill ratio for 0.25 μm consumable features is 81 to 256 times.

【0040】ウエーハ上にプリントされるべき最少形
状、つまり、許される設計規則に従う最小形状は、以下
のオーダーの寸法を持つ。
The smallest feature to be printed on a wafer, ie the smallest feature according to the allowed design rules, has dimensions of the following order:

【0041】[0041]

【数3】 [Equation 3]

【0042】ここで、マスク上のこの形状の対応するサ
イズはマスクからウエーハへの転写システムの線型縮小
係数に従う。現在最も良く使用されている投影システム
の一般的な縮小係数は5である。λは描画放射線の波長
であり、NAは光学システムの開口であり、そしてK1
は定数である。
The corresponding size of this feature on the mask now follows the linear reduction factor of the mask-to-wafer transfer system. A typical reduction factor for the projection system currently most commonly used is 5. λ is the wavelength of the writing radiation, NA is the aperture of the optical system, and K 1
Is a constant.

【0043】従って、縮小係数5の場合、マスク上の最
小形状サイズは2μmの寸法であり、これは、ウエーハ
上の0.4μmの形状サイズに対応する。マスク形状、
消耗開口及び格子ライン並びにパターン形状は、通常、
結果的には、マスク上で見たとき、上の式によって計算
されるよりも大きくなる。これは上記のもう一方の出願
SN/07673614の教示と一致する。マスク形状
のサイズの増加は、形状のエッジの鋭さを増加するため
に、例えば、干渉のフリンジング及びその他の付随的に
生成されるもの(artifacts )を低減するために使用さ
れる変動する位相及びグレイスケールの包囲領域の結果
である。
Therefore, for a reduction factor of 5, the minimum feature size on the mask is a dimension of 2 μm, which corresponds to a feature size of 0.4 μm on the wafer. Mask shape,
Consumable openings and grid lines and pattern shapes are usually
As a result, when viewed on the mask, it will be larger than calculated by the above equation. This is consistent with the teaching of the other application SN / 0776314, mentioned above. Increasing the size of the mask features increases the sharpness of the edges of the features, for example varying phase and phase shifts used to reduce fringing of interference and other incidental artifacts. This is the result of the grayscale enclosing region.

【0044】関係する層の初期の厚さは、最大の多様性
を与えるため、つまり、層の簿膜化(layer thinning)
と対応する位相遅延値の全レンジの任意の値の達成が可
能となるように、通常、1波長あるいはこの整数とされ
る。この初期の値は、以下の式(4)によって表わされ
る。
The initial thickness of the layers involved gives the greatest variety, ie, layer thinning.
It is usually one wavelength or an integer thereof so that it is possible to achieve an arbitrary value in the entire range of the phase delay value corresponding to. This initial value is represented by the following equation (4).

【0045】[0045]

【数4】 [Equation 4]

【0046】ここで、λは描画放射線の波長、nは屈折
率である。
Here, λ is the wavelength of the drawing radiation, and n is the refractive index.

【0047】例えば、水銀Iライン(n=1.6のガラ
ス状の層に対して、λ=365μm)の場合、初期の厚
さは、約61μmである。本発明による材料除去あるい
はフローは任意の要求される位相遅延を可能にする。
For example, for the mercury I line (λ = 365 μm for a glassy layer with n = 1.6), the initial thickness is about 61 μm. Material removal or flow according to the present invention allows for any required phase delay.

【0048】図面 示される図面は、一例としての本発明のあり得る商業上
のバージョンである。全ては、共通する目的、つまり、
特に、上に詳細に説明された様に分解能を向上するため
のイメージフロント内の描画放射線の位相角度に強調を
置いての、製造される物品上に受信されたときイメージ
フロントを正確に制御するために使用されるマスクの簡
便な製造と言う目的を共有する。本発明に従う、マスク
全体あるいは関係する部分の製造は、常に、以下の二つ
の基本的手順から成る。第一の手順は、”レジスト”層
の全体を通じて伸びる領域内の材料を”デジタル”除去
する手順であり、この領域の除去は、手順2の目的が達
成されるような断面寸法及び分布を持つように行なわれ
る。第二の手順はデジタル除去に直接的あるいは間接的
に起因する段状の変動の”平均化”であり、これは材料
フロー(material flow )を含む、あるいはこれから構
成される処理ステップによって達成される。最も単純な
実施例においては、除去された領域を包囲する材料のフ
ロー自体が全体としての目的を達成させる。別の実施例
においては、レジストパターン転写の結果としての開口
の回りあるいは突起内での材料のフロー;あるいは下側
材料内へのフロー;あるいは(リフトオフによる)上側
のその後堆積された材料内へのフロー;あるいはパター
ン化、場合によっては、フロー充填された溶剤材料の除
去の後に留まる溶出材料の形式での材料のフローを提供
する。
Drawings The drawings shown are possible commercial versions of the invention by way of example. All have a common purpose:
In particular, precisely control the image front as received on the article to be manufactured, with emphasis on the phase angle of the writing radiation within the image front to improve resolution, as described in detail above. They share the purpose of convenient manufacture of the masks used for. The manufacture of the entire mask or the relevant parts according to the invention always consists of two basic steps: The first procedure is a "digital" removal of material in regions that extend throughout the "resist" layer, the removal of this region having a cross-sectional dimension and distribution such that the purpose of step 2 is achieved. Is done as follows. The second procedure is the "averaging" of step variations caused directly or indirectly by digital removal, which is accomplished by a processing step involving or consisting of material flow. . In the simplest embodiment, the flow of material surrounding the removed area itself achieves its overall purpose. In another embodiment, the flow of material around the openings or in the protrusions as a result of resist pattern transfer; or into the lower material; or into the upper subsequently deposited material (by lift-off). Flow; or patterned, optionally providing a flow of material in the form of an eluting material that remains after removal of the flow-filled solvent material.

【0049】図1から3は、本発明の製造プロセスの最
も単純な形式の一例である。つまり、ここでは、デジタ
ルパターニング並びにバックフロー充填が単一の最初に
提供された”レジスト”層内において起こる。これら目
的に対しては、用語”レジスト”はこの最も一般的な意
味、つまり、その後の処理の選択的”レジスト作用”を
持つ、例えば、レジストパターンのいずれかのトーンを
上に転写することを可能とする除去あるいは(場合によ
っては材料の追加によって補充される)保持による開口
パターン化などのようなパターン化能力(patternabili
ty)と定義される。用語”レジスト”は、描画エネルギ
ー自体に応答して、例えば、蒸発によってパターン化さ
れた、並びにその後のあるいは同時的な現像に応答して
パターン化された材料も含む。
1 to 3 are examples of the simplest form of the manufacturing process of the present invention. That is, here, digital patterning as well as backflow filling occur within a single initially provided "resist" layer. For these purposes, the term "resist" has its most general meaning, that is, having the selective "resist action" of subsequent processing, eg, transferring any tone of the resist pattern onto it. Patternability, such as opening patterning by removal or retention (possibly supplemented by addition of material) to allow
ty) is defined. The term "resist" also includes materials that are patterned in response to the writing energy itself, for example by evaporation, as well as in response to subsequent or simultaneous development.

【0050】図1は基板10及び支持されるレジスト層
11から成る開始本体を示す。制限することを意図する
ものではないが、これは最新鋭の製造との関連で説明さ
れ、基板10は、6”から8”の横方向寸法及びインチ
の分数の厚さを持つ。これらの全ては、処理の簡便さ、
頑丈さ及びその他の機械的特性によって要求されるもの
である。基板10及びレジスト11の両方の材料は複数
の良く知られた考慮事項に依存する。例えば、マスクが
透過モードにて動作することを期待される場合は、基板
はマスクされるためには十分に透明でなればならない。
マスクが反射モードにて動作される場合は、例えば、x
−線スペクトルのエネルギーをマスク描画するときは、
基板材料は、機械的特性との関連のみで選択される。
FIG. 1 shows a starting body consisting of a substrate 10 and a supported resist layer 11. While not intended to be limiting, this is described in the context of state-of-the-art manufacturing, where the substrate 10 has lateral dimensions of 6 "to 8" and a fractional thickness of inches. All of these are easy to process,
It is required by robustness and other mechanical properties. The materials for both substrate 10 and resist 11 depend on a number of well-known considerations. For example, if the mask is expected to operate in transmissive mode, the substrate must be sufficiently transparent to be masked.
If the mask is operated in reflective mode, for example, x
− When mask drawing the energy of the line spectrum,
The substrate material is chosen only in relation to its mechanical properties.

【0051】図1の開始本体が、次に、例えば、電子ビ
ーム露出システム(Electron BeamExposure System )
の書き込みを使用して、電子ビーム書き込みによってパ
ターン描画される。この通常に使用されているパターニ
ングアプローチの一般的な説明に関しては、L.F.ト
ンプソン(Thompson)、C.G.ウイルソン(Willson
)及びM.J.ボーデン(Bowden)らによって、AC
Sシンポジュウムシリーズ(ACS Symposium Series)
(1983年)、ページ73に掲載の論文『マイロクリ
ソグラフィー入門(Itroduction to Microlithography
)』を参照すること。別の方法では、”マスター”マ
スクが使用されることもあるが、これ自体が、電子ビー
タ書き込みの使用によって製造される。マスタからのイ
メージ転写は、パターンを持たない放射線、例えば、U
Vあるいはx線スペクトルのラスタ走査あるいはフラッ
ド電磁放射線によるマスク照射を伴う。
The starter body of FIG. 1 is then used, for example, in the Electron BeamExposure System.
Writing is used to pattern by electron beam writing. For a general description of this commonly used patterning approach, see L. et al. F. Thompson, C.I. G. Willson
) And M.M. J. AC by Bowden et al.
S Symposium Series
(1983), page 73, "Introduction to Microlithography"
) ”. Alternatively, a "master" mask may be used, which itself is manufactured by the use of electronic beater writing. The image transfer from the master is a patternless radiation, eg U
It involves raster scanning of the V or x-ray spectrum or mask irradiation with flooded electromagnetic radiation.

【0052】本発明の一つの重要な特徴は、単一の描画
ステップによって、デバイス機能パターン並びに最終的
なデバイス内に複製が要求されない、あるいは通常これ
が回避される消耗あるいはその他の形状あるいはその他
の補償形状のパターニングが達成される。換言すれば、
デバイスの機能とは関連しないがデバイス製造の便宜上
必要となる形状、例えば、消耗開口、グレースケールを
作るための回折格子が関連するデバイス機能パータン描
画を達成するのと同一の単一のステップにて描画され
る。単一マスク層を使用して単一のステップにて両方の
動作を遂行することを可能する本発明のこの特徴は、追
加のマスキングステップと関連する追加の収率損失を回
避する。
One important feature of the present invention is that a single drawing step does not require, or usually avoids, duplication within the device functional pattern as well as the final device, or wear or other shape or other compensation. Shaped patterning is achieved. In other words,
Shapes that are not related to device functionality, but are needed for device manufacturing convenience, such as consumable apertures, diffraction gratings to create gray scale, are associated with the same device function pattern in a single step. Is drawn. This feature of the invention, which allows a single mask layer to be used to perform both operations in a single step, avoids the additional yield loss associated with the additional masking step.

【0053】レジスト層11を構成する材料は、ここで
も、意図するマスクモード(反射あるいは透過)、及び
マスクされるべきデバイス描画放射線の同様の関連する
特性に依存する。本発明によるマスク製造の観点から
は、レジスト材料は、それが描画エネルギー自体によっ
てパターン化されるか、付随の現像によるかに関係な
く、レジストに通常要求されない追加の特性を持たなけ
ればならない。示される実施例の場合は、レジストは、
いったん開口パターン化されたら、いかにして誘導され
るかは別として、本発明による充填要件を達成するタイ
ミングの良いフローを与えるフロー特性を持たなければ
ならない。
The material from which the resist layer 11 is constructed again depends on the intended mask mode (reflection or transmission), and similar relevant properties of the device writing radiation to be masked. From the perspective of mask fabrication according to the present invention, the resist material, whether it is patterned by the writing energy itself or by attendant development, must have additional properties not normally required for the resist. In the example shown, the resist is
Apart from how it is guided once it is aperture patterned, it must have flow characteristics that give it a timely flow to achieve the fill requirements according to the invention.

【0054】図2は、パターン描画の後の図1の構造を
示す。層11の材料の選択的除去が消耗形状ホール1
2、柱13及び長方形のライン突起14を与え、基板表
面15を露出させる。
FIG. 2 shows the structure of FIG. 1 after pattern drawing. Selective removal of material of layer 11 is consumable shape hole 1
2, pillars 13 and rectangular line protrusions 14 are provided to expose the substrate surface 15.

【0055】図3において、開口12を包囲し、突起1
3及び14を構成するレジスト材料の例えば温度の上昇
あるいは他の手段によって誘引されるフローの結果とし
て平均化された層の厚さが得られる。関連するフロー
は、以下の5つの厚さの機能マスキング層16を構成す
るレジスト層を生成する。つまり、最初に堆積された領
域17;等しい寸法/間隔を持つ開口12を実質的に満
たすためのフローから得られる領域18;突起14を囲
む長方形の開口のフロー充填から得られる領域19;
(フロー材料を与えるより広い間隔の柱の形式にて保持
されるより少ない層材料から生成されるより薄い領域を
含めて)全ての所で局所的な平均化を起こさせるように
結果として設計的にフローが制限される領域20及び2
1;及び、最後に、本質的に充填されない、つまり、他
のパターニングのための描画の際に本質的に裸にされる
領域22から成る5つの厚さから成るレジスト層が生成
される。(各所で説明されているように、領域22は、
実際、非常に薄い層のレジスト材料(図示無し)、例え
ば、本発明によって要求される低角度のウエッティング
を可能にする十分に高いエネルギーの裸の表面を提供す
るために基板10の選択によって課せられるエネルギー
要件を満足させるために必要となる100Åの厚さの材
料をサポートする。)
In FIG. 3, the protrusion 12 is surrounded by the opening 12.
An averaged layer thickness is obtained as a result of the flow of resist material comprising 3 and 14 being induced by e.g. elevated temperature or other means. The associated flow produces a resist layer that comprises the following five thicknesses of functional masking layer 16. That is, the first deposited region 17; the region 18 resulting from the flow to substantially fill the openings 12 of equal size / spacing; the region 19 resulting from the flow filling of the rectangular openings surrounding the protrusions 14;
Resultantly designed to cause local averaging everywhere (including thinner regions produced from less layer material held in the form of wider spaced columns that provide flow material) Areas 20 and 2 where flow is restricted to
1; and finally, a resist layer consisting of five thicknesses consisting of regions 22 that are essentially unfilled, that is to say essentially exposed during writing for other patterning. (As described elsewhere, region 22
In fact, a very thin layer of resist material (not shown), for example imposed by the choice of substrate 10 to provide a bare surface of sufficiently high energy to enable the low angle wetting required by the present invention. Supports 100Å thick material needed to meet the energy requirements required. )

【0056】簡素化のために、図1から図3は、製造さ
れるマスクの一部を示すが、これらの部分は、これのみ
ではないが、主に、本発明の補償パターニングと関連す
る。示されるように、本発明は、デバイスパターン描画
が(例えば、デバイスパターンの分解能向上のために向
けられる)本発明のパターン変動と同時に同一マスクに
よって得られるという長所を持つ。従って、示されてい
る破られたセクションはこのような追加のデバイスパタ
ーン情報を含む製造中のマスクの部分のみを示す可能性
がある。
For simplicity, FIGS. 1-3 show some of the masks that will be manufactured, but these parts are primarily, but not exclusively, associated with the compensatory patterning of the present invention. As shown, the present invention has the advantage that device pattern writing is obtained by the same mask at the same time as the pattern variations of the present invention (eg, aimed at improving the resolution of the device pattern). Therefore, the broken section shown may only show the portion of the mask under fabrication that contains such additional device pattern information.

【0057】示されるように、図1から3は同様に製造
中の透過モードのマスクを示す(ただし、レジスト層1
1の材料の適当な選択により、反射モードでの使用も可
能である)。示されてはいないが、レジスト層の厚さに
関するフローによって課せられる変動と対応する表面計
測値は、透過レジストに対する場合でも、蒸着などによ
る金属あるいは他の反射コーティング(図示無し)の追
加によって反射モードにも使用される。その他の異なる
点は、このケースにおいては、(領域16−20から成
る)処理されたコーティングを通じての一往復の通路と
関わる可変経路長及びこれと関連して変化する位相遅延
を持つ下側の反射層(図示無し)に依存する。
As shown, FIGS. 1 to 3 similarly show a transmission mode mask being manufactured (with the exception of resist layer 1).
It is also possible to use it in the reflection mode by suitable selection of the material of 1). Although not shown, the variations imposed by the flow on the resist layer thickness and the corresponding surface measurements, even for transmissive resists, reflect mode by addition of metal or other reflective coating (not shown) such as by evaporation. Also used for. Another difference is that in this case the lower reflection with variable path length and associated phase delay associated with one round trip path through the treated coating (comprising regions 16-20). Depends on layer (not shown).

【0058】図4から7は初期レジストパターニングが
下側の層に転写され、こうしてパターン化された下側の
層がその後図3との関連で説明されたのと同一の方法に
てフローされる一つのバリエーションを示す。目的は、
レジストの要求される化学線特性を描画材料の要求され
るマスク特性から切り離すことにある。つまり、例え
ば、最終的に処理されるレジストのために比較的安定で
耐久性のある無機ガラス状材料を代用することにある。
4-7, the initial resist patterning is transferred to the lower layer, and the thus patterned lower layer is then flowed in the same manner as described in connection with FIG. One variation is shown. My goal is,
It is to separate the required actinic radiation characteristics of the resist from the required mask characteristics of the drawing material. That is, for example, to substitute a relatively stable and durable inorganic glassy material for the final processed resist.

【0059】図4は、順に、レジスト層32をサポート
するマスクパターン層として最終に機能する層31をサ
ポートする基板30を示す。図5においては、ここで
も、例えば、電子ビーム直接書き込みによる描画及び現
像の結果として、開口33、長方形突起34及び柱35
の形式にて形状が生成される一方、基板表面36、この
ケースにおいては、層31の表面が露出される。
FIG. 4 shows, in sequence, the substrate 30 supporting a layer 31 which finally functions as a mask pattern layer supporting a resist layer 32. In FIG. 5, here again, for example, as a result of drawing and development by electron beam direct writing, the openings 33, the rectangular protrusions 34 and the columns 35.
While the shape is produced in the form of a substrate surface 36, in this case the surface of the layer 31, is exposed.

【0060】図6においては、パターンは、層31aと
して示される層31に転写され、結果として、ここで
も、ホール及び突起37、38及び39から構成される
陽画が得られる一方、表面40、このケースにおいて
は、基板30の表面が露出される。形状33、34、3
5並びに37、38及び39の陽の複製は、層32を構
成する陽トーンのレジストの使用の結果として得られ
る。
In FIG. 6, the pattern is transferred to layer 31, shown as layer 31a, resulting in a positive image again composed of holes and protrusions 37, 38 and 39, while surface 40, In the case, the surface of the substrate 30 is exposed. Shape 33, 34, 3
The positive replicas of 5 and 37, 38, and 39 result from the use of positive tone resists that make up layer 32.

【0061】図7においては、例えば、温度の上昇によ
って誘引されるフローは、このケースにおける、例え
ば、低融点ガラスから成る層31の材料の場合、ここで
も結果として、ここで31bとして示されるパターン化
された層内の6つの別個の領域を与える。厚さの順にこ
ら領域は、41、42、43、44、45及び46とし
て示され、後者は、高エネルギー表面の露光に起因する
エネルギー要件を満たすために要求されるオングストロ
ーム規模の(例えば、前述のように約100Åの)厚さ
を除いてはサポート材料39を持たない。
In FIG. 7, for example, the flow induced by an increase in temperature is the result in this case, again in the case of the material of the layer 31 of, for example, low-melting glass, the pattern indicated here as 31b. Six distinct regions within the layer are provided. In the order of thickness, these regions are shown as 41, 42, 43, 44, 45 and 46, the latter of which is on the Angstrom scale (eg, as described above) to meet the energy requirements due to exposure of high energy surfaces. The support material 39 is not provided except for the thickness (about 100Å).

【0062】図8−10は、さらにもう一つのバリエー
ションを示すが、ここでは、レジスト材料のデジタル除
去の後に、最終的に、(結果として層の厚さを平均化す
るために)消耗開口がフロー充填される。
FIGS. 8-10 show yet another variation, in which after the digital removal of the resist material, the consumable openings are finally (to result in averaging the layer thickness). Flow filled.

【0063】この例においては、図8は、図2あるいは
6のそれにおけるレベルの製造中のマスクを示す。示さ
れるように、基板50は、材料51(例えば、図1の層
11のレジスト材料、あるいは、例えば、図6の層31
aのガラス状材料)の描画された層をサポートする。パ
ターン化された層51は、ホール52、長方形突起53
及び柱54を含む。この製造の段階において、示される
潜在デバイス部分を横断して均一な堆積条件のために層
領域55の追加が必要となった。層55内で機能する金
属あるいは他の反射材料の選択は、本発明に従う反射マ
スクの一つの形式に対する条件を満たす。
In this example, FIG. 8 shows the mask in production at the level in that of FIG. 2 or 6. As shown, the substrate 50 includes a material 51 (eg, the resist material of layer 11 of FIG. 1 or layer 31 of FIG. 6).
a glassy material of a). The patterned layer 51 includes holes 52, rectangular protrusions 53.
And columns 54. At this stage of manufacturing, additional layer regions 55 were required for uniform deposition conditions across the potential device portion shown. The choice of metal or other reflective material that functions in layer 55 meets the requirements for one type of reflective mask according to the present invention.

【0064】図9において、材料51のリフトオフ(li
ftoff) の結果、基板50と接触する領域55のみが保
持されることとなる。示されるようにリフトオフに起因
する複製は、意味を持つのは陰のトーンであり、ホール
52は、ここでは柱56によって表わされ、突起53は
細長い槽によって、柱54は開口58によって表わされ
る。
In FIG. 9, the lift-off of the material 51 (li
As a result of the ftoff), only the region 55 in contact with the substrate 50 is held. The duplication due to lift-off, as shown, is the shadow tone of significance, the holes 52 are here represented by posts 56, the protrusions 53 are represented by elongated tubs, and the posts 54 are represented by openings 58. .

【0065】図10において、前の図面との関連で説明
された特性及び条件下での材料のフローの結果、局所的
な厚さの平均化が起こり、これによって、次第に厚さが
小さくなる領域59、60、61、62、及び最終的に
実質的に裸になる領域63(例えば、エネルギー的に規
定される初期フローが常に起こるようなオングストロー
ムレンジの厚さの領域)を生成する。
In FIG. 10, the flow of material under the properties and conditions described in connection with the previous figures results in local thickness averaging, which results in regions of progressively smaller thickness. 59, 60, 61, 62, and finally a region 63 that is substantially naked (eg, a region of thickness in the Angstrom range such that an energetically defined initial flow always occurs).

【0066】図11及び12は示される他の図面と共通
して、デジタル変化に応答してフローによって複数のレ
ベル、さらには連続的に変動する位相遅延値が提供され
るマスク製造を図解する。図11は、基板66によって
サポートされる最も上の層65がフローされ、結果とし
て、例えば、図3のステージに示されるような構造を与
えるステージを示す。フローはここで67、68、6
9、70及び71として示される厚さの次第に減少する
5つの別個の領域を与える。このステージにおいて、バ
ックフロー充填された構造は、蒸気72に、例えば、金
属蒸気に、この全体の厚さを通じてレジスト層65が飽
和されるのに十分な条件及び時間にて露出される。
FIGS. 11 and 12, in common with the other figures shown, illustrate mask fabrication in which the flow provides multiple levels, as well as continuously varying phase delay values, in response to digital changes. FIG. 11 shows a stage in which the topmost layer 65 supported by the substrate 66 is flowed, resulting in a structure such as that shown in the stage of FIG. 3, for example. The flow here is 67, 68, 6
It provides five discrete regions of decreasing thickness, designated as 9, 70 and 71. At this stage, the backflow-filled structure is exposed to vapor 72, eg, metal vapor, under conditions and for a time sufficient to saturate resist layer 65 throughout its entire thickness.

【0067】図12においては、レジストホスト(ある
いはマトリックス)が、例えば、金属層73を残すよう
な条件下において溶解あるいは蒸発によって除去され
る。この金属層73は領域74−78によって特性化さ
れ、これら領域は、それぞれ領域67−71のそれと均
一な厚さが達成されるという程度において同一の相対的
な厚さを持つ。示されるデバイスのための処理条件下で
は、蒸気72はフリー表面71上には堆積せず、層65
のホスト材料内の溶液によってのみ保持される。このよ
うな条件下においては、領域78はコートされない。
In FIG. 12, the resist host (or matrix) is removed by dissolution or evaporation, for example, under conditions that leave the metal layer 73. This metal layer 73 is characterized by regions 74-78, which have the same relative thickness to the extent that a uniform thickness is achieved with that of regions 67-71, respectively. Under the processing conditions for the device shown, the vapor 72 does not deposit on the free surface 71 and the layer 65
Retained only by the solution in the host material. Under these conditions, region 78 is uncoated.

【0068】蒸気72がデバイス製造描画エネルギーに
対して反射性を持つ金属であるようなケースにおいて
は、製品、つまり、マスクは、反射性であり、このよう
なエネルギーに対して要求される階段的な位相遅延値を
与える制御された異なる経路長を持つ。多くのケースに
おいて、このアプローチは、非常に薄い層状材料の制
御、つまり、物理的フローによっては容易に実現できな
い程度の制御を可能にする。
In the case where the vapor 72 is a metal that is reflective to the device manufacturing writing energy, the product, ie the mask, is reflective and the stepwise steps required for such energy. With different controlled path lengths giving different phase delay values. In many cases, this approach allows control of very thin layered materials, a degree that is not readily achievable by physical flow.

【0069】デバイスの描画 本発明に従って構成されるマスクの動作特性を考慮する
ことは有効なことである。主要な考慮事項は、デバイス
製造に使用されるべき放射線の波長と関連する。このセ
クションでは、この面と本発明との関連について説明さ
れる。
Device Drawing It is useful to consider the operating characteristics of a mask constructed in accordance with the present invention. A major consideration relates to the wavelength of radiation that should be used in device manufacturing. This section describes this aspect and its relevance to the present invention.

【0070】第一世代の位相マスクの目的は、現在使用
されている描画エネルギーにてより高い分解能及びエネ
ルギー品質を得ることにある。これには、可視スペクト
ル内のエネルギー、例えば、4360Åの水銀Gライ
ン;深UVスペクトル内のエネルギー、例えば、248
0Åのフッ化クリプトンエキシマレーザラインあるいは
水銀の2540Åライン;及び終極的なより短い波長、
例えば、フッ化アルゴンエキシマレーザ1930Åライ
ンが含まれる。
The purpose of the first generation phase mask is to obtain higher resolution and energy quality with the writing energy currently used. This includes energies in the visible spectrum, eg 4360Å mercury G lines; energies in the deep UV spectrum, eg 248.
0 Å krypton fluoride excimer laser line or 2540 Å line of mercury; and ultimate shorter wavelength,
For example, the argon fluoride excimer laser 1930Å line is included.

【0071】本発明は波長によって制約されるものでは
ない。これは、例えば、投影あるいは近接プリンティン
グにおいて使用されるようなx−線スペクトルの描画放
射線とともに使用することも可能である。ここに参照の
ために編入される1990年10月10日付けで同時に
出願された合衆国特許出願SN595,341号は、例
えば、x−線スペクトルのより長い波長部分、例えば、
40Åから200Åのレンジ内の波長に対して縮小モー
ドにて動作する効果的な投影システムを開示及び請求す
る。これは、x−線近接プリンティング内でも使用で
き、これによって、このスペクトルのさらに短い部分
(例えば、9Åから18Åレンジを含む)を通じての使
用を可能にする。新技術においては、同一の原理が、4
0から200Åレンジのx−線投影リソグラフィーに対
するマスクにも適用する。マスク製造の技術もまた9か
ら18 レンジ内のx−線投影プリンティングを使用す
ることも考えられる。
The present invention is not wavelength limited. It can also be used with writing radiation in the x-ray spectrum, as is used, for example, in projection or proximity printing. US Patent Application SN 595,341, filed concurrently on October 10, 1990, incorporated herein by reference, discloses, for example, longer wavelength portions of the x-ray spectrum, eg,
Disclosed and claimed is an effective projection system operating in reduced mode for wavelengths in the range of 40Å to 200Å. It can also be used in x-ray proximity printing, thereby allowing use throughout even shorter portions of this spectrum, including, for example, the 9Å to 18Å range. In new technology, the same principle is
It also applies to masks for x-ray projection lithography in the 0 to 200Å range. Mask fabrication techniques are also envisioned using x-ray projection printing in the 9 to 18 range.

【0072】エッシ散乱放射線並びに他の付随する分解
能を損なう影響を位相遅延相殺することに形状エッジの
鋭さを向上するための投影システムには描画放射線のか
なりの程度の干渉性が要求される。照射コヒーレンス
は、時間的(縦)コヒーレンスと横あるいは空間コヒー
レンスの両者に関する。時間的コヒーレンスは、放射線
のバンド幅と関連し、例えば、波数によって表わされる
コヒーレンス長として表わすことができる。意味ある干
渉が起こるためには、特定のポイントの所で結合する波
の間の位相の差がその放射線のコヒーレンス長以下であ
ることが要求される。位相マスクでの関心においては、
位相差は、数波長以上であることは殆どない。このよう
な状況においては、示される一例としての光源の使用に
よって得られるコーヒレンス長は、十分に長く、問題を
起こすことはない。
A significant degree of coherence of the writing radiation is required in the projection system to improve the sharpness of the shape edges in phase-delaying cancellation of Essi scattered radiation as well as other attendant resolution-degrading effects. Irradiation coherence refers to both temporal (longitudinal) coherence and lateral or spatial coherence. Temporal coherence is related to the bandwidth of radiation and can be expressed as, for example, the coherence length represented by the wavenumber. In order for meaningful interference to occur, the phase difference between the waves that combine at a particular point must be less than or equal to the coherence length of the radiation. In interest in phase masks,
The phase difference rarely exceeds several wavelengths. In such a situation, the coherence length obtained by using the exemplary light source shown is sufficiently long to cause no problems.

【0073】もう一つの面は、空間あるいは横コヒーレ
ンスである。これは、開口数NA、及びフィリング係数
(filling factor)σによって特性化される。遠いポイ
ントの所(ポイント光源として機能するのに十分に遠
い)におかれるレーザーあるいはインコヒーレント光源
であるときは、σ=0であり、かなりの横方向のコヒー
レンスが存在する。より大きな光源(例えば、拡散され
たポイント光源)の場合は、横コヒーレンスは小さく、
より大きなσを与える。通常のリソグラフィーにおいて
は、低いσは小さな形状のより鋭いエッジを与えるが、
ただし、リンギング(ringing )及び干渉効果を持ち、
従って、幾分大きなσの値が好ましい。通常、σ=約
0.5の値が適当である。位相マスクの場合、リンギン
グは重大な関心事であるが、ただし、ここでも、リンギ
ングとエッジ定義との間の妥協を表わす有限の値のσが
適当である。
The other surface is space or lateral coherence. It is characterized by a numerical aperture NA and a filling factor σ. For a laser or incoherent source placed at a distant point (far enough to act as a point source), σ = 0 and there is considerable lateral coherence. For larger sources (eg diffuse point sources) the lateral coherence is small,
Gives a larger σ. In normal lithography a low σ gives sharper edges with smaller shapes,
However, it has ringing and interference effects,
Therefore, a somewhat larger value of σ is preferred. Usually, a value of σ = about 0.5 is suitable. In the case of phase masks, ringing is a serious concern, but again a finite value of σ is appropriate, which represents a compromise between ringing and edge definition.

【0074】現在、例えば、σ=約0.5を持つ現存の
カメラとともに機能する位相マスクシステムが設計され
ている。本発明に従って製造されるマスクはこのような
σの値を使用する。遭遇される条件によって、より小さ
な妥協値が使用される可能性もある。部分的コヒーレン
ト光(有限値のσ)の使用は、干渉の多くの作用を平均
化する。位相及び振幅の両者の完全な制御は、このよう
な平均化の必要性を排除し、σ=0を使用することによ
って実現可能なエッジの鋭さの最適化を可能にする。
Currently, phase mask systems are designed to work with existing cameras, for example with σ = approximately 0.5. Masks made in accordance with the present invention use such σ values. Depending on the conditions encountered, smaller compromise values may be used. The use of partially coherent light (a finite value of σ) averages out many effects of interference. Full control of both phase and amplitude eliminates the need for such averaging and allows optimization of edge sharpness achievable by using σ = 0.

【図面の簡単な説明】[Brief description of drawings]

本願添付の図面は、本件発明の要件を満たす一例とし
て、マスク形状の製造を逐次ステップにて示すものであ
る。
The drawings attached to the present application show, as an example satisfying the requirements of the present invention, sequential steps of manufacturing a mask shape.

【図1−図3】基板及びレジストから構成される2層本
体領域が除去(開口を作ること)によって直接的に成形
され、これによって、消耗イメージが生成され、これが
後に最終的なマスクを生成するためにフローされる一つ
の構造的アプローチを示す。
1-3: A two-layer body region composed of a substrate and resist is directly shaped by removal (making an opening), which produces a wear image, which later produces the final mask. One structural approach that is flowed to

【図4−図7】図1−3と類似するが、現像された消耗
イメージがレジスト下側の材料層に転写された後に材料
がフローされるアプローチを示す。
FIGS. 4-7 are similar to FIGS. 1-3 but show an approach in which material is flowed after the developed depleted image is transferred to the material layer under the resist.

【図8−図10】終極的な構造が既にパターン描画され
た層上に堆積された追加の材料から構成され、その後、
この堆積された材料に主にあるいは完全に依存するマス
クパターンを残すためにこの構造がリフトオフされるア
プローチを示す。
8-10: The ultimate structure is composed of additional material deposited on the already patterned layer, after which
We present an approach in which the structure is lifted off to leave a mask pattern that depends predominantly or completely on the deposited material.

【図11−図12】例えば、金属蒸気に依存する反射モ
ードマスクの製造を示す。ここでは、既に定義/バック
フローされた層上への堆積の後に、これら層材料内に金
属蒸気が拡散飽和され、その後、金属のみが残るように
ホスト材料が除去される。
11-12 illustrate, for example, the fabrication of reflective mode masks that rely on metal vapor. Here, after deposition on already defined / backflowed layers, the metal vapor is diffusion saturated in these layer materials, after which the host material is removed so that only the metal remains.

【符号の説明】[Explanation of symbols]

10 基板 11 レジスト層 12 開口 13、14 突起 16 マスキング層 10 Substrate 11 Resist Layer 12 Openings 13 and 14 Protrusion 16 Masking Layer

Claims (23)

【特許請求の範囲】[Claims] 【請求項1】 転写放射線の手段によって終極的に終極
パターンを得るために転写パターンの転写表面への転写
を可能とするための異なる特性の描画領域にて定義され
るマスキングパターンを持つマスキング層の使用を伴う
マスクの製造方法において、該終極パターンが1μmよ
り小さな最小寸法を持ち、該転写パターンの分解能が分
解能を低下させる効果を低減するための位相シフト特性
を提供することによって向上され、該位相シフト特性が
該転写表面の異なる領域間で該転写放射線の部分に対し
て相対的な位相シフトを提供するように設計され、該マ
スキングパターンが二つのタイプの情報、つまり(a)
該終極パターン内に含まれるべき終極パターン情報及び
(b)該終極パターン内に必ずしも含まれない補助転写
情報、とを含み、 該マスキング層が先駆マスキング層から製造され、該先
駆マスキング層が下側の材料を露出するように該先駆マ
スキングの全厚さを貫通して伸びる先駆開口領域によっ
て定義されるデジタルパターンを生成する開口を持ち、
該先駆開口領域が終極パターン情報の該最少寸法の30
%よりも大きくない最小寸法を持ち、該デジタルパター
ンが少なくとも物理的な材料のフローによって開口を部
分的に充填するステップを含む方法によって処理される
ことを特徴とする製造方法。
1. A masking layer having a masking pattern defined by drawing areas of different characteristics to enable transfer of the transfer pattern to a transfer surface to ultimately obtain the final pattern by means of transfer radiation. In a method of manufacturing a mask involving use, the final pattern has a minimum dimension of less than 1 μm, and the resolution of the transfer pattern is improved by providing a phase shift characteristic to reduce the effect of lowering the resolution. A shift feature is designed to provide a relative phase shift for the portion of the transfer radiation between different regions of the transfer surface, the masking pattern being of two types of information: (a)
Final mask pattern information to be included in the final mask pattern and (b) auxiliary transfer information not necessarily included in the final mask pattern, wherein the masking layer is manufactured from a precursor masking layer, and the precursor masking layer is underside. An opening that creates a digital pattern defined by a precursor opening area extending through the entire thickness of the precursor masking to expose the material of
The pioneer opening area is 30 of the minimum size of the final pattern information.
Manufacturing method having a minimum dimension not greater than%, the digital pattern being processed by a method comprising at least partially filling an opening with a flow of physical material.
【請求項2】 請求項1に記載の製造方法において、分
解能の低下が少なくとも一部は回折散乱放射線によって
生成され、該位相シフト特性が該転写放射線の一部に対
して180°の相対位相シフトを与えるように設計さ
れ、先駆領域が該終極パターン情報の最小寸法の15%
よりも大きくない最小寸法の消耗領域を含み、該材料の
フローの結果として消耗領域のかなりの充填が起こるこ
とを特徴とする製造方法。
2. The manufacturing method according to claim 1, wherein the decrease in resolution is generated at least in part by diffracted scattered radiation, and the phase shift characteristic is a relative phase shift of 180 ° with respect to a part of the transferred radiation. Is designed to give 15% of the minimum dimension of the final pattern information.
A method of manufacture comprising a consumable region of minimal size no greater than, wherein the flow of the material results in a significant filling of the consumable region.
【請求項3】 請求項2に記載の製造方法において、含
まれる先駆領域が消耗領域よりも大きいが最終パターン
から排除されるために十分に小さな非プリンティング領
域を含むことを特徴とする製造方法。
3. The manufacturing method according to claim 2, wherein the included precursor region includes a non-printing region which is larger than the consumable region but small enough to be excluded from the final pattern.
【請求項4】 請求項3に記載の製造方法において、該
非プリンティング領域が最終パターン転写放射線をこれ
が転写表面上に当るのを回避するのに必要な程度だけ回
折するようなサイズ及び間隔の形状を含むことを特徴と
する製造方法。
4. The method of claim 3, wherein the non-printing areas are sized and spaced such that the non-printing areas diffract the final pattern transfer radiation to the extent necessary to avoid hitting it on the transfer surface. A manufacturing method comprising:
【請求項5】 請求項3に記載の製造方法において、該
非プリンティング領域が回折格子から成ることを特徴と
する製造方法。
5. The manufacturing method according to claim 3, wherein the non-printing region is a diffraction grating.
【請求項6】 請求項1に記載の製造方法において、該
最終パターンが離散マスクの機能部分を構成することを
特徴とする製造方法。
6. The manufacturing method according to claim 1, wherein the final pattern constitutes a functional portion of a discrete mask.
【請求項7】 請求項1に記載の製造方法において、パ
ターン化がレジスト層内への電子ビーム書き込みによっ
て開始され、これによってレジスト材料が選択的に除去
され、開口イメージが生成されることを特徴とする製造
方法。
7. The method of claim 1, wherein patterning is initiated by electron beam writing into the resist layer to selectively remove the resist material and create an aperture image. And manufacturing method.
【請求項8】 請求項7に記載の製造方法において、該
開口イメージが消耗領域を含み、該消耗領域付近のレジ
スト材料がフローされ、該消耗領域が充填されることを
特徴とする製造方法。
8. The manufacturing method according to claim 7, wherein the opening image includes a consumable region, the resist material near the consumable region is flowed, and the consumable region is filled.
【請求項9】 請求項7に記載の製造方法において、該
開口イメージが下側の層に転写され、該下側層が処理さ
れ、この結果として、該マスキング層が得られることを
特徴とする製造方法。
9. The manufacturing method according to claim 7, wherein the opening image is transferred to a lower layer, and the lower layer is processed, resulting in the masking layer. Production method.
【請求項10】 請求項9に記載の製造方法において、
該下側層の処理がフローによって充填される消耗領域を
含む開口イメージを生成するために材料を除去すること
から成ることを特徴とする製造方法。
10. The manufacturing method according to claim 9,
A method of manufacturing wherein the processing of the lower layer comprises removing material to create an aperture image that includes a consumable region that is filled by flow.
【請求項11】 請求項10に記載の製造方法におい
て、該下側層が温度の上昇によってフローを起こし、該
消耗領域を充填するガラス層から本質的に構成されるこ
とを特徴とする製造方法。
11. The manufacturing method according to claim 10, wherein the lower layer causes a flow due to an increase in temperature and is essentially composed of a glass layer filling the consumable region. .
【請求項12】 請求項7に記載の製造方法において、
上側層が該開口パターンレジスト層の上に材料を堆積す
ることによって生成されることを特徴とする製造方法。
12. The manufacturing method according to claim 7,
A method of manufacturing characterized in that an upper layer is produced by depositing a material on the opening pattern resist layer.
【請求項13】 請求項12に記載の製造方法におい
て、その後の処理が開口内に選択的に保持された堆積材
料によって定義れるイメージが生成されるようにレジス
トの除去による堆積材料のリフトオフを含むことを特徴
とする製造方法。
13. The manufacturing method according to claim 12, wherein the subsequent processing comprises lift-off of the deposited material by removing the resist so that an image defined by the deposited material selectively retained in the opening is produced. A manufacturing method characterized by the above.
【請求項14】 請求項13に記載の製造方法におい
て、選択的に保持された材料がリフトオフに起因する領
域を充填するようにフローされることを特徴とする製造
方法。
14. The manufacturing method according to claim 13, wherein the selectively retained material is flowed so as to fill a region caused by lift-off.
【請求項15】 請求項8に記載の製造方法において、
該結果としてのリフローしてパターン化されたレジスト
層がこの層全体を実質的な飽和させるような条件下で該
レジスト層に対する溶質のソースとして機能する液体に
晒されることを特徴とする製造方法。
15. The manufacturing method according to claim 8,
A method of manufacture characterized in that the resulting reflow patterned resist layer is exposed to a liquid that acts as a source of solute for the resist layer under conditions that substantially saturate the entire layer.
【請求項16】 請求項15に記載の製造方法におい
て、該レジストが溶質のパターン化された領域が残るよ
うに実質的に除去されることを特徴とする製造方法。
16. The manufacturing method according to claim 15, wherein the resist is substantially removed so that the patterned region of the solute remains.
【請求項17】 請求項16に記載の製造方法におい
て、分散ブラッグ反射器が該溶質のパターン化された層
の上に構成されることを特徴とする製造方法。
17. The manufacturing method according to claim 16, wherein a distributed Bragg reflector is constructed on the patterned layer of the solute.
【請求項18】 請求項1の製造方法において、裏込め
(バックフロー)が転写放射線に対して互いに少なくと
も10°の位相差を結果として与えるのに十分な異なる
厚さ及び関連する異なる表面計測数の少なくとも3つの
別個の領域から成るマスキング層を生成することを特徴
とする製造方法。
18. The method of claim 1, wherein the backflows have different thicknesses and associated different surface measurement numbers sufficient to result in a phase difference of at least 10 ° with respect to the transfer radiation. Producing a masking layer consisting of at least three distinct regions of.
【請求項19】 請求項1の製造方法において、裏込め
(バックフロー)が連続体内の転写放射線に対して少な
くとも10°の位相差の最大変動を結果として与える変
化する厚さ及び関連する異なる表面計測数の層材料の連
続体から成るマスキング層を生成することを特徴とする
製造方法。
19. The method of claim 1, wherein backflow results in a maximum variation of phase difference of at least 10 ° with respect to the transfer radiation within the continuum and varying thickness and associated different surfaces. A method of manufacturing, characterized in that a masking layer consisting of a continuum of a measured number of layer materials is produced.
【請求項20】 請求項18あるいは19に記載の製造
方法において、複製されたとき陰トーンの別個のマスク
を生じる複製ステップが含まれることを特徴とする製造
方法。
20. A manufacturing method according to claim 18 or 19, characterized in that it comprises a duplicating step which, when duplicated, produces a separate mask of negative tones.
【請求項21】 請求項20に記載の製造方法におい
て、複製が高温圧縮によって達成されることを特徴とす
る製造方法。
21. The manufacturing method according to claim 20, wherein the duplication is achieved by hot pressing.
【請求項22】 請求項20に記載の製造方法におい
て、複製がカースティングによって達成されることを特
徴とする製造方法。
22. The manufacturing method according to claim 20, wherein the duplication is achieved by casting.
【請求項23】 請求項18あるいは19の製造方法に
おいて、複製されるべきマスクが複製されるべき表面を
向上させるために材料の選択的追加あるいは削減によっ
て修正されることを特徴とする製造方法。
23. The method according to claim 18 or 19, characterized in that the mask to be reproduced is modified by selective addition or reduction of material to enhance the surface to be reproduced.
JP6270392A 1991-03-22 1992-03-19 Manufacture of submicron device Pending JPH0594001A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US673626 1991-03-22
US07/673,626 US5217831A (en) 1991-03-22 1991-03-22 Sub-micron device fabrication

Publications (1)

Publication Number Publication Date
JPH0594001A true JPH0594001A (en) 1993-04-16

Family

ID=24703433

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6270392A Pending JPH0594001A (en) 1991-03-22 1992-03-19 Manufacture of submicron device

Country Status (7)

Country Link
US (1) US5217831A (en)
EP (1) EP0505103B1 (en)
JP (1) JPH0594001A (en)
KR (1) KR100211624B1 (en)
CA (1) CA2061622C (en)
DE (1) DE69221350T2 (en)
HK (1) HK1001927A1 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3179520B2 (en) * 1991-07-11 2001-06-25 株式会社日立製作所 Method for manufacturing semiconductor device
US5821033A (en) * 1992-09-18 1998-10-13 Pinnacle Research Institute, Inc. Photolithographic production of microprotrusions for use as a space separator in an electrical storage device
US5867363A (en) * 1992-09-18 1999-02-02 Pinnacle Research Institute, Inc. Energy storage device
US5279925A (en) * 1992-12-16 1994-01-18 At&T Bell Laboratories Projection electron lithographic procedure
JP2546135B2 (en) * 1993-05-31 1996-10-23 日本電気株式会社 Method of forming semiconductor fine shape, method of manufacturing InP diffraction grating, and method of manufacturing distributed feedback laser
US5980977A (en) * 1996-12-09 1999-11-09 Pinnacle Research Institute, Inc. Method of producing high surface area metal oxynitrides as substrates in electrical energy storage
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6368763B2 (en) 1998-11-23 2002-04-09 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6361911B1 (en) 2000-04-17 2002-03-26 Taiwan Semiconductor Manufacturing Company Using a dummy frame pattern to improve CD control of VSB E-beam exposure system and the proximity effect of laser beam exposure system and Gaussian E-beam exposure system
EP1869399A2 (en) * 2005-04-11 2007-12-26 Zetetic Institute Apparatus and method for in situ and ex situ measurement of spatial impulse response of an optical system using phase-shifting point-diffraction interferometry
US7428058B2 (en) * 2005-05-18 2008-09-23 Zetetic Institute Apparatus and method for in situ and ex situ measurements of optical system flare
US11528971B2 (en) * 2018-05-13 2022-12-20 Bob Michael Lansdorp Jewelry image projection and method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4665007A (en) * 1985-08-19 1987-05-12 International Business Machines Corporation Planarization process for organic filling of deep trenches
JP2710967B2 (en) * 1988-11-22 1998-02-10 株式会社日立製作所 Manufacturing method of integrated circuit device
US5091979A (en) * 1991-03-22 1992-02-25 At&T Bell Laboratories Sub-micron imaging

Also Published As

Publication number Publication date
EP0505103A1 (en) 1992-09-23
US5217831A (en) 1993-06-08
DE69221350D1 (en) 1997-09-11
CA2061622C (en) 1998-09-22
HK1001927A1 (en) 1998-07-17
DE69221350T2 (en) 1997-11-20
CA2061622A1 (en) 1992-09-23
EP0505103B1 (en) 1997-08-06
KR100211624B1 (en) 1999-08-02

Similar Documents

Publication Publication Date Title
JP5079012B2 (en) Method for forming a patterned material layer
EP0620498B1 (en) Phase-shifting transparent lithographic mask for writing contiguous structures from noncontiguous mask areas
US20040023161A1 (en) Pattern-forming apparatus using a photomask
US6013396A (en) Fabrication of chrome/phase grating phase shift mask by interferometric lithography
Tennant et al. Characterization of near‐field holography grating masks for optoelectronics fabricated by electron beam lithography
JPH0594001A (en) Manufacture of submicron device
CN107290929B (en) Photomask with multiple shielding layers
KR100675782B1 (en) Non absorbing reticle and method of making same
US20090317728A1 (en) Method for Fabricating Extreme Ultraviolet Lithography Mask
KR100287130B1 (en) Photoresist film and method for forming pattern therefor
US5695896A (en) Process for fabricating a phase shifting mask
JP4497259B2 (en) PHOTOMASK AND METHOD FOR FORMING FINE PATTERN OF SEMICONDUCTOR ELEMENT USING THE SAME
JP3566042B2 (en) Method for manufacturing phase inversion mask by adjusting exposure amount
CN114895521A (en) Patterning process and photomask
EP0424963B1 (en) Exposure mask
US6037082A (en) Design of a new phase shift mask with alternating chrome/phase structures
US5187726A (en) High resolution X-ray lithography using phase shift masks
JPH07152140A (en) Production of halftone type phase shift mask
US5942355A (en) Method of fabricating a phase-shifting semiconductor photomask
JPH10512683A (en) Phase shift mask structure with absorbing / attenuating sidewalls for improved imaging and method of making a shifter with absorbing / attenuating sidewalls
JP3130335B2 (en) Method of forming resist pattern
JPH07273021A (en) Manufacture of semiconductor device
JP6944255B2 (en) Manufacturing method of transfer mask and manufacturing method of semiconductor device
JP2560773B2 (en) Pattern formation method
JP2005181721A (en) Halftone phase shift mask

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20020507