JPH04239750A - フッ素含有シリコン酸化膜の形成方法 - Google Patents

フッ素含有シリコン酸化膜の形成方法

Info

Publication number
JPH04239750A
JPH04239750A JP3006312A JP631291A JPH04239750A JP H04239750 A JPH04239750 A JP H04239750A JP 3006312 A JP3006312 A JP 3006312A JP 631291 A JP631291 A JP 631291A JP H04239750 A JPH04239750 A JP H04239750A
Authority
JP
Japan
Prior art keywords
film
silicon oxide
oxide film
fluorine
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3006312A
Other languages
English (en)
Other versions
JP2697315B2 (ja
Inventor
Tetsuya Honma
哲哉 本間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP3006312A priority Critical patent/JP2697315B2/ja
Priority to US07/820,254 priority patent/US5215787A/en
Priority to EP92300422A priority patent/EP0496543B1/en
Priority to DE69224924T priority patent/DE69224924T2/de
Priority to KR1019920000782A priority patent/KR960000376B1/ko
Publication of JPH04239750A publication Critical patent/JPH04239750A/ja
Application granted granted Critical
Publication of JP2697315B2 publication Critical patent/JP2697315B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、絶縁膜の形成方法に関
し、特に、フッ素含有シリコン酸化膜の形成方法に関す
る。
【0002】
【従来の技術】従来、この種のシリコン酸化膜の形成に
は化学気相成長法が多用されている。例えば、ソースガ
スとして、テトラエチルオルソシリケート(化学式Si
(OC2 H5 )4 ),をバブリングによって気化
した後、反応室内でオゾンと混合し、基板温度300〜
400℃で常圧下で、シリコン酸化膜を形成する方法が
ある。また、ドーピングガスとして、トリメトキシリン
酸(化学式PO(OCH3 )3 )トリメトキシボロ
ン(化学式B(OCH3 )3 )を用い、リン,ホウ
素をドーピングする方法も用いられいてる(電気化学お
よび工業物理化学,Vol.56,No.7(1988
),P527)。
【0003】
【発明が解決しようとする課題】この従来のシリコン酸
化膜の形成方法は、以下のような問題点があった。すな
わち、成膜温度が300〜400℃と高いことからフォ
トレジスト膜等の有機膜をマスクとして用いて、選択的
にシリコン酸化膜を形成することが不可能であった。ま
た、成膜温度を下げると膜特性が著しく劣化し、実用に
供することができないという欠点も有している。さらに
、従来の方法で形成したシリコン酸化膜は、その内部応
力が、約1×10の9乗dyn/平方cmの強い引張応
力であり、2μm以上の厚膜を形成すると、亀裂が発生
しやすいという大きな問題が有り、アルミニウム配線等
の凹凸を有する基板上には形成することが難しいという
欠点を有している。
【0004】
【課題を解決するための手段】本発明のフッ素含有シリ
コン酸化膜の形成方法は、アルコキシフルオロシラン(
化学式Fn−Si(OR)4−n ,R:アルキル基,
n:1〜3の整数)を主成分とする蒸気を用いて一定の
温度を有する反応室内で、かつ200℃以下の温度で形
成せしめたことを特徴とする。さらに本発明は、フォト
レジスト膜,テフロン膜あるいはポリイミド膜等の有機
膜パターンを有する基板表面に、上記のアルコキシフル
オロシランを主成分とする蒸気を用いて、一定の温度を
有する反応室内で、かつ150℃以下の温度で、該有機
膜パターンのない部分に選択的に形成せしめることを特
徴とする。
【0005】
【実施例】次に本発明を図面を参照して説明する。本実
施例では、アルコキシフルオロシランとしてトリエトキ
シフルオロシラン(化学式F−Si(OC2H5 )3
 )を用いた。
【0006】成膜装置の概略を図1に示す。同図におい
て反応室101内にサセプター102を有し、サセプタ
ーは、加熱用ヒーター103を有しており、半導体基板
104は、サセプター上に固定される。なお、サセプタ
ーは、装置の上下のどちらにも設置でき、半導体基板表
面は、上下どちらを向いても良い。トリエトキシフルオ
ロシランは一定温度に保たれたバブラー107内に有り
、流量コントローラー105で一定流量にコントロール
された窒素ガスによって、バブリングされ、反応室内に
導入される。また、トリエトキシフルオロシランを縮合
せしめるための水蒸気は、純水を有し、かつ一定温度に
保たれたバブラー108から、流量コントローラー10
6で一定流量にコントロールされた窒素ガスによって、
バブリングされ、反応室内に導入される。反応室は、常
に排気され、一定の圧力に保たれている。また、各バブ
ラーから、反応室までのガスの輸送管は、加熱用ヒータ
ー109,110によって一定の温度に保たれている。
【0007】上記の装置を用いて、直径6インチのシリ
コン基板上にシリコン酸化膜を形成した。トリエトキシ
フルオロシランのバブラーは40℃にまた、純水のバブ
ラーは、60℃にそれぞれ保ち、バブリングに用いる窒
素ガスの流量は、1SLMとし、基板温度は30℃に固
定し、反応室内の圧力は約700Torrとした。
【0008】図2は、本実施例と従来方法の比較を示す
もので、本実施例により、60分間、膜堆積を行い、厚
さ約0.5μmのフッ素含有シリコン酸化膜を形成した
とき、100で示すような赤外吸収スペクトル(FT−
IR)を得た。一方、従来の方法で、250℃と350
℃の温度で、厚さ約0.5μmのシリコン酸化膜を形成
したときはそれぞれ110,120で示す赤外吸収スペ
クトル(FT−IR)を得た。本実施例(100)では
、波数,約3300cm−1,約1070cm−1,約
930cm−1付近に、それぞれ、OH基,Si−O結
合,Si−OH結合に相当する吸収ピークが見られ、S
i−Oを主成分とする膜が形成されていることがわかる
。OH基に相当する吸収ピークは30℃の極低温で形成
したにもかかわらず、従来法で250℃の温度で形成し
たシリコン酸化膜のOH基の吸収ピークよりも小さいこ
とがわかる。このことは、本発明に基づくシリコン酸化
膜は従来法で、250℃という比較的低温で形成したシ
リコン酸化膜よりも含有水分量が少ないことを示してい
る。 さらに、従来法で、350℃で形成したシリコン酸化膜
と比べると、OH基の吸収ピークの大きさは、同等であ
る。すなわち、本発明に基づいて30℃の極低温で形成
したシリコン酸化膜の含有水分量は、350℃で形成し
た従来のシリコン酸化膜と同等である。
【0009】さらに、本実施例で形成したシリコン酸化
膜の屈折率は、1.42と、従来のシリコン酸化膜の屈
折率(1.45)よりも小さい値であった。また、X線
光電子分光分析によって膜中のフッ素含有量を調べたと
ころ、フッ素原子の濃度は、約7原子%であった。また
、膜応力は、2×108 dyn/cm2 であり、従
来法で形成したシリコン酸化膜の膜応力(1×109 
dyn/cm2 )に比べて小さい値であった。
【0010】本実施例で形成したシリコン酸化膜上に面
積約4平方mmのアルミニウム電極を形成し、電気特性
を調べた。5V印加時のリーク電流密度は、約5×10
−11 A/平方cmであり、これは、従来法で350
℃で形成した同じ厚さのシリコン酸化膜のリーク電流(
約2×10−10 A/平方cm)に比べて小さいもの
であった。また、絶縁耐圧は、5MV/cmであり、従
来法で形成したシリコン酸化膜の絶縁耐圧(4MV/c
m)と比べて大きなものであった。さらに1MHzの容
量・電圧特性から求めた比誘電率は3.7であり、従来
法で形成したシリコン酸化膜の比誘電率(4.0)と比
べて小さい値であった。
【0011】本実施例で用いた成膜条件は一例であって
基板温度,反応室内圧力,ガス流量等は変化させること
ができるものである。
【0012】次に、本発明の第2の実施例を図面を参照
して説明する。本実施例においても、前実施例で用いた
成膜条件を用いた。
【0013】図3は、本発明の第2の実施例であるシリ
コン酸化膜の選択成長法を用い2層アルミニウム配線構
造体の層間絶縁膜に適用したときの工程断面図を示す。 同図(a)において、半導体基板301上、に厚さ約0
.5μmのPSG膜、厚さ約1μmの第1のアルミニウ
ム配線303,303′が順次形成されている。ここで
、アルミニウム配線をパターニングした時のフォトレジ
スト膜304,304′は残したままである。次に、同
図(b)に示すように、本発明に基づき厚さ約1μmの
フッ素含有シリコン酸化膜305をPSG膜上のみに、
選択的に形成する。続いて、フォトレジスト膜を酸素プ
ラズマ中で灰化した後、同図(c)に示すように再度、
本発明に基づく、厚さ約0.7μmのフッ素含有シリコ
ン酸化膜306を形成する。次に同図(d)に示すよう
に開孔307,307′を公知のフォトエッチング法に
より形成する。最後に、同図(e)に示すように第2の
アルミニウム配線308,308′を形成し完全に平坦
な2層アルミニウム配線が形成される。
【0014】上記の工程により形成した2層アルミニウ
ム配線構造体は、上層アルミニウム配線の断線,短絡は
全くなく良好な歩留りが得られた。また、亀裂の発生も
全く認められなかった。
【0015】本実施例では、配線材料として、アルミニ
ウムを用いているが、これは、ポリシリコン,アルミニ
ウム合金,金,タングステン,モリブデン,チタン合金
のうちの少なくとも1つから成る配線であっても良い。
【0016】また、フォトレジスト膜を灰化した後に形
成するフッ素含有シリコン酸化膜の替りに、他の方法で
形成した絶縁膜を用いることもできる。さらに、フォト
レジスト膜を残さずに、全面に、フッ素含有シリコン酸
化膜を形成し、層間絶縁膜に用いることも可能である。
【0017】本実施例では、多層配線層間膜への適用に
ついて述べているが、選択的にシリコン酸化膜を形成す
る方法として、他の用途への適用も可能である。
【0018】
【発明の効果】以上説明したように本発明はアルコキシ
フルオロシランを主成分とする蒸気を用いることによっ
て、200℃以下の低温、特に、室温でもシリコン酸化
膜が形成できるという大きな利点を有している。本発明
に基づいて形成したシリコン酸化膜の膜特性は、従来の
方法で形成したものに比べて良好であり、半導体装置に
用いる絶縁膜として実用が可能であるという利点を有し
ている。
【0019】さらに本発明は、150℃以下の温度でシ
リコン酸化膜を形成できることから、フォトレジスト膜
等の有機膜をマスクとして用いて、選択的にシリコン酸
化膜が形成できるという大きな利点を有しており、半導
体装置の製造過程で発生する表面の凹凸を容易に平坦化
できるという利点も併せ持つようになる。
【0020】したがって本発明は、半導体装置の製造に
多大な効果をもたらす。さらに、他の電子デバイスへの
適用も充分可能である。
【図面の簡単な説明】
【図1】本発明で用いたシリコン酸化膜の形成装置の概
略図である。
【図2】本発明の効果を示すための図であり、赤外吸収
スペクトルを示すものである。
【図3】本発明の第2の実施例の製造方法を示す工程断
面図である。

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】  アルコキシフルオロシラン(化学式F
    n−Si(OR)4−n ,R:アルキル基,n:1〜
    3の整数)を主成分とする蒸気を用いて一定の温度を有
    する反応室内で、かつ200℃以下の温度で形成せしめ
    たことを特徴とするフッ素含有シリコン酸化膜の形成方
    法。
  2. 【請求項2】  フォトレジスト膜,テフロン膜あるい
    はポリイミド膜等の有機膜パターンを有する基板表面に
    、アルコキシフルオロシランを主成分とする蒸気を用い
    て、一定の温度を有する反応室内で、かつ150℃以下
    の温度で、該有機膜パターンのない部分に選択的に形成
    せしめることを特徴とするフッ素含有シリコン酸化膜の
    形成方法。
JP3006312A 1991-01-23 1991-01-23 フッ素含有シリコン酸化膜の形成方法 Expired - Fee Related JP2697315B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP3006312A JP2697315B2 (ja) 1991-01-23 1991-01-23 フッ素含有シリコン酸化膜の形成方法
US07/820,254 US5215787A (en) 1991-01-23 1992-01-14 Method of forming silicon oxide film containing fluorine
EP92300422A EP0496543B1 (en) 1991-01-23 1992-01-17 Method of forming silicon oxide film containing fluorine
DE69224924T DE69224924T2 (de) 1991-01-23 1992-01-17 Verfahren zur Ablagerung von Fluor-enthaltenden Silizium-Dioxid-Schichten
KR1019920000782A KR960000376B1 (ko) 1991-01-23 1992-01-21 플루오르를 함유한 실리콘 산화막 형성 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3006312A JP2697315B2 (ja) 1991-01-23 1991-01-23 フッ素含有シリコン酸化膜の形成方法

Publications (2)

Publication Number Publication Date
JPH04239750A true JPH04239750A (ja) 1992-08-27
JP2697315B2 JP2697315B2 (ja) 1998-01-14

Family

ID=11634856

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3006312A Expired - Fee Related JP2697315B2 (ja) 1991-01-23 1991-01-23 フッ素含有シリコン酸化膜の形成方法

Country Status (5)

Country Link
US (1) US5215787A (ja)
EP (1) EP0496543B1 (ja)
JP (1) JP2697315B2 (ja)
KR (1) KR960000376B1 (ja)
DE (1) DE69224924T2 (ja)

Cited By (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0599730A3 (en) * 1992-11-24 1995-02-15 Sumitomo Metal Ind Semiconductor component and its manufacturing process.
US5420075A (en) * 1992-04-15 1995-05-30 Nec Corporation Forming multi-layered interconnections with fluorine compound treatment permitting selective deposition of insulator
JPH07176612A (ja) * 1993-11-30 1995-07-14 Nec Corp 半導体装置の多層配線構造及び半導体装置の製造方法
US5468682A (en) * 1993-12-21 1995-11-21 Nec Corporation Method of manufacturing semiconductor device using the abrasive
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6070550A (en) * 1996-09-12 2000-06-06 Applied Materials, Inc. Apparatus for the stabilization of halogen-doped films through the use of multiple sealing layers
US6103601A (en) * 1995-10-26 2000-08-15 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7329586B2 (en) 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP2019050303A (ja) * 2017-09-11 2019-03-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960006961B1 (ko) * 1991-09-13 1996-05-25 니뽄 덴끼 가부시끼가이샤 반도체 디바이스의 배선 구조 및 절연막 형성방법과 이것의 표면 보호막 형성 방법
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
EP0562625B1 (en) * 1992-03-27 1997-06-04 Matsushita Electric Industrial Co., Ltd. A semiconductor device and process
JP2792335B2 (ja) * 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JP3152788B2 (ja) * 1993-03-29 2001-04-03 株式会社東芝 半導体装置の製造方法
JPH06326026A (ja) 1993-04-13 1994-11-25 Applied Materials Inc 半導体装置の薄膜形成方法
JPH07169833A (ja) * 1993-12-14 1995-07-04 Nec Corp 半導体装置及びその製造方法
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5492736A (en) * 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
JPH08167601A (ja) * 1994-12-13 1996-06-25 Sony Corp 半導体装置の製造方法
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JPH09116011A (ja) * 1995-10-23 1997-05-02 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5643640A (en) * 1995-11-27 1997-07-01 International Business Machines Corporation Fluorine doped plasma enhanced phospho-silicate glass, and process
US7763327B2 (en) * 1996-04-22 2010-07-27 Micron Technology, Inc. Methods using ozone for CVD deposited films
US6157083A (en) * 1996-06-03 2000-12-05 Nec Corporation Fluorine doping concentrations in a multi-structure semiconductor device
US5763021A (en) * 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
JP3178375B2 (ja) * 1997-06-03 2001-06-18 日本電気株式会社 絶縁膜の形成方法
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
JP2004172389A (ja) * 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
GB2429841A (en) * 2005-09-02 2007-03-07 Ngimat Co Selective area deposition and devices formed therefrom
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP5826698B2 (ja) * 2011-04-13 2015-12-02 株式会社アルバック Ni膜の形成方法
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US9505737B2 (en) 2013-01-11 2016-11-29 Corsair Pharma, Inc. Treprostinil derivative compounds and methods of using same
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4300989A (en) * 1979-10-03 1981-11-17 Bell Telephone Laboratories, Incorporated Fluorine enhanced plasma growth of native layers on silicon
EP0171605B1 (en) * 1984-07-11 1990-02-14 Hitachi, Ltd. Method of forming an insulating film on a semiconductor body
KR870000750A (ko) * 1985-06-14 1987-02-20 이마드 마하윌리 이산화실리콘 필름을 화학적으로 증기피복하는 방법
JPH0657611B2 (ja) * 1987-05-19 1994-08-03 工業技術院長 石英ガラス薄膜の製造方法
DE3937723A1 (de) * 1989-11-13 1991-05-16 Fraunhofer Ges Forschung Verfahren und vorrichtung zum herstellen einer silikatschicht in einer integrierten schaltung

Cited By (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5420075A (en) * 1992-04-15 1995-05-30 Nec Corporation Forming multi-layered interconnections with fluorine compound treatment permitting selective deposition of insulator
EP0599730A3 (en) * 1992-11-24 1995-02-15 Sumitomo Metal Ind Semiconductor component and its manufacturing process.
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JPH07176612A (ja) * 1993-11-30 1995-07-14 Nec Corp 半導体装置の多層配線構造及び半導体装置の製造方法
JP2570997B2 (ja) * 1993-11-30 1997-01-16 日本電気株式会社 半導体装置の多層配線構造及び半導体装置の製造方法
US5468682A (en) * 1993-12-21 1995-11-21 Nec Corporation Method of manufacturing semiconductor device using the abrasive
US6103601A (en) * 1995-10-26 2000-08-15 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
US6374770B1 (en) 1995-10-26 2002-04-23 Applied Materials, Inc. Apparatus for improving film stability of halogen-doped silicon oxide films
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US6070550A (en) * 1996-09-12 2000-06-06 Applied Materials, Inc. Apparatus for the stabilization of halogen-doped films through the use of multiple sealing layers
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6217658B1 (en) 1997-06-03 2001-04-17 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US7052552B2 (en) 2000-08-24 2006-05-30 Applied Materials Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7799698B2 (en) 2003-05-23 2010-09-21 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7691753B2 (en) 2003-05-23 2010-04-06 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7329586B2 (en) 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP2019050303A (ja) * 2017-09-11 2019-03-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Also Published As

Publication number Publication date
JP2697315B2 (ja) 1998-01-14
DE69224924T2 (de) 1998-09-24
EP0496543B1 (en) 1998-04-01
EP0496543A3 (en) 1993-10-27
KR960000376B1 (ko) 1996-01-05
US5215787A (en) 1993-06-01
KR920015484A (ko) 1992-08-27
EP0496543A2 (en) 1992-07-29
DE69224924D1 (de) 1998-05-07

Similar Documents

Publication Publication Date Title
JPH04239750A (ja) フッ素含有シリコン酸化膜の形成方法
JP2699695B2 (ja) 化学気相成長法
US5334552A (en) Method for fabricating a semiconductor device having a multi-layered interconnection structure
KR100372216B1 (ko) O.5 및 0.5 미크론 이하의 ulsi 회로용 인터레벨 유전체소자로서의수소실세큐옥산계유동가능한산화물
JPH08153784A (ja) 半導体装置の製造方法
JPH11251308A (ja) 低誘電率フッ素化アモルファス炭素誘電体およびその形成方法
US5744378A (en) Method for fabricating a semiconductor device having multilevel interconnections
JP3463416B2 (ja) 絶縁膜の製造方法および半導体装置
JPH08203887A (ja) 半導体装置の製造方法
JP3148183B2 (ja) 半導体装置の製造方法
JPH08288286A (ja) シリコン酸化膜の成膜方法
US6737357B2 (en) Method for manufacturing a semiconductor device
JP3485425B2 (ja) 低誘電率絶縁膜の形成方法及びこの膜を用いた半導体装置
JPH07161705A (ja) 半導体装置の多層配線層間絶縁膜の形成方法
JPH06163523A (ja) 半導体装置の製造方法
JP2001168098A (ja) 半導体装置及びパターンデータ作成方法
JP3396791B2 (ja) 絶縁膜の形成方法
JP2636715B2 (ja) 半導体装置の製造方法
KR20020059879A (ko) 반도체 집적소자를 위한 저유전 층간 절연막의 제조방법
JPH04167431A (ja) 半導体装置の製造方法
JPH08203890A (ja) 半導体装置の層間絶縁膜形成方法
JPH11233500A (ja) 絶縁膜の形成方法及びそれを用いた半導体装置と半導体装置製造方法
JPH0334558A (ja) 多層配線構造体の製造方法
JPH09181176A (ja) 半導体装置製造方法
JP3612859B2 (ja) 絶縁膜の形成方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19970819

LAPS Cancellation because of no payment of annual fees