JP7258028B2 - リソグラフィシステムのメインテナンス管理方法、メインテナンス管理装置、及びコンピュータ可読媒体 - Google Patents

リソグラフィシステムのメインテナンス管理方法、メインテナンス管理装置、及びコンピュータ可読媒体 Download PDF

Info

Publication number
JP7258028B2
JP7258028B2 JP2020535401A JP2020535401A JP7258028B2 JP 7258028 B2 JP7258028 B2 JP 7258028B2 JP 2020535401 A JP2020535401 A JP 2020535401A JP 2020535401 A JP2020535401 A JP 2020535401A JP 7258028 B2 JP7258028 B2 JP 7258028B2
Authority
JP
Japan
Prior art keywords
maintenance
information
consumables
lithography
file
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020535401A
Other languages
English (en)
Other versions
JPWO2020031301A1 (ja
Inventor
邦彦 阿部
裕司 峰岸
理 若林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Gigaphoton Inc
Original Assignee
Gigaphoton Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Gigaphoton Inc filed Critical Gigaphoton Inc
Publication of JPWO2020031301A1 publication Critical patent/JPWO2020031301A1/ja
Application granted granted Critical
Publication of JP7258028B2 publication Critical patent/JP7258028B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70975Assembly, maintenance, transport or storage of apparatus
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/0006Working by laser beam, e.g. welding, cutting or boring taking account of the properties of the material involved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/066Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms by using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/083Devices involving movement of the workpiece in at least one axial direction
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/70Auxiliary operations or equipment
    • B23K26/702Auxiliary equipment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • G06Q10/063Operations research, analysis or management
    • G06Q10/0631Resource planning, allocation, distributing or scheduling for enterprises or organisations
    • G06Q10/06312Adjustment or analysis of established resource schedule, e.g. resource or task levelling, or dynamic rescheduling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/20Administration of product repair or maintenance
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q50/00Information and communication technology [ICT] specially adapted for implementation of business processes of specific business sectors, e.g. utilities or tourism
    • G06Q50/04Manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/56Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26 semiconducting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/066Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms by using masks
    • B23K26/0661Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms by using masks disposed on the workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/30Computing systems specially adapted for manufacturing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning
    • Y02P90/82Energy audits or management systems therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Business, Economics & Management (AREA)
  • Human Resources & Organizations (AREA)
  • Optics & Photonics (AREA)
  • General Physics & Mathematics (AREA)
  • Strategic Management (AREA)
  • Economics (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Marketing (AREA)
  • Tourism & Hospitality (AREA)
  • General Business, Economics & Management (AREA)
  • Theoretical Computer Science (AREA)
  • Operations Research (AREA)
  • Quality & Reliability (AREA)
  • Health & Medical Sciences (AREA)
  • Game Theory and Decision Science (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Manufacturing & Machinery (AREA)
  • General Health & Medical Sciences (AREA)
  • Primary Health Care (AREA)
  • Environmental & Geological Engineering (AREA)
  • Educational Administration (AREA)
  • Development Economics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本開示は、リソグラフィシステムのメインテナンス管理方法、メインテナンス管理装置、及びコンピュータ可読媒体に関する。
半導体集積回路の微細化、高集積化につれて、半導体露光装置においては解像力の向上が要請されている。半導体露光装置を以下、単に「露光装置」という。このため露光用光源から出力される光の短波長化が進められている。露光用光源には、従来の水銀ランプに代わってガスレーザ装置が用いられている。現在、露光用のガスレーザ装置としては、波長248nmの紫外線を出力するKrFエキシマレーザ装置ならびに、波長193nmの紫外線を出力するArFエキシマレーザ装置が用いられている。
現在の露光技術としては、露光装置側の投影レンズとウエハ間の間隙を液体で満たして、当該間隙の屈折率を変えることによって、露光用光源の見かけの波長を短波長化する液浸露光が実用化されている。ArFエキシマレーザ装置を露光用光源として用いて液浸露光が行われた場合は、ウエハには等価における波長134nmの紫外光が照射される。この技術をArF液浸露光という。ArF液浸露光はArF液浸リソグラフィとも呼ばれる。
KrF、ArFエキシマレーザ装置の自然発振におけるスペクトル線幅は約350~400pmと広いため、露光装置側の投影レンズによってウエハ上に縮小投影されるレーザ光(紫外線光)の色収差が発生して解像力が低下する。そこで色収差が無視できる程度となるまでガスレーザ装置から出力されるレーザ光のスペクトル線幅を狭帯域化する必要がある。スペクトル線幅はスペクトル幅とも呼ばれる。このためガスレーザ装置のレーザ共振器内には狭帯域化素子を有する狭帯域化部(Line Narrow Module)が設けられ、この狭帯域化部によりスペクトル幅の狭帯域化が実現されている。なお、狭帯域化素子はエタロンやグレーティング等であってもよい。このようにスペクトル幅が狭帯域化されたレーザ装置を狭帯域化レーザ装置という。
米国特許出願公開第2007/0252966号明細書 特開2013-179109号公報 特開2009-217718号公報 特開2011-197894号公報 米国特許第6697695号明細書 米国特許出願公開第2016/0254634号明細書 米国特許出願公開第2003/0013213号明細書
概要
本開示の1つの観点に係るリソグラフィシステムのメインテナンス管理方法は、レジストの塗布、露光、及び現像を行うリソグラフィシステムを構成する1組単位の装置群であるリソグラフィセル毎に稼動情報を整理してリソグラフィセル毎の稼動情報を保存することと、リソグラフィセル毎に装置群の各装置におけるメインテナンスの対象となる消耗品に関するメインテナンス情報を整理してリソグラフィセル毎の消耗品に関するメインテナンス情報を保存することと、リソグラフィセル毎の稼動情報及びリソグラフィセル毎の消耗品に関するメインテナンス情報に基づいて、リソグラフィセル毎の消耗品の標準メインテナンス時期を計算することと、リソグラフィセル毎の消耗品の標準メインテナンス時期と、リソグラフィセル毎の消耗品を交換することによるダウンタイムの情報と、リソグラフィセル毎の又は2つ以上のリソグラフィセルを含む製造ライン毎のダウンタイムによる損失コスト情報に基づいて、リソグラフィセル毎の又は製造ライン毎のメインテナンススケジュール計画を作成することと、メインテナンススケジュール計画の作成結果を出力することと、を含むメインテナンス管理方法である。
本開示の他の1つの観点に係るリソグラフィシステムのメインテナンス管理装置は、レジストの塗布、露光、及び現像を行うリソグラフィシステムを構成する1組単位の装置群であるリソグラフィセル毎に稼動情報を整理してリソグラフィセル毎の稼動情報を保存する処理を行う稼動情報処理部と、リソグラフィセル毎に装置群の各装置におけるメインテナンスの対象となる消耗品に関するメインテナンス情報を整理してリソグラフィセル毎の消耗品に関するメインテナンス情報を保存する処理を行うメインテナンス情報処理部と、リソグラフィセル毎の稼動情報及びリソグラフィセル毎の消耗品に関するメインテナンス情報に基づいて、リソグラフィセル毎の消耗品の標準メインテナンス時期を計算する標準メインテナンス時期計算部と、リソグラフィセル毎の消耗品の標準メインテナンス時期と、リソグラフィセル毎の消耗品を交換することによるダウンタイムの情報と、リソグラフィセル毎の又は2つ以上のリソグラフィセルを含む製造ライン毎のダウンタイムによる損失コスト情報に基づいて、リソグラフィセル毎の又は製造ライン毎のメインテナンススケジュール計画を作成するメインテナンススケジュール計画部と、メインテナンススケジュール計画の作成結果を出力するデータ出力部と、を含むメインテナンス管理装置である。
本開示の他の1つの観点に係るプログラムが記録された非一過性のコンピュータ可読媒体は、プロセッサにより実行された場合に、プロセッサに、レジストの塗布、露光、及び現像を行うリソグラフィシステムを構成する1組単位の装置群であるリソグラフィセル毎に稼動情報を整理してリソグラフィセル毎の稼動情報を保存する機能と、リソグラフィセル毎に装置群の各装置におけるメインテナンスの対象となる消耗品に関するメインテナンス情報を整理してリソグラフィセル毎の消耗品に関するメインテナンス情報を保存する機能と、リソグラフィセル毎の稼動情報及びリソグラフィセル毎の消耗品に関するメインテナンス情報に基づいて、リソグラフィセル毎の消耗品の標準メインテナンス時期を計算する機能と、リソグラフィセル毎の消耗品の標準メインテナンス時期と、リソグラフィセル毎の消耗品を交換することによるダウンタイムの情報と、リソグラフィセル毎の又は2つ以上のリソグラフィセルを含む製造ライン毎のダウンタイムによる損失コスト情報に基づいて、リソグラフィセルル毎の又は製造ライン毎のメインテナンススケジュール計画を作成する機能と、メインテナンススケジュール計画の作成結果を出力する機能と、を実現させるための命令を含むプログラムが記録された非一過性のコンピュータ可読媒体である。
本開示のいくつかの実施形態を、単なる例として、添付の図面を参照して以下に説明する。
図1は、例示的なリソセルの構成を概略的に示す正面図である。 図2は、リソセルの具体例を示す図である。 図3は、リソセルの具体例を示す図である。 図4は、例示的なレーザ装置の構成を概略的に示す図である。 図5は、半導体工場のリソグラフィシステムの構成例を概略的に示す図である。 図6は、実施形態1に係るリソグラフィシステムの構成を示す図である。 図7は、リソセル管理サーバの機能を示すブロック図である。 図8は、ファイルAに含まれるテーブルデータの例を示す図表である。 図9は、ウエハ処理枚数Wn、露光パルス数Nex、及び発振パルス数Npの各々の経時変化を示すグラフである。 図10は、1日当たりのウエハ処理枚数Wnday、1日当たりの露光パルス数Nexday、及び1日当たりの発振パルス数Npdayの各々の経時変化を示すグラフである。 図11は、レーザ装置から出力されたパルスレーザ光のパルスエネルギEpの経時変化を示すグラフである。 図12は、ファイルBに含まれるテーブルデータ(1)の例を示す図表である。 図13は、ファイルBに含まれるテーブルデータ(2)の例を示す図表である。 図14は、ファイルBに含まれるテーブルデータ(3)の例を示す図表である。 図15は、標準メインテナンス日計算部における処理内容の例を示すフローチャートである。 図16は、ファイルCに含まれるテーブルデータの例を示す図表である。 図17は、ファイルDに含まれるテーブルデータの例を示す図表である。 図18は、メインテナンススケジュール計画部における処理内容の例を示すフローチャートである。 図19は、コストメリット計算処理の例を示すフローチャートである。 図20は、ファイルEに含まれるテーブルデータの例を示す図表である。 図21は、標準メインテナンス日と、前倒しした最適メインテナンス日との関係を模式的に示す説明図である。 図22は、実施形態2に係るリソセル管理サーバの機能を示すブロック図である。 図23は、ファイルA(2)に含まれるテーブルデータの例を示す図表である。 図24は、ファイルFに含まれるテーブルデータの例を示す図表である。 図25は、図22に示す標準メインテナンス日計算部における処理内容の例を示すフローチャートである。 図26は、図22に示すメインテナンススケジュール計画部における処理内容の例を示すフローチャートである。 図27は、コストメリット計算処理の例を示すフローチャートである。 図28は、実施形態3に係るリソセル管理サーバの機能を示すブロック図である。 図29は、ファイルA(3)に含まれるテーブルデータの例を示す図表である。 図30は、ファイルF(2)に含まれるテーブルデータの例を示す図表である。 図31は、実施形態4に係るリソセル管理サーバの機能を示すブロック図である。 図32は、ファイルGに含まれるログデータの例を示す図表である。 図33は、予測寿命値計算部の処理内容の例を示すフローチャートである。 図34は、交換モジュール及び交換部品の寿命予測処理の例を示すフローチャートである。 図35は、寿命パラメータ値と寿命監視パラメータ値との関係をグラフ化した例を示す図である。 図36は、寿命パラメータ値と寿命監視パラメータ値との関係をグラフ化した他の例を示す図である。 図37は、実施形態5に係るリソセル管理サーバの機能を示すブロック図である。 図38は、消耗品選定部における処理内容の例を示すフローチャートである。 図39は、コストメリット計算処理の例を示すフローチャートである。 図40は、ファイルHに含まれるテーブルデータの例を示す図表である。 図41は、標準メインテナンス日と、メインテナンス候補日に前倒しした最適メインテナンス日との関係を模式的に示す説明図である。 図42は、実施形態6に係るリソセル管理サーバの機能を示すブロック図である。 図43は、第1の寿命パラメータリセット部における処理内容の例を示すフローチャートである。 図44は、ファイルE(2)に含まれるテーブルデータの例を示す図表である。 図45は、図44のテーブルデータを反映したメインテナンススケジュール計画の概念図である。 図46は、コスト最小化の観点からメインテナンススケジュール計画を作成する処理の例を示すフローチャートである。 図47は、消耗品の寿命予測に用いられる監視パラメータの例を示す図表である。 図48は、メインテナンススケジュール計画部における処理内容の例を示すフローチャートである。 図49は、リソグラフィシステムの他の形態例を示すブロック図である。 図50は、半導体工場におけるリソグラフィシステムの他の形態例を示す図である。 図51は、実施形態7に係るリソセル管理サーバの機能を示すブロック図である。 図52は、ファイルD(2)に含まれるテーブルデータの例を示す図表である。 図53は、標準メインテナンス日計算部における処理内容の例を示すフローチャートである。 図54は、実施形態8に係るリソセル管理サーバの機能を示すブロック図である。 図55は、ファイルJに含まれるテーブルデータの例を示す図表である。 図56は、標準メインテナンス時間計算部における処理内容の例を示すフローチャートである。
実施形態
-目次-
1.用語の説明
2.リソセルの説明
2.1 構成
2.2 動作
2.2.1 C/D装置及び露光装置内のウエハ移動及びプリアライメント部の動作
2.2.2 C/D装置のメインテナンス
2.2.3 露光装置の露光工程の動作
2.2.4 露光装置のメインテナンス
3.レーザ装置の説明
3.1 構成
3.2 動作
3.3 レーザ装置のメインテナンス
3.4 その他
4.リソグラフィシステムの説明
4.1 構成
4.2 動作
5.課題
6.実施形態1
6.1 構成
6.2 動作
6.2.1 リソセル管理サーバの動作
6.2.2 ファイルAに含まれるテーブルデータの例
6.2.3 ファイルBに含まれるテーブルデータ(1)の例
6.2.4 ファイルBに含まれるテーブルデータ(2)の例
6.2.5 ファイルBに含まれるテーブルデータ(3)の例
6.2.6 標準メインテナンス日計算部の処理例
6.2.7 ファイルC及びファイルDの具体例
6.2.8 メインテナンススケジュール計画部の処理例
6.2.9 ファイルEの具体例
6.3 作用・効果
6.4 その他
7.実施形態2
7.1 構成
7.2 動作
7.2.1 リソセル管理サーバの動作
7.2.2 ファイルA(2)に含まれるテーブルデータの例
7.2.3 ファイルFに含まれるテーブルデータの例
7.2.4 標準メインテナンス日計算部の処理例
7.2.5 メインテナンススケジュール計画部の処理例
7.3 作用・効果
8.実施形態3
8.1 構成
8.2 動作
8.2.1 リソセル管理サーバの動作
8.2.2 ファイルA(3)に含まれるテーブルデータの例
8.2.3 ファイルF(2)に含まれるテーブルデータの例
8.3 作用・効果
9.実施形態4
9.1 構成
9.2 動作
9.2.1 リソセル管理サーバの動作
9.2.2 ファイルGに含まれるログデータの例
9.2.3 予測寿命値計算部の処理例
9.3 作用・効果
10.実施形態5
10.1 構成
10.2 動作
10.2.1 リソセル管理サーバの動作
10.2.2 消耗品選定部の処理例
10.2.3 ファイルHに含まれるテーブルデータの例
10.3 作用・効果
11.実施形態6
11.1 構成
11.2 動作
11.2.1 リソセル管理サーバの動作
11.3 作用・効果
11.4 その他
12.メインテナンススケジュール計画の最適化の例
13.監視パラメータの具体例
14.同じ装置で複数の消耗品を同日に交換する場合のダウンタイム削減の例
14.1 フローチャートの例
14.2 作用・効果
14.3 その他
15.リソグラフィシステムの他の形態
15.1 構成
15.2 動作
15.3 作用・効果
15.4 その他
16.実施形態7
16.1 ライン単位でメインテナンススケジュール計画を最適化する形態の概要
16.2 構成
16.3 動作
16.4 作用・効果
16.5 変形例
17.実施形態8
17.1 構成
17.2 動作
17.3 作用・効果
17.4 変形例
18.実施形態の組合せについて
19.プログラムを記録したコンピュータ可読媒体について
以下、本開示の実施形態について、図面を参照しながら詳しく説明する。以下に説明される実施形態は、本開示のいくつかの例を示すものであって、本開示の内容を限定するものではない。また、各実施形態で説明される構成及び動作の全てが本開示の構成及び動作として必須であるとは限らない。なお、同一の構成要素には同一の参照符号を付して、重複する説明を省略する。
1.用語の説明
「リソグラフィセル」とは、レジストの塗布、露光、及び現像を行うプロセス装置の1組単位の装置群である。リソグラフィセルを以下、リソセル(Litho cell)という。リソセルは「リソグラフィシステム」の一単位である。リソグラフィシステムは、少なくとも1つのリソセルを含む。
リソセルには、例えば、塗布/現像装置と、露光装置と、レーザ装置と、が含まれる。リソセルは、レジストの塗布後にアライメントを実施してから露光を行ってよい。
「塗布/現像装置」は、基板へのレジストの塗布を行うコータ部と、現像を行うディベロッパ部と、を含む。塗布/現像装置は「C/D装置」と表記される。「C/D」はコータ/ディベロッパの略語表記である。「C/D装置」は、露光装置との間でウエハを搬送するインラインインターフェース部をさらに含んでもよい。
「露光装置」は、レーザ装置から出力されたレーザ光を露光装置に伝送するビームデリバリーユニット(BDU)をさらに含んでもよい。
「レジスト」は、フォトレジストを意味する。
「消耗品」は、定期的なメインテナンスが必要になる部品やモジュールなどの物品を包括的に表す用語として用いる。交換部品又は交換モジュールは「消耗品」の概念に含まれる。モジュールは、部品の一形態と理解してもよい。本明細書では「消耗品」という用語を「交換モジュール又は交換部品」と同義に用いる場合がある。メインテナンスには、消耗品の交換が含まれる。「交換」の概念には、消耗品を新しいものに置き換えることの他、消耗品を洗浄するなどして部品の機能の維持及び/又は回復を図り、同じ消耗品を再配置することも含まれる。
2.リソセルの説明
2.1 構成
図1は、リソセルの構成例を概略的に示す正面図である。図1に示すリソセル10は、C/D装置12と、露光装置14と、レーザ装置16と、を含む。露光装置14はBDU15を含む。
図2及び図3にリソセル10の詳細図を示す。図2は平面図、図3は正面図に相当する。なお、レーザ装置16の詳細な例は、図4を用いて後述する。
図2及び図3に示すように、C/D装置12は、第1ウエハキャリア21と、第2ウエハキャリア22と、コータ部24と、ディベロッパ部25と、測定部26と、ウエハ搬送ライン28と、フィルタ部29と、C/D制御部30と、を含む。
第1ウエハキャリア21は、露光前の多数のウエハ35を収納する装置である。第2ウエハキャリア22は、露光後の多数のウエハ35を収納する装置である。コータ部24は、いずれも図示しない、レジストコータと、プリベーク装置と、クーリング装置と、を含む。コータ部24は、ウエハ35にレジストを塗布し、レジストをプリベークして冷却する装置である。
ディベロッパ部25は、いずれも図示しない、ポストベーク装置と、クーリング装置と、現像装置と、を含む。ディベロッパ部25は、露光されたウエハ35をポストベークして冷却後、レジストを現像する装置である。
測定部26は、露光によりウエハ35に形成されたレジストパターンの形状を測定する測定装置である。測定部26は、例えば、ウエハ表面に電子ビームを照射し、放出される二次電子や反射電子を検出する走査型電子顕微鏡(SEM:Scanning Electron Microscope)の検査装置であってよい。
ウエハ搬送ライン28は、コータ部24とディベロッパ部25との間を横切るように配置される。ウエハ搬送ライン28は、ウエハ35を、第1ウエハキャリア21及びコータ部24の各装置と、露光装置14及びディベロッパ部25の各装置と、測定部26と、第2ウエハキャリア22とに、それぞれ搬送可能なように構成される。
フィルタ部29には、クリーンルーム内の空気に含まれる不純物ガスを除去するケミカルフィルタが配置される。フィルタ部29は、C/D装置12内のアンモニア等の不純物ガスの濃度を計測する、図示しないガス分析装置を含む。フィルタ部29は、ケミカルフィルタの動作時間や不純物ガス濃度の情報をC/D制御部30に送る信号ラインを有する。
C/D制御部30は、第1ウエハキャリア21、第2ウエハキャリア22、コータ部24、ディベロッパ部25、測定部26、及びウエハ搬送ライン28の各々の動作を制御する。図2及び図3において、第1ウエハキャリア21、第2ウエハキャリア22、コータ部24、ディベロッパ部25、測定部26、ウエハ搬送ライン28、及びフィルタ部29の各々と、C/D制御部30との間で信号を伝送する各信号ラインをa~gの符号を丸で囲んだ記号で示す。
露光装置14は、第1アーム41と、第2アーム42と、第1ガイド43と、第2ガイド44と、プリアライメント部46と、露光部48と、フィルタ部49と、露光制御部50と、を含む。
第1アーム41は、第1スライダ43Aに支持されており、第1スライダ43Aを介して第1ガイド43に沿って移動可能である。第2アーム42は、第2スライダ44Aに支持されており、第2スライダ44Aを介して第2ガイド44に沿って移動可能である。
フィルタ部49は、C/D装置12のフィルタ部29と同様に、クリーンルーム内の空気に含まれる不純物ガス、主にアンモニアを除去するケミカルフィルタと、C/D装置12内のアンモニア等の不純物ガスの濃度を計測する、図示しないガス分析装置と、を含む。フィルタ部49は、ケミカルフィルタの動作時間や不純物ガス濃度の情報を露光制御部50に送る信号ラインを有する。なお、フィルタ部49は、露光部48に含まれてもよい。
図3に示すように、露光部48は、第1高反射ミラー51と、アッテネータ52と、ビームエキスパンダ56と、第2高反射ミラー62と、第3高反射ミラー63と、照明光学系66と、レチクル74と、レチクルステージ76と、を含む。
露光装置14は、BDU15を含んでもよい。BDU15は、レーザ装置16から露光装置14へレーザ光を伝送する光学系であって、例えば、図示しない複数の高反射ミラーを含んでもよい。
第1高反射ミラー51は、BDU15を通過したレーザ光が第2高反射ミラー62に入射するように配置される。アッテネータ52は、第1高反射ミラー51とビームエキスパンダ56との間の光路上に配置される。アッテネータ52は、2枚の部分反射ミラー53と、それぞれの部分反射ミラー53の入射角を可変とする回転ステージ54と、を含む。
ビームエキスパンダ56は、アッテネータ52と第2高反射ミラー62との間の光路上に配置される。ビームエキスパンダ56は、凹レンズ57と、凸レンズ58と、を含み、所定のレーザ光形状にビームを拡大するように構成される。
第2高反射ミラー62は、レーザ光が第3高反射ミラー63に入射するように配置される。第2高反射ミラー62と第3高反射ミラー63との間の光路上に、図示しないコリメータ光学系が配置されてもよい。第3高反射ミラー63は、レーザ光が照明光学系66に入射するように配置される。
照明光学系66は、フライアイレンズ67と、コンデンサ光学系68と、ビームスプリッタ69と、集光レンズ70と、第1光センサ71と、を含む。
フライアイレンズ67及びコンデンサ光学系68は、レーザ光がレチクル74にケーラー照明されるように配置される。例えば、コンデンサ光学系68の前側焦点面にフライアイレンズ67の焦点が一致し、かつ、コンデンサ光学系68の後側焦点面にレチクル74が配置されるように、フライアイレンズ67及びコンデンサ光学系68が配置される。
ビームスプリッタ69は、フライアイレンズ67とコンデンサ光学系68との間の光路上に配置される。ビームスプリッタ69は、レーザ光の一部が集光レンズ70に入射するように配置される。
第1光センサ71は、集光レンズ70の焦点面に配置される。第1光センサ71は、例えば2次元のイメージセンサであってよい。第1光センサ71で検出された信号は、露光制御部50に送られる。
レチクル74は、半導体の回路パターンが形成されたマスクである。レチクル74は、レチクルステージ76に図示しないホルダを介して固定される。
また、露光部48は、投影光学系78と、ウエハホルダ80と、ウエハステージ81と、第2光センサ82と、を含む。
投影光学系78は、ウエハ35に塗布されたレジスト上にレチクル74の像が結像するように配置される。投影光学系78は、図示しない複数枚のレンズで構成される。
ウエハステージ81は、ウエハホルダ80を介してウエハ35を固定する。
第2光センサ82は、ウエハ35上の照度をオフラインで計測可能なようにウエハステージ81上に配置される。第2光センサ82で検出された信号は、露光制御部50に送られる。
露光制御部50は、第1スライダ43A、第2スライダ44A、プリアライメント部46、アッテネータ52、レチクルステージ76、ウエハステージ81及びその他の露光装置14の各部の動作を制御する。
図2及び図3において、第1スライダ43A、第2スライダ44A、プリアライメント部46、及びフィルタ部49の各々と露光制御部50の間の各信号ラインをh~kの符号を丸で囲んだ記号で示す。また、露光制御部50は、C/D制御部30及びレーザ制御部90の各々と接続される。
本開示において、C/D制御部30、露光制御部50、レーザ制御部90及びその他の各制御部として機能する制御装置は、1台又は複数台のコンピュータのハードウェア及びソフトウェアの組み合わせによって実現することが可能である。ソフトウェアはプログラムと同義である。プログラマブルコントローラはコンピュータの概念に含まれる。コンピュータは、CPU(Central Processing Unit)及びメモリを含んで構成され得る。ソフトウェアはプログラムと同義である。プログラマブルコントローラはコンピュータの概念に含まれる。コンピュータに含まれるCPUはプロセッサの一例である。
また、制御装置の処理機能の一部又は全部は、FPGA(Field Programmable Gate Array)やASIC(Application Specific Integrated Circuit)に代表される集積回路を用いて実現してもよい。
また、複数の制御装置の機能を1台の制御装置で実現することも可能である。さらに本開示において、制御装置は、ローカルエリアネットワークやインターネットといった通信ネットワークを介して互いに接続されてもよい。分散コンピューティング環境において、プログラムユニットは、ローカル及びリモート両方のメモリストレージデバイスに保存されてもよい。
2.2 動作
2.2.1 C/D装置及び露光装置内のウエハ移動及びプリアライメント部の動作
ここでは、図2及び図3に示すC/D装置12と露光装置14内のウエハ35の動きについて説明する。第1ウエハキャリア21には、レジスト塗布前の多数のウエハが収納される。第1ウエハキャリア21に収納されたウエハ35は、ウエハ搬送ライン28を経由して、コータ部24に搬送される。
コータ部24は、ウエハ35表面にレジストをコートし、レジストをプリベークして、プリベークされたウエハ35を冷却する。この冷却されたウエハ35は、ウエハ搬送ライン28を介して、露光装置14に搬送される。
レジストがコートされたウエハ35は、第1アーム41によってウエハ搬送ライン28から取り出され、第1アーム41と共に第1ガイド43に沿って移動して、プリアライメント部46に配置される。
プリアライメント部46では、例えば、ウエハ35の外形基準で中心位置及び回転角の調整が行われる。その後、ウエハ35は第2アーム42に受け渡されて第2ガイド44に沿ってローディング位置まで搬送され、ウエハステージ81のウエハホルダ80にロードされる。
そして、そのウエハ35上の各ショット領域に対してレチクル74の所定のデバイスパターンを介して露光が行われる。
ウエハ全体の露光処理を終えたウエハ35は、第2ガイド44及び第1ガイド43に沿って、C/D装置12のウエハ搬送ライン28に搬送される。
露光されたウエハ35は、ウエハ搬送ライン28を介して、ディベロッパ部25に搬送される。ディベロッパ部25は、露光されたウエハ35をポストベークして、冷却後、レジストを現像する。現像されたウエハ35は、ウエハ搬送ライン28を介して、測定部26に搬送される。
測定部26では、露光によりウエハ35に形成されたレジストパターンの形状を測定する。必要に応じて、露光によりウエハ35に形成されたパターンの線幅、重ね合わせ誤差等が測定部26で検査され、その後、ウエハ35は、ウエハ搬送ライン28に沿って第2ウエハキャリア22に収納される。
なお、このリソグラフィ工程の終了後に第2ウエハキャリア22内の、例えば1ロットのウエハは、例えば、エッチング又はイオン注入等のパターン形成工程及びレジスト剥離工程等を実行するための、図示しない製造ラインに搬送される。
また、C/D制御部30は、C/D装置12内の空気中の不純物ガス濃度をフィルタ部29のガス分析装置によって計測して、計測値のデータを、C/D装置用管理システム202(図5参照)に送信する。
2.2.2 C/D装置のメインテナンス
C/D装置のサービスエンジニアが必要となるC/D装置12の主要なメインテナンス作業は、いずれも図示しない、ケミカルフィルタ、基板回転モジュール、ドレイン回収モジュール、及び排気モジュールの各々の交換又は維持作業である。これらの消耗品(モジュールや部品)の交換時期は、運転時間やウエハ処理枚数等の寿命パラメータで管理している。これらの消耗品の交換作業及び交換後の確認作業等にかかるメインテナンスには、数時間を要することがある。
2.2.3 露光装置の露光工程の動作
次に、露光装置14の露光工程の動作に関して説明する。露光制御部50は、レチクルステージ76とウエハステージ81とを動作させることによりレチクル74とウエハ35のアライメントを調節し、スキャン露光の初期位置にレチクル74とウエハ35の露光エリアが来るように制御する。
露光制御部50は、ウエハ35上でのフルーエンスが所定の値となるようにアッテネータ52の回転ステージ54を介して2枚の部分反射ミラー53の角度を制御する。露光制御部50は、レーザ制御部90に各種の目標値を送信する。各種の目標値には、例えば、目標パルスエネルギや目標波長などが含まれる。
露光制御部50は、レーザ装置16から発光トリガ信号を受け付ける信号を受信した後、発光トリガ信号をレーザ装置16に送信する。この発光トリガ信号に同期して、レーザ装置16からパルスレーザ光が出力される。
発光トリガ信号に従いレーザ装置16から出力されるパルスレーザ光は、第1高反射ミラー51で高反射し、アッテネータ52に入射する。
アッテネータ52を透過したパルスレーザ光は減光されて、ビームエキスパンダ56に入射する。パルスレーザ光のビームは、ビームエキスパンダ56を透過することによって、所定のビーム形状に整形される。
ビームエキスパンダ56によってビーム整形されたパルスレーザ光は、第2高反射ミラー62及び第3高反射ミラー63を経由して、照明光学系66に入射する。
フライアイレンズ67によって複数の2次光源を生成し、ビームスプリッタ69の透過光をコンデンサ光学系68によってレチクル74に導くことで、コンデンサ光学系68の後側焦点面に配置されたレチクル74がケーラー照明される。その結果、レチクル74上では、パルスレーザ光の強度分布は均一化される。
フライアイレンズ67を透過したパルスレーザ光はビームスプリッタ69によって、一部の光が反射され、集光レンズ70を介して、第1光センサ71に入射する。第1光センサ71の受光面においてもケーラー照明されるため、第1光センサ71で強度分布を計測することによって、露光制御部50はレチクル74上でのレーザビームの均一性や露光装置14内のレーザ光の透過率をモニタすることができる。
レチクル74を透過したパルスレーザ光は、投影光学系78によってウエハ35のレジスト上に結像されて、露光される。
レチクル74とウエハ35とは、互いに逆方向に等速直線運動時に発光トリガ信号と、レチクルステージ76と、ウエハステージ81と、を同期させて露光することによって、1区画の露光対象領域の露光が完了する。
ウエハステージ81を次の露光位置に移動させた後、露光制御部50は、レーザ装置16に発光トリガ信号を送信し、上記の一連のスキャン露光を繰り返す。
ウエハ全体の露光処理を終えたウエハ35は、ウエハステージ81からC/D装置12へ搬送される。
また、露光制御部50は、レーザ装置16から出力されるパルスレーザ光のパルスエネルギと第1光センサ71の検出値から照明光学系66の透過率を算出して、算出した透過率のデータを、露光装置用管理システム204(図5参照)に送信する。
2.2.4 露光装置のメインテナンス
露光装置14のサービスエンジニアが必要となる露光装置14の主要なメインテナンス作業には、例えば以下のような作業がある。
[作業1]BDU15から照明光学系66までの光学素子又は光学モジュールの交換作業。
[作業2]ウエハ35を搬送してウエハステージ81に配置するための機械部品や露光部48のウエハステージ81等のモジュールの交換作業。
[作業3]ケミカルフィルタの交換作業。
作業1~作業3に例示した消耗品の交換時期は、以下の寿命パラメータで管理する。
作業1に係る消耗品の交換時期は、露光パルス数で管理する。露光パルス数は、パルスレーザ光が通過したパルス数と概ね等しい。作業2に係る消耗品の交換時期は、ウエハの処理枚数で管理する。作業3に係る消耗品の交換時期は、運転時間で管理する。これらの消耗品を交換する際のメインテナンスには、1時間から24時間を要することがある。
3.レーザ装置の説明
3.1 構成
図4に、例示的なレーザ装置の構成を概略的に示す。レーザ装置16は、例えば、KrFエキシマレーザ装置であって、レーザ制御部90と、レーザチャンバ100と、インバータ102と、フロントミラー104と、狭帯域化モジュール(LNM:Line Narrow Module)106と、モニタモジュール108と、充電器110と、パルスパワーモジュール(PPM)112と、ガス供給装置114と、ガス排気装置116と、出射口シャッタ118と、を含む。
レーザチャンバ100は、第1ウインドウ121と、第2ウインドウ122と、クロスフローファン(CFF)123と、CFF123を回転させるモータ124と、1対の電極125、126と、電気絶縁物127と、圧力センサ128と、図示しない熱交換器と、を含む。
インバータ102は、モータ124の電源供給装置である。インバータ102は、モータ124に供給する電力の周波数を特定する指令信号をレーザ制御部90から受信する。
PPM112は、レーザチャンバ100の電気絶縁物127中のフィードスルーを介して電極125と接続される。PPM112は、半導体スイッチ129と、いずれも図示しない、充電コンデンサと、パルストランスと、パルス圧縮回路と、を含む。
フロントミラー104は部分反射ミラーであって、LNM106と光共振器を構成するように配置される。レーザチャンバ100は、この光共振器の光路上に配置される。なお、フロントミラー104は、出力結合ミラーとして機能する。
LNM106は、第1プリズム131及び第2プリズム132を用いたビームエキスパンダと、回転ステージ134と、グレーティング136と、を含む。第1プリズム131及び第2プリズム132は、レーザチャンバ100の第2ウインドウ122から出射された光のビームをY軸方向に拡大し、グレーティング136に入射するように配置される。
ここで、グレーティング136はレーザ光の入射角と回折角とが一致するようにリトロー配置される。第2プリズム132は、回転ステージ134が回転したときに、レーザ光のグレーティング136への入射角と回折角とが変化するように回転ステージ134上に配置される。
モニタモジュール108は、第1ビームスプリッタ141及び第2ビームスプリッタ142と、パルスエネルギ検出器144と、スペクトル検出器146と、を含む。第1ビームスプリッタ141は、フロントミラー104から出力されたレーザ光の光路上に配置され、レーザ光の一部の反射光が第2ビームスプリッタ142に入射するように配置される。
パルスエネルギ検出器144は、第2ビームスプリッタ142を透過したレーザ光が入射するように配置される。パルスエネルギ検出器144は、例えば、紫外線の光強度を計測するフォトダイオードであってもよい。第2ビームスプリッタ142は、レーザ光の反射光がスペクトル検出器146に入射するように配置される。
スペクトル検出器146は、例えば、エタロンによって生成された干渉縞をイメージセンサで計測するモニタエタロン計測装置である。生成された干渉縞に基づいて、レーザ光の中心波長とスペクトル線幅とが計測される。
KrFエキシマレーザ装置の場合におけるガス供給装置114は、不活性なレーザガスの供給源である不活性ガス供給源152と、ハロゲンを含むレーザガスの供給源であるハロゲンガス供給源153の各々と配管を介して接続される。不活性なレーザガスとは、KrガスとNeガスの混合ガスである。ハロゲンを含むレーザガスとは、FガスとKrガスとNeガスの混合ガスである。ガス供給装置114は、レーザチャンバ100と配管を介して接続される。
ガス供給装置114は、不活性なレーザガス又はハロゲンを含むレーザガスをそれぞれレーザチャンバに所定量供給するための、図示しない自動バルブ及びマスフローコントローラをそれぞれ含む。
ガス排気装置116は、レーザチャンバ100と配管を介して接続される。ガス排気装置116は、ハロゲンを除去する図示しないハロゲンフィルタ及び排気ポンプを含み、ハロゲンを除去したレーザガスが外部に排気されるように構成される。
出射口シャッタ118は、レーザ装置16から外部に出力されるレーザ光の光路上に配置される。
3.2 動作
レーザ装置16の動作について説明する。レーザ制御部90は、ガス排気装置116を介してレーザチャンバ100内にあるガスを排気した後、ガス供給装置114を介してレーザチャンバ100内に不活性なレーザガスとハロゲンを含むレーザガスとを所望のガス組成及び全ガス圧となるように充填する。
レーザ制御部90は、インバータ102を介してモータ124を所定の回転数で回転させてCFF123を回転させる。これにより、電極125、126間にレーザガスが流れる。
レーザ制御部90は、露光装置14から目標パルスエネルギEtを受信し、パルスエネルギがEtとなるように充電電圧Vhvのデータを充電器110に送信する。
充電器110は、PPM112の充電コンデンサが充電電圧Vhvとなるように充電する。露光装置14から第1トリガ信号Tr1が出力されると、第1トリガ信号Tr1に同期してレーザ制御部90から第2トリガ信号Tr2がPPM112の半導体スイッチ129に入力される。この半導体スイッチ129が動作するとPPM112の磁気圧縮回路によって電流パルスが圧縮され、高電圧が電極125、126間に印加される。その結果、電極125、126間で放電が発生し、放電空間においてレーザガスが励起される。
放電空間の励起されたレーザガスが基底状態となるときに、エキシマ光が発生する。このエキシマ光はフロントミラー104とLNM106との間を往復して増幅されることによって、レーザ発振する。その結果、フロントミラー104から狭帯域化されたパルスレーザ光が出力される。
フロントミラー104から出力されたパルスレーザ光はモニタモジュール108に入射する。モニタモジュール108では第1ビームスプリッタ141によってレーザ光の一部がサンプルされ、第2ビームスプリッタ142を介して、それぞれパルスエネルギ検出器144とスペクトル検出器146とに入射される。
レーザ装置16から出力されるパルスレーザ光のパルスエネルギEがパルスエネルギ検出器144によって計測され、計測されたパルスエネルギEのデータがパルスエネルギ検出器144からレーザ制御部90に送信される。
また、スペクトル検出器146によって中心波長λとスペクトル線幅Δλとが計測され、計測された中心波長λとスペクトル線幅Δλとのデータがスペクトル検出器146からレーザ制御部90に送信される。
レーザ制御部90は、露光装置14から目標パルスエネルギEtと、目標波長λtと、を受信する。レーザ制御部90は、パルスエネルギ検出器144によって計測されたパルスエネルギEと目標パルスエネルギEtとを基に、パルスエネルギの制御を行う。パルスエネルギの制御は、パルスエネルギ検出器144によって計測されたパルスエネルギEと目標パルスエネルギの差ΔE=E-Etが0に近づくように充電電圧Vhvを制御することを含む。
レーザ制御部90は、スペクトル検出器146によって計測された中心波長λと目標波長λtとを基に、波長の制御を行う。波長の制御は、スペクトル検出器146によって計測された中心波長λと目標波長λtとの差δλ=λ-λtが0に近づくように回転ステージ134の回転角を制御することを含む。
以上のようにレーザ制御部90は、露光装置14から目標パルスエネルギEtと目標波長λtとを受信して、発光トリガ信号Tr1が入力されるごとに、発光トリガ信号Tr1に同期してレーザ装置16にパルスレーザ光を出力させる。
レーザ装置16は放電を繰り返すと、電極125、126が消耗し、レーザガス中のハロゲンガスが消費されると共に、不純物ガスが生成される。レーザチャンバ100内のハロゲンガス濃度の低下や不純物ガスの増加は、パルスレーザ光のパルスエネルギの低下やパルスエネルギの安定性に悪影響を及ぼす。レーザ制御部90は、これらの悪影響を抑制するために例えば、以下のガス制御を実行する。
[1]ハロゲン注入制御
レーザ制御部90はハロゲン注入制御を行う。ハロゲン注入制御とは、レーザ発振中に、レーザチャンバ100内で主に放電によって消費された分のハロゲンガスを、レーザチャンバ100内のハロゲンガスよりも高い濃度にハロゲンを含むガスを注入することによってレーザチャンバ100に補充するガス制御である。
[2]部分ガス交換制御
レーザ制御部90は部分ガス交換制御を行う。部分ガス交換制御とは、レーザ発振中に、レーザチャンバ100内の不純物ガスの濃度の増加を抑制するように、レーザチャンバ100内のレーザガスの一部を新しいレーザガスに交換するガス制御である。
[3]ガス圧制御
レーザ制御部90はガス圧制御を行う。ガス圧制御とは、レーザ装置16から出力されるパルスレーザ光のパルスエネルギの低下に対して、レーザチャンバ100内にレーザガスを注入してレーザガスのガス圧Pchを変化させることによって、パルスエネルギを制御するガス制御である。パルスエネルギの制御は、通常、充電電圧Vhvを制御することで行われるが、レーザ装置16から出力されるパルスレーザ光のパルスエネルギの低下を、充電電圧Vhvの制御範囲では補うことが不可能な場合に、ガス圧制御が実行される。
レーザチャンバ100からレーザガスを排気する場合に、レーザ制御部90はガス排気装置116を制御する。レーザチャンバ100から排気されたレーザガスは図示しないハロゲンフィルタによってハロゲンガスが除去され、レーザ装置16の外部に排気される。
レーザ制御部90は、発振パルス数、充電電圧Vhv、レーザチャンバ100内のガス圧Pch、レーザ光のパルスエネルギE等の各パラメータのデータを、レーザ装置用管理システム206(図5参照)に送信する。
3.3 レーザ装置のメインテナンス
レーザ装置のサービスエンジニアが必要となるレーザ装置16の主要なメインテナンス作業は、例えば、レーザチャンバ100の交換作業と、LNM106の交換作業と、モニタモジュール108の交換作業などの各作業である。
これらの主要なメインテナンス対象モジュール(消耗品)の交換時期は、寿命パラメータとして、レーザ装置16の発振パルス数で管理している。これらの主要な消耗品の交換時間は、3時間から10時間に及ぶことがある。これらの主要な消耗品の中で、交換時間が最も長い消耗品はレーザチャンバ100である。
3.4 その他
図4に示す例では、レーザ装置16として、KrFエキシマレーザ装置の例を示したがこの例に限定されることなく、他のレーザ装置に適用してもよい。例えば、レーザ装置16は、ArFエキシマレーザ装置であってもよい。
図4に示す例では、レーザ装置16のガス制御は、ハロゲン注入制御と、部分ガス交換制御と、ガス圧制御とを実施する場合を示したが、この例に限定されることなく、例えば、必ずしもガス圧制御を実施しなくてもよい。
4.リソグラフィシステムの説明
4.1 構成
図5に、半導体工場のリソグラフィシステムの構成例を概略的に示す。半導体工場のリソグラフィシステム200は、複数のリソセル10と、C/D装置用管理システム202と、露光装置用管理システム204と、レーザ装置用管理システム206と、半導体工場管理システム208と、を含む。
半導体工場管理システム208は、ネットワーク210を介して、C/D装置用管理システム202、露光装置用管理システム204、及びレーザ装置用管理システム206の各々に接続される。
ネットワーク210は、有線若しくは無線又はこれらの組み合わせによる情報伝達が可能な通信回線である。ネットワーク210は、ワイドエリアネットワークであってもよいし、ローカルエリアネットワークであってもよい。
リソグラフィシステム200に含まれる複数のリソセル10の各々を識別するために、ここではリソセル識別符号#1,#2,…#k,…#wを用いる。wはリソグラフィシステム200に含まれるリソセルの数である。wは1以上の整数である。kは1以上w以下の範囲の整数である。
以下、説明の便宜上、リソセル#kに含まれるC/D装置12、露光装置14、及びレーザ装置16の各々を、C/D装置#k、露光装置#k、及びレーザ装置#kと表記する。ここでは簡単のために、各リソセル#kは、C/D装置#kと、露光装置#kと、レーザ装置#kと、を1台ずつ含む形態を示す。各リソセル#kは、図1~図4で説明した構成であってよい。
なお、複数のリソセル#1~#wの一部又は全部は、互いに異なる形態であってもよい。リソセル#kに含まれるC/D装置#k、露光装置#k、レーザ装置#kの各々の台数や配置形態などは適宜設計し得る。各リソセル#kは、1つ以上のC/D装置#kと、1つ以上の露光装置#kと、1つ以上のレーザ装置#kと、を含んで構成される。
C/D装置#1~#wとC/D装置用管理システム202との各々は、第1ローカルエリアネットワーク211に接続される。露光装置#1~#wと露光装置用管理システム204との各々は、第2ローカルエリアネットワーク212に接続される。レーザ装置#1~#wとレーザ装置用管理システム206との各々は、第3ローカルエリアネットワーク213に接続される。
第1ローカルエリアネットワーク211、第2ローカルエリアネットワーク212及び第3ローカルエリアネットワーク213は、それぞれ独立した通信回線であり、互いに情報交換できない構成となっている。なお、図5において、第1ローカルエリアネットワーク211を「LAN1」、第2ローカルエリアネットワーク212を「LAN2」、第3ローカルエリアネットワーク213を「LAN3」とそれぞれ表示した。
4.2 動作
C/D装置用管理システム202は、それぞれのC/D装置#1~#wに関して消耗品の交換時期を運転時間やウエハの処理枚数で管理する。
露光装置用管理システム204は、それぞれの露光装置#1~#wに関して消耗品の交換時期を運転時間やウエハの処理枚数と、パルスレーザ光が通過したパルス数とで管理する。
レーザ装置用管理システム206は、それぞれのレーザ装置#1~#wの消耗品の交換時期をレーザ発振したパルス数で管理する。
C/D装置用管理システム202と、露光装置用管理システム204と、レーザ装置用管理システム206との各々は、それぞれのメインテナンスの管理情報を、それぞれの表示端末に表示してもよいし、ネットワーク210を介して半導体工場管理システム208に送信してもよい。
C/D装置用管理システム202によってC/D装置#1~#wを管理する管理ラインと、露光装置用管理システム204によって露光装置#1~#wを管理する管理ラインと、レーザ装置用管理システム206によってレーザ装置#1~#wを管理する管理ラインとは、それぞれの管理ラインが独立しており、各装置から出力されたメインテナンス管理の情報に基づいて、半導体工場の管理者がメインテナンス時期を決定する。
5.課題
図5のように、C/D装置#1~#wの管理ラインと、露光装置#1~#wの管理ラインと、レーザ装置#1~#wの管理ラインとはそれぞれの管理ラインが独立してメインテナンス管理の情報に基づいて各装置のメインテナンスを行っているので、以下の課題が発生することがある。
[課題1]各装置のそれぞれの消耗品によって寿命を判定するパラメータが異なるため、それぞれの消耗品について最適なメインテナンス時期を決定することが第1の課題である。寿命を判定するパラメータとは、例えば、運転時間、ウエハ処理枚数、露光装置の露光パルス数、レーザ発振のパルス数などである。
[課題2]リソセル10内の装置で1つの消耗品でもサービスエンジニアが必要なメインテンスを実施する場合、そのリソセル10において数時間以上ウエハ処理が停止する。したがって、各リソセル内でのメインテナンス時期を最適化することが第2の課題である。
なお、メインテナンス時期は、例えば、メインテナンスを実施する日、すなわち、メインテナンス日であってよい。また、メインテナンス時期は、メインテナンス日に限らず、メインテナンス日時であってもよい。
6.実施形態1
6.1 構成
図6は、実施形態1に係るリソグラフィシステムの構成を示す図である。図5との相違点を説明する。図6に示す半導体工場のリソグラフィシステム300は、図5のリソグラフィシステム200の構成にリソセル管理サーバ310を追加した構成となっている。リソセル管理サーバ310はネットワーク210に接続される。
リソセル管理サーバ310は、ネットワーク210を介して、C/D装置用管理システム202、露光装置用管理システム204、レーザ装置用管理システム206、及び半導体工場管理システム208と接続される。
リソセル管理サーバ310は、C/D装置用管理システム202、露光装置用管理システム204、レーザ装置用管理システム206、及び半導体工場管理システム208の各々に対してデータや信号の送受信が可能な構成である。
図7は、リソセル管理サーバ310の機能を示すブロック図である。リソセル管理サーバ310は、リソセル毎の稼働情報500と、交換モジュール及び交換部品に関するメインテナンス情報501と、リソセル毎のダウンタイムによる損失コスト情報502と、に基づいて、リソセル毎の交換モジュール及び交換部品の最適なメインテナンス日を計算し、メインテナンススケジュールの最適化を行う。リソセル管理サーバ310は、以下に示すファイルA~Eの作成及び記憶、情報の読み出し及び書き込みを行う機能を有する。
ファイルAは、リソセル毎の過去の稼動情報を保存するファイルである。ファイルBは、交換モジュール及び交換部品に関するメインテナンス情報を保存するファイルである。ファイルCは、標準メインテナンス日とメインテナンス時間を保存するファイルである。ファイルDは、リソセル毎のダウンタイムによる損失コスト情報を保存するファイルである。ファイルEは、最適化されたリソセル毎の交換モジュール及び交換各部品の最適メインテナンススケジュールを保存するファイルである。
稼動情報500の中にファイルAが含まれる。メインテナンス情報501の中にファイルBが含まれる。ファイルBには、例えば、リソセル毎の交換モジュール及び交換部品の寿命パラメータ値と、交換モジュール及び交換部品のそれぞれの寿命値と、交換モジュール及び交換部品のそれぞれの交換コストと、の各データが保存されてよい。損失コスト情報502の中にファイルDが含まれる。
リソセル管理サーバ310は、データ取得部320と、ファイルAを記憶しておく記憶部330と、ファイルBを記憶しておく記憶部336と、標準メインテナンス日計算部340と、ファイルCを記憶しておく記憶部350と、を含む。また、リソセル管理サーバ310は、ファイルDを記憶しておく記憶部360と、メインテナンススケジュール計画部370と、ファイルEを記憶しておく記憶部380と、データ出力部390と、を含む。記憶部330、336、350、360、380は、ハードディスク装置及び/又は半導体メモリ等の記憶デバイスを用いて構成される。記憶部330、336、350、360、380は、それぞれ別々の記憶装置を用いて構成されてもよいし、1つ又は複数の記憶装置における記憶領域の一部として構成されてもよい。
本開示において、C/D装置用管理システム202、露光装置用管理システム204、レーザ装置用管理システム206、半導体工場管理システム208、及びリソセル管理サーバ310の各々は、1台又は複数台のコンピュータのハードウェア及びソフトウェアの組み合わせによって実現することが可能である。また、各管理システム及びリソセル管理サーバ310の処理機能の一部又は全部は、FPGAやASICに代表される集積回路を用いて実現してもよい。
6.2 動作
6.2.1 リソセル管理サーバの動作
図7に示したリソセル管理サーバ310の動作を説明する。データ取得部320は、ネットワーク210経由で以下に示す3種類の情報群を取得し、リソセル毎にデータを整理する。
[情報群1]データ取得部320は、C/D装置用管理システム202を介して、それぞれのC/D装置#1~#wについて、稼動状況に関する情報と、交換モジュール及び交換部品に関するメインテナンス情報を取得する。
[情報群2]データ取得部320は、露光装置用管理システム204を介して、それぞれの露光装置#1~#wについて、稼動状況に関する情報と、交換モジュール及び交換部品に関するメインテナンス情報を取得する。
[情報群3]データ取得部320は、レーザ装置用管理システム206を介して、それぞれのレーザ装置#1~#wについて、稼動状況に関する情報と、交換モジュール及び交換部品に関するメインテナンス情報を取得する。
データ取得部320は、取得した情報群1~3を基に、ファイルA及びファイルBに情報を書き込む。ファイルAには、情報群1、情報群2、及び情報群3の稼動状況に関する情報から、それぞれのリソセル#1~#wについて過去の稼動情報がまとめ直されて、定期的に稼動状況のログデータが保存される。
ファイルBには、情報群1、情報群2、及び情報群3のメインテナンスに関する情報から、それぞれのリソセル#1~#wについて交換モジュール及び交換部品に関するメインテナンス情報がまとめ直されて、定期的にデータが保存される。
データ取得部320は、さらに、ネットワーク210経由で、半導体工場管理システム208から、リソセル毎のダウンタイムによる損失コストの情報を取得し、取得した情報を基に、ファイルDに情報を書き込む。
ファイルDには、半導体工場管理システム208から取得された、それぞれのリソセル#1~#wのダウンタイムによる損失コストのデータが保存される。
標準メインテナンス日計算部340は、ファイルA及びファイルBのデータからリソセル毎の交換モジュール及び交換部品の標準メインテナンス日を計算し、その計算値とメインテナンス時間と、をファイルCに保存する。
メインテナンススケジュール計画部370は、ファイルA、ファイルB、ファイルC及びファイルDのデータに基づいてメインテナンススケジュールの最適化を行う。この最適化は、例えば、メインテナンスコストを最小化するという評価観点で最適化した場合のメインテナンス日を特定することである。メインテナンススケジュール計画部370によって最適化されたメインテナンス日は、推奨メインテナンス日として提示される。
メインテナンススケジュール計画部370は、最適化の処理によって求められたリソセル毎の交換モジュール及び交換部品の推奨メインテナンス日と、メインテナンス時間とを含むデータをファイルEに出力する。ファイルEには、最適化されたリソセル毎の交換モジュール及び交換部品の推奨メインテナンス日とメインテナンス時間が保存される。メインテナンススケジュール計画部370は、ファイルEのデータを、データ出力部390に出力してよい。
データ出力部390は、ファイルEのデータを、ネットワーク210を介して、C/D装置用管理システム202と、露光装置用管理システム204と、レーザ装置用管理システム206と、半導体工場管理システム208と、図示しない表示端末とに出力する。なお、リソセル管理サーバ310は、ファイルEに保存された情報を表示するための表示装置を備えていてもよい。データ出力部390は、メインテナンススケジュール計画部370によって最適化されたメインテナンススケジュール計画の作成結果を出力する。
6.2.2 ファイルAに含まれるテーブルデータの例
図8は、ファイルAに含まれるテーブルデータの例を示す図表である。ファイルAは、リソセル#k(k=1,2,・・・w)の稼動情報を保存するファイルである。ファイルAには、各装置のメインテナンス情報から、それぞれのリソセル#1~#k~#wについてメインテナンス情報をまとめ直して、定期的に稼動状況のログデータが保存される。
ファイルAのテーブルデータは、リソセル#kのデータ取得の日付と時刻D及びデータ取得開始時からのウエハ処理枚数Wn及び1日当たりのウエハ処理枚数Wndayと、露光装置(k)のデータ取得開始時からの露光パルス数Nex及び1日当たりの露光パルス数Nexdayと、レーザ装置(k)のデータ取得開始時からの発振パルス数Np及び1日当たりの発振パルス数Npday及びレーザ装置から出力されるパルスレーザ光のパルスエネルギEpと、を含む。
1日当たりの稼動状況パラメータは以下の式で計算される。
Wnday(k,i-1)={Wn(k,i)-Wn(k,i-1)}/{D(k,i)-D(k,i-1)} (1)
Nexday(k,i-1)={Nex(k,i)-Nex(k,i-1)}/{D(k,i)-D(k,i-1) (2)
Npday(k,i-1)={Np(k,i)-Np(k,i-1)}/{D(k,i)-D(k,i-1)} (3)
データの取得タイミングは、周期的に、例えば、1日(24時間)毎とする。データの取得周期は、1/24日以上1日以下が好ましい。
1日当たりの稼動状況の計算に使用するパラメータ値Wnday(k)、Nexday(k)、Npday(k)の各々は、i=m-hからi=m-1の範囲の平均値を計算して、ファイルAに書き込む。
ただし、iはデータ番号を表す1以上の整数である。hは正の整数であって、m-1から1の間の数字である。
h=m-1の場合は、i=1からi=m-1の範囲の平均値となる。
h=1の場合は、i=m-1の値となる。
必要に応じて、hの値を決定して、平均値を求める。
今後の稼動率が、最近の稼動率と略一致する場合は、近日中のデータを平均化してもよい。例えば、h=2以上7以下のパラメータ値を平均化してもよい。
図8のファイルAに保存されたデータをグラフ化した例を、図9、図10、及び図11に示す。図9は、縦軸にウエハ処理枚数Wn、露光パルス数Nex、及び発振パルス数Npの各々を、横軸にデータ取得日をプロットしたグラフである。
ウエハ処理枚数Wn、露光パルス数Nex、及び発振パルス数Npの各々のグラフは、ほとんど同じような傾向を示す。ただし、露光パルス数Nexはレーザ装置の発振パルス数Npに比べて多少小さくなる。これは、レーザ装置16は、パルスエネルギや波長が目標値の許容範囲に入るまでは露光装置14にパルスレーザ光を入射させずに調整発振を行うためである。
図10は、1日当たりのウエハ処理枚数Wnday、1日当たりの露光パルス数Nexday、1日当たりの発振パルス数Npdayの各々の経時変化を示す。
これらの稼動パラメータは、リソセル毎の交換モジュールや交換部品のメインテナンス日を計算するために使用する稼動パラメータである。図10に示すグラフは、リソセル#kの稼動率の経時変化のグラフと読み替えることもできる。
図11は、レーザ装置16から出力されたパルスレーザ光のパルスエネルギEpの経時変化を示すグラフである。基本的には、レジストの変更やレチクル74の変更などの露光条件に変更がなければ、パルスエネルギEpは一定の値となる。
使用されるレジストが変更されてレジスト感度が変わる場合や、同じレジストであってもレチクル74のマスクパターンが変わる場合は、必要なパルスエネルギが変更されることがある。ここでは、レーザ装置16から出力されるパルスレーザ光のパルスエネルギEpのデータが取得される。
パルスレーザ光のパルスエネルギEpによって、レーザ装置16及び露光装置14の交換モジュールや交換部品の寿命パルス数が変化することがある。
〈その他〉
図8~図10に示す例では、リソセル#kにおけるC/D装置#kのウエハ処理枚数Wcdnとリソセル#kにおける露光装置#kのウエハ処理枚数Wexnとは、ほとんど同じと見做して、リソセル#kのウエハ処理枚数Wnとした。すなわち、「Wn≒Wcdn≒Wexn」の関係を満たすものとした。
図11の例では、レーザ装置16から出力されるパルスレーザ光のパルスエネルギが変化しない場合を示したが、この例に限定されない。例えば、使用されるレジストが変更されてレジスト感度が変わる場合や、同じレジストであってもレチクル74のマスクパターンが変わる場合は、必要なパルスエネルギが変更されることがある。この場合、レーザ装置16から出力されるパルスレーザ光のパルスエネルギのデータが取得される。
パルスレーザ光のパルスエネルギによって、レーザ装置16及び露光装置14の交換モジュールや交換部品の寿命パルス数が変化することがある。この場合は、後述するが、パルスエネルギに対する寿命パルス数をあらかじめファイルBに保存することによって対応できる。
6.2.3 ファイルBに含まれるテーブルデータ(1)の例
図12は、ファイルBに含まれるテーブルデータ(1)の例を示す図表であり、リソセル毎の各装置の交換モジュール及び交換部品のメインテナンス情報のデータ例を示す。
リソセル毎の各装置の交換モジュール又は交換部品に対して、寿命パラメータと、寿命値Life(k,l,m)と、標準メインテナンス時間Tmhs(k,l,m)と、交換コストCexc(k,l,m)と、寿命パラメータ値Pra(k,l,m)と、寿命パラメータを計測した日付及び時刻Dme(k,l,m)と、残りの寿命パラメータ値Prarl(k,l,m)と、がテーブルデータとして保存されている。ただし、kはリソセル番号、lは装置の番号、mは交換モジュール又は交換部品の番号である。
寿命パラメータは、以下の4つに分類される。
[分類1]交換モジュール又は交換部品の動作時間に依存するパラメータ
例えば、ケミカルフィルタを交換してからの空気が通過した動作時間等が該当する。
[分類2]交換モジュール又は交換部品のウエハ処理枚数に依存するパラメータ
例えば、C/D装置の基板回転モジュールを交換してからのウエハを処理した枚数等が該当する。
[分類3]交換モジュール又は交換部品の露光パルス数に依存するパラメータ
例えば、露光装置の照明光学系の交換部品を交換してからの露光パルス数等が該当する。
[分類4]交換モジュール又は交換部品の発振パルス数に依存するパラメータ
例えば、レーザ装置のレーザチャンバを交換してからの発振パルス数等が該当する。
図12中の単位寿命当たりの交換コストCplife(k,l,m)は以下の式から計算される。
Cplife(k,l,m)=Cexc(k,l,m)/Life(k,l,m) (4)
メインテナンス時間は、各装置単体でのメインテナンス時間だけでなく、交換モジュール及び交換部品を交換した際にリソセルがウエハの生産を停止している時間とする。つまり、メインテナンス時間はメインテナンスの実施によって見込まれるダウンタイムを表す。
残りの寿命パラメータ値Prarl(k,l,m)は以下の式から計算される。
Prarl(k,l,m)=Life(k,l,m)-Pra(k,l,m) (5)
〈その他〉
図12に示す例では、単純化するために、C/D装置のドレイン回収モジュールや基板回転モジュールの寿命は、ウエハの処理枚数に略依存するとして計算した。
寿命パラメータは分類1から分類4に限定されない。例えば、レジストの使用量を寿命パラメータとしてもよい。寿命パラメータと、1日当たりの変動量とをモニタできれば何でもよい。
6.2.4 ファイルBに含まれるテーブルデータ(2)の例
図13は、ファイルBに含まれるテーブルデータ(2)の例を示す図表である。図13に、同日に複数の交換モジュール又は交換部品のメインテナンスを行う場合のメインテナンス時間のテーブルデータを示す。同じ装置で同日にメインテナンスを行うと、メインテナンス時間が短くなる場合がある。
例えば、同じレーザ装置16について、狭帯域化モジュール(LNM)、レーザチャンバ(CH)、モニタモジュール(MM)、及びフロントミラー(FM)のうち少なくとも2つ以上の交換モジュールを同時に(同日に)交換するときはメインテナンス時間が短くなる。このような同日交換を行うときは、モジュール交換前後のレーザ性能を確認する工程が1回で済むのでメインテナンス時間が短くなる。
また、レーザ装置16だけでなく、C/D装置12や露光装置14の各装置についても同様のことが言える。さらに、装置単位だけでなく、C/D装置12や露光装置14の交換モジュール及び交換部品の交換が複数となって、リソセル10の単位でメインテナンス時間が短くなる場合は、その組み合わせに関するテーブルデータとして記憶する。
これらのデータをそれぞれ、テーブルデータとしてファイルBに記憶しておき、上記組み合わせで同日に交換を実施する場合のメインテナンス時間は、このテーブルデータの値を使用する。
6.2.5 ファイルBに含まれるテーブルデータ(3)の例
図14は、ファイルBに含まれる他のテーブルデータ(3)の例を示す図表である。図14に、レーザ装置16から出力されるパルスレーザ光のパルスエネルギが異なる場合の寿命値のテーブルデータの例を示す。
一般的に、パルスレーザ光のエネルギが高くなると、レーザ装置16のモジュールや露光装置14の光学系に関する部品の寿命が短くなることがある。
パルスレーザ光のパルスエネルギEpをモニタし、図14に示すテーブルデータの寿命値を使用することによって、メインテナンススケジュール計画を立てることができる。
〈その他〉
一般に光学素子の寿命は、1光子吸収の場合と、2光子吸収の場合とで異なる。
1光子吸収の場合は、例えば、次式で表される。
寿命パラメータ=(Ep(k)/10)・発振パルス数 (6)
2光子吸収の場合は、例えば、次式で表される。
寿命パラメータ=(Ep(k)/10)・発振パルス数 (7)
レーザ装置16の寿命パラメータ値Pra(k,3,m)は上記の式(6)又は式(7)を用いて計算してもよい。
また、露光装置14の寿命パラメータ値Pra(k,2,2)及びPra(k,2,3)に関しても、同様に上記の式(6)又は式(7)の発振パルス数を露光パルス数に置き換えて計算してもよい。
6.2.6 標準メインテナンス日計算部の処理例
図15は、標準メインテナンス日計算部340における処理内容の例を示すフローチャートである。
ステップS12において、標準メインテナンス日計算部340は、ファイルAからリソセル#kの稼動状況を示す稼動情報のデータを読み込む。例えば、標準メインテナンス日計算部340は、ファイルAから図8に示すような1日当たりの稼動状況を示すパラメータ値であるWnday(k)と、Nexday(k)と、Npday(k)と、を読み込む。
ステップS14において、標準メインテナンス日計算部340は、ファイルBからリソセル#kの交換モジュール及び交換部品について、メインテナンス情報を読み込む。例えば、標準メインテナンス日計算部340は、ファイルBから図12に示すようなメインテナンス情報を読み込む。また、標準メインテナンス日計算部340は、残りの寿命パラメータ値Prarl(k,l,m)と、寿命パラメータを取得した日付及び時刻Dme(k,l,m)と、を読み込む。
ステップS16において、標準メインテナンス日計算部340は、ファイルA及びファイルBから読み込んだデータからリソセル#kの交換モジュール及び交換部品の標準メインテナンス日Dmds(k,l,m)を計算する。
標準メインテナンス日Dmds(k,l,m)は、次の[a]から[d]に示すいずれかの式に従って計算される。
[a]寿命パラメータが時間の場合
Dmds(k,l,m)=Dme(k,l,m)+Prarl(k,l,m) (8)
[b]寿命パラメータがウエハ処理枚数の場合
Dmds(k,l,m)=Dme(k,l,m)+Prarl(k,l,m)/Wnday(k) (9)
[c]寿命パラメータが露光パルス数の場合
Dmds(k,l,m)=Dme(k,l,m)+Prarl(k,l,m)/Nexday(k) (10)
[d]寿命パラメータが発振パルス数の場合
Dmds(k,l,m)=Dme(k,l,m)+Prarl(k,l,m)/Npday(k) (11)
ステップS18において、標準メインテナンス日計算部340は、ステップS16にて計算した標準メインテナンス日Dmds(k,l,m)を含むデータをファイルCに書き込む。標準メインテナンス日計算部340は、標準メインテナンス日Dmds(k,l,m)と、単体で交換した場合の標準メインテナンス時間Tmhs(k,l,m)と、をファイルCに書き込む。
6.2.7 ファイルC及びファイルDの具体例
図16は、ファイルCに含まれるテーブルデータの例を示す図表である。ファイルCには、交換モジュール又は交換部品ごとの寿命パラメータ、標準メインテナンス時間、標準メインテナンス日、及び単位寿命当たりの交換コストが保存される。
図17は、ファイルDに含まれるテーブルデータの例を示す図表である。ファイルDには、リソセル毎に、ダウンタイムによる単位時間当たりの損失コストが保存される。以後、ダウンタイムによる損失コストを「ダウンタイムコスト」と呼ぶ。
6.2.8 メインテナンススケジュール計画部の処理例
図18は、メインテナンススケジュール計画部370における処理内容の例を示すフローチャートである。
ステップS22において、メインテナンススケジュール計画部370は、ファイルDからリソセル#kの単位時間当たりのダウンタイムコストを読み込む。
ステップS24において、メインテナンススケジュール計画部370は、ファイルCからリソセル#kの交換モジュール及び交換部品のテーブルデータを読み込む。
ステップS26において、メインテナンススケジュール計画部370は、標準メインテナンス日の早い順にテーブルデータを並べ直す。並び替え後のテーブルデータを「ソート後のテーブルデータ」という。
ステップS28において、メインテナンススケジュール計画部370は、変数u及び変数vをそれぞれ初期値である「1」に初期化する。
ステップS30において、メインテナンススケジュール計画部370は、変数sの値を初期値である「1」に初期化する。
ステップS32において、メインテナンススケジュール計画部370は、ソート後のテーブルデータにおけるu番目からu+s番目までの交換モジュール又は交換部品の各メインテナンス日をすべてu番目の交換モジュール又は交換部品のメインテナンス日Dmds(k,l,m,u)に変更し、この値をDmop(k,v)とする。
ステップS34において、メインテナンススケジュール計画部370は、u番目からu+s番目の中で最も長い時間のメインテナンス時間を、メインテナンス時間Tmop(k,v)とする。
ステップS36において、メインテナンススケジュール計画部370は、コストメリットの計算を行う。
図19は、コストメリットの計算処理の例を示すフローチャートである。図19のフローチャートは、図18のステップS36に適用される。
図19のステップS52において、メインテナンススケジュール計画部370は、交換日の前倒しによる増加コストCexcup(k,v)を計算する。
増加コストCexcup(k,v)は、次式から計算される。
Cexcup(k,v)=Σ{Cplife(k,l,m,q)・Parad(Dmds~Dmop)} (12)
式中のΣは、q=uからq=u+sまでの総和を表す。
Cplife(k,l,m,q)は、ソート後のテーブルデータにおけるq番目の交換モジュール又は交換部品の単位寿命当たりの交換コストである。
ここで、Parad(Dmds~Dmop)は、寿命パラメータ値がそれぞれの標準メインテナンス日Dmdsから新しく設定したDmopの日付に前倒しすることによって減るq番目の交換モジュール又は交換部品の寿命パラメータの値と定義する。
この場合の値は、1日当たりに減少する寿命パラメータ値と前倒し日数の積となる。
Parad(Dmds~Dmop)は、次の[e]から[h]に示すいずれかの式に従って計算される。
[e]寿命パラメータが時間の場合
Parad(Dmds~Dmop)={Dmds(k,l,m,u)-Dmop(k,v)} (13)
[f]寿命パラメータがウエハ処理枚数の場合
Parad(Dmds~Dmop)={Dmds(k,l,m,u)-Dmop(k,v)}・Wnday(k) (14)
[g]寿命パラメータが露光パルス数の場合
Parad(Dmds~Dmop)={Dmds(k,l,m,u)-Dmop(k,v)}・Nexday(k) (15)
[h]寿命パラメータが発振パルス数の場合
Parad(Dmds~Dmop)={Dmds(k,l,m,u)-Dmop(k,v)}・Npday(k) (16)
ステップS54において、メインテナンススケジュール計画部370は、ダウンタイム低減による低減コストCdtd(k,v)を計算する。
低減コストCdtd(k,v)は、次式から計算される。
Cdtd(k,v)={ΣTmhs(k,I,m,q) - Tmop(k,v)}・Cdt(k) (17)
Cdt(k)は、リソセル毎の単位時間当たりのダウンタイムコストである(図17参照)。
ステップS56において、メインテナンススケジュール計画部370は、ステップS52で求めた増加コストCexcup(k,v)と、ステップS54で求めた低減コストCdtd(k,v)と、を基に、コストメリットCm(k,v)を計算する。
コストメリットCm(k,v)は、次式から計算される。
Cm(k,v)=Cdtd(k,v)-Cexcup(k,v) (18)
ステップS56にてコストメリットCm(k,v)を求めたら、図19のフローチャートを終了して、図18のメインフローに復帰する。
図18のステップS38において、メインテナンススケジュール計画部370は、コストメリットがあるか否かを判定する。
メインテナンススケジュール計画部370は、ステップS36にて求めたCm(k,v)がCm(k,v)>0を満たす場合は、ステップS40に進み、変数sの値をインクリメントしてステップS32に戻る。
ステップS38の判定処理にて、Cm(k,v)≦0である場合、メインテナンススケジュール計画部370はステップS41に進む。
ステップS41において、メインテナンススケジュール計画部370は、u番目からu+s番目までのメインテナンス日及びメインテンス時間をファイルCのデータに戻す。
そして、ステップS42において、メインテナンススケジュール計画部370は、変数sの値をデクリメントしてステップS43に進む。
ステップS43において、メインテナンススケジュール計画部370は、u番目からu+s番目までの交換モジュール又は交換部品の各メインテナンス日をすべてu番目の交換モジュール又は交換部品のメインテナンス日Dmds(k,l,m,u)に変更し、この値をDmop(k,v)とする。ステップS43の処理はステップS32の処理と同様である。
ステップS44において、メインテナンススケジュール計画部370は、u番目からu+s番目の中で最も長い時間のメインテナンス時間を、メインテナンス時間Tmop(k,v)とする。ステップS44の処理はステップS34の処理と同様である。
ステップS45において、メインテナンススケジュール計画部370は、コストメリットの計算を行う。ステップS45の処理はステップS36の処理と同様である。
その後ステップS46において、メインテナンススケジュール計画部370は、変数vの値をインクリメントすると共に、変数uにs+1を加えて、u+s+1を新たに変数uの値とする。ステップS46にてvの値とuの値とをそれぞれ更新した後、ステップS47において、メインテナンススケジュール計画部370は、u+1>umaxを満たすか否かを判定する。umaxは交換モジュールと交換部品との総数である。
メインテナンススケジュール計画部370は、ステップS47の判定結果がNo判定である場合は、ステップS30に戻る。メインテナンススケジュール計画部370は、uの値がumaxに達するまでステップS30からステップS47を繰り返す。
ステップS47の判定処理にて、Yes判定になると、メインテナンススケジュール計画部370はステップS48に進み、ファイルEにデータの書き込みを行う。ステップS48の後、図18のフローチャートを終了する。
6.2.9 ファイルEの具体例
図20は、ファイルEに含まれるテーブルデータの例を示す図表である。ファイルEのテーブルデータには、リソセル毎の各消耗品の標準メインテナンス日、各消耗品を単体で交換した場合の標準メインテナンス時間、単位寿命当たりの交換コスト、リソセル毎に最適化された最適メインテナンス日、交換日の前倒しによる増加コスト、メインテナンス時間、ダウンタイム低減コスト、及びコストメリットの各データが含まれる。
図21は、標準メインテナンス日と、前倒しした最適メインテナンス日との関係を模式的に示す説明図である。図21は、図20に示されたテーブルデータの内容を反映している。
図21において、例えば、u=2とu=3の各消耗品について標準メインテナンス日よりもメインテナンス日が前倒しされ、u=1の消耗品の標準メインテナンス日と同じ日に、u=1~3の各消耗品のメインテナンスを行うことが推奨される。
同様に、u=5~7の各消耗品について標準メインテナンス日よりもメインテナンス日が前倒しされ、u=4の消耗品の標準メインテナンス日と同じ日に、u=4~7の各消耗品のメインテナンスを行うことが推奨される。
また同様に、u=9及びu=10の各消耗品について標準メインテナンス日よりもメインテナンス日が前倒しされ、u=8の消耗品の標準メインテナンス日と同じ日に、u=9及びu=10の各消耗品のメインテナンスを行うことが推奨される。
さらに同様に、u=12の消耗品について標準メインテナンス日よりもメインテナンス日が前倒しされ、u=11の消耗品の標準メインテナンス日と同じ日に、u=12の消耗品のメインテナンスを行うことが推奨される。
6.3 作用・効果
実施形態1によれば、リソセル毎の稼動状況に関する稼動情報と、リソセル毎の消耗品のメインテナンス情報と、リソセル毎のメインテナンスのダウンタイムによる損失コストと、からリソセル毎の消耗品のメインテナンススケジュールの最適化を行っている。実施形態1によれば、リソセルの単位とは無関係に、装置毎にメインテナンスを行う場合に比べて、メインテナンスコストやダウンタイムの低減が可能となる。
6.4 その他
リソセル管理サーバ310は本開示における「メインテナンス管理装置」の一例である。実施形態1におけるデータ取得部320と記憶部330との組合せは本開示における「稼動情報処理部」の一例である。データ取得部320と記憶部336との組合せは本開示における「メインテナンス情報処理部」の一例である。標準メインテナンス日計算部340は本開示における「標準メインテナンス時期計算部」の一例である。リソセル管理サーバ310が実施する処理は本開示における「メインテナンス管理方法」の一例である。ファイルAに保存された稼動情報のデータは本開示における「稼動データ」の一例である。
7.実施形態2
7.1 構成
図22は、実施形態2に係るリソセル管理サーバの機能を示すブロック図である。図7で説明したリソセル管理サーバ310に代えて、図22に示すリソセル管理サーバ312を用いることができる。図7で説明したリソセル管理サーバ310との相違点を説明する。
実施形態2では、リソセル毎の稼働情報500として、リソセル毎の今後の稼動予定情報が追加される。図22に示すリソセル管理サーバ312が扱う稼動情報500の中には、ファイルA(2)及びファイルFが含まれる。リソセル管理サーバ312は、図7に例示したテーブルデータとは異なるテーブルデータを含むファイルA(2)の作成、記憶、情報の読み出し及び書き込みを行う機能を有する。実施形態2において作成される、リソセル毎の過去の稼動情報を保存したファイルをファイルA(2)と表記する。ファイルA(2)に含まれるテーブルデータの例については図23を用いて後述する。
リソセル管理サーバ312は、ファイルA(2)を記憶しておく記憶部332を含む。
リソセル管理サーバ312には、ファイルFの作成、記憶、情報の読み出し及び書き込みを行う機能が追加されている。ファイルFは、リソセル毎の今後の稼動予定情報が保存されるファイルである。リソセル管理サーバ312は、ファイルFを記憶しておく記憶部400を含む。
また、リソセル管理サーバ312は、図7の標準メインテナンス日計算部340及びメインテナンススケジュール計画部370に代えて、図22に示すように、標準メインテナンス日計算部342及びメインテナンススケジュール計画部372を含む。
7.2 動作
7.2.1 リソセル管理サーバの動作
図22に示すリソセル管理サーバ312のデータ取得部320は、半導体工場管理システム208から、日付とその日に予定されるウエハの処理枚数のデータを取得して、ファイルFに保存する。日付ごとに予定されるウエハの処理枚数を「日毎の予定ウエハ処理枚数」という。データ取得部320は、日付及び日毎の予定ウエハ処理枚数のデータから、本日以降の予定ウエハ処理枚数と、日毎の予定露光パルス数と、本日以降の予定露光パルス数と、日毎の予定発振パルス数と、本日以降の予定発振パルス数と、を計算して、計算結果をファイルFに保存する。
上記の各値の計算は、ファイルA(2)に保存されている、1枚ウエハ当りの露光パルス数Nexwef(k)及び1枚ウエハ当たりの発振パルス数Npwef(k)に基づいて行われる。1枚ウエハ当りの露光パルス数Nexwef(k)及び1枚ウエハ当たりの発振パルス数Npwef(k)のデータを含むテーブルデータの例は後述する(図23参照)。
標準メインテナンス日計算部342は、ファイルA(2)とファイルBとファイルFとのデータに基づいて標準メインテナンス日を計算する。
メインテナンススケジュール計画部372は、ファイルCとファイルDとのデータに基づいて、メインテナンススケジュールの最適化を実行する。
7.2.2 ファイルA(2)に含まれるテーブルデータの例
図23は、ファイルA(2)に含まれるテーブルデータの例を示す図表である。実施形態2で用いるファイルA(2)には、図8で説明した第1例に係るテーブルデータの「1日当たりの露光パルス数」と「1日当たりの発振パルス数」とに代えて、「1枚ウエハ当たりの露光パルス数」と「1枚ウエハ当たりの発振パルス数」との各情報を含む。
データ取得部320は、1枚ウエハ当たりの露光パルス数Nexwefと1枚ウエハ当たりの発振パルス数Npwefとを、それぞれ次の計算式に従って計算し、求めた各値を含むテーブルデータを保存する。
Nexwef(k,i-1)={Nex(k,i)-Nex(k,i-1)}/Wnday(k,i-1) (19)
Npwef(k,i-1)={Np(k,i)-Np(k,i-1)}/Wnday(k,i-1) (20)
Nexwef(k)は、Nexwef(k,1), Nexwef(k,2),・・・, Nexwef(k,i-1),・・・, Nexwef(k,j-1)の平均値である。
Npwef(k)は、Npwef(k,1), Npwef(k,2),・・・, Npwef(k,i-1),・・・, Npwef(k,j-1)
の平均値である。
〈その他〉
なお、データ取得部320は、Nexを縦軸、Wnを横軸としてデータをプロットして、最小二乗法により直線近似した直線の勾配をNex(k)としてもよい。
また、データ取得部320は、Npを縦軸とし、Wnを横軸にして、データをプロットして、最小二乗法により直線近似した直線の勾配をNp(k)としてもよい。
7.2.3 ファイルFに含まれるテーブルデータの例
図24は、ファイルFに含まれるテーブルデータの例を示す図表である。図24に、リソセル#kの稼動予定情報のデータの例を示す。
データ取得部320は、半導体工場管理システム208から、日付DATEplと、その日に予定されるウエハの処理枚数Wplpdと、を取得してファイルFに保存する。
データ取得部320は、取得したデータから、本日以降の予定ウエハ処理枚数Wplpdsuと、日毎の予定露光パルス数Nexplpdと、本日以降の予定露光パルス数Nexplsuと、日毎の予定発振パルス数Npplpdと、本日以降の予定発振パルス数Npplsuと、を計算して、計算結果をファイルFに保存する。
データ取得部320は、図23で説明したファイルA(2)に保存されている、1枚ウエハ当りの露光パルス数Nexwef(k)及び1枚ウエハ当りの発振パルス数Npwef(k)に基づいて下記計算式に従って、各値を計算する。
Wplpdsu(k,f)=Wplpd(k,1)+Wplpd(k,2)+Wplpd(k,3)+・・・・+Wplpd(k,f) (21)
Nexplpd(k,f)=Wplpd(k,f)・Nexwef(k) (22)
Nexplsu(k,f)=Nexplpd(k,1)+Nexplpd (k,2)+Nexplpd (k,3)+・・・・+Nexplpd (k,f)
(23)
Npplpd(k,f)=Wplpd(k,f)・Npwef(k) (24)
Npplsu(k,f)=Npplpd (k,1)+Npplpd (k,2)+Npplpd (k,3)+・・・・+Npplpd (k,f) (25)
なお、ファイルFに保存された稼動予定情報のデータは本開示における「稼動予定データ」の一例である。
7.2.4 標準メインテナンス日計算部の処理例
図25は、標準メインテナンス日計算部342における処理内容の例を示すフローチャートである。図25において、図15と共通するステップには同一のステップ番号を付し、重複する説明は省略する。
図15のフローチャートに代えて、又は図15のフローチャートと組み合わせて、図25に示すフローチャートを適用し得る。ステップS13において、標準メインテナンス日計算部342は、ファイルFからリソセル#kの稼動予定情報のデータを読み込む。例えば、標準メインテナンス日計算部342は、ファイルFから図24に示すようなリソセル毎の稼動予定情報を読み込む。
ステップS14の処理は、図15のステップS14と同様である。ステップS14において、標準メインテナンス日計算部342は、ファイルBからリソセル#kの交換モジュール及び交換部品について、メインテナンス情報を読み込む。例えば、標準メインテナンス日計算部342は、ファイルBから図12に示すようなメインテナンス情報を読み込む。また、標準メインテナンス日計算部342は、残りの寿命パラメータ値Prarl(k,l,m)と、寿命パラメータを取得した日付及び時刻Dme(k,l,m)と、を読み込む。
ステップS17において、標準メインテナンス日計算部342は、ファイルF及びファイルBから読込んだデータからリソセル#kの交換モジュール及び交換部品の標準メインテナンス日を計算する。
標準メインテナンス日Dmds(k,l,m)は、残りの寿命パラメータ値Prarl(k,l,m)以下であって、本日以降のウエハ処理枚数Wplpdsu(k,f)、本日以降の露光パルス数Nexplsu(k,f)、又は本日以降の発振パルス数Npplsu(k,f)が一番大きい値となる日付とする。
ステップS18において、標準メインテナンス日計算部342は、標準メインテナンス日Dmds(k,l,m)と、単体で交換した場合の標準メインテナンス時間Tmds(k,l,m)と、をファイルCに書き込む。
7.2.5 メインテナンススケジュール計画部の処理例
図26は、メインテナンススケジュール計画部372における処理内容の例を示すフローチャートである。図26において、図18と共通するステップには同一のステップ番号を付し、重複する説明は省略する。図26に示すフローチャートは、図18のステップS36及びステップS45に代えて、ステップS36B及びステップS45Bを含む。
ステップS36Bにおいて、メインテナンススケジュール計画部372は、ファイルFから得たデータを用いてコストメリットを計算する。
図27は、コストメリット計算処理の例を示すフローチャートである。図27のフローチャートは、図26のステップS36B及びステップS45Bの各々に適用される。図27において、図19と共通するステップには同一のステップ番号を付し、重複する説明は省略する。
図27に示すフローチャートは、ステップS52の前にステップS51を含む。ステップS51において、メインテナンススケジュール計画部372は、ファイルFからリソセル#kの稼動予定情報のデータを読み込む。
ステップS52において、メインテナンススケジュール計画部372は、ファイルFのデータからParad(Dmds~Dmop)の値を計算することができる。メインテナンススケジュール計画部372は、ファイルFのデータから計算したParad(Dmds~Dmop)を用いて増加コストCexcup(k,v)を計算する。
その他の処理内容は、図19のフローチャートと同様である。
7.3 作用・効果
実施形態2によれば、リソセル毎の過去の稼動情報と、リソセル毎の今後の稼動予定情報とに基づいて標準メインテナンスの日付を計算しているので、実施形態1と比べて、標準メインテナンス日の予測精度が一層改善される。
実施形態2によれば、予測精度が改善された標準メインテナンス日と、今後の稼動予定情報とに基づいて最適なメインテナンススケジュールを計算しているので、メインテナンススケジュールの最適化の精度が一層改善される。
8. 実施形態3
8.1 構成
図28は、実施形態3に係るリソセル管理サーバ313の機能を示すブロック図である。図22で説明した実施形態2に係るリソセル管理サーバ312との相違点を説明する。
実施形態3では、リソセル毎の稼働情報500にレシピ情報が追加される。図28に示すリソセル管理サーバ313が扱う稼動情報500の中には、ファイルA(3)及びファイルF(2)が含まれる。リソセル管理サーバ313は、図8に例示したテーブルデータとは異なるテーブルデータを含むファイルA(3)の作成、記憶、情報の読み出し及び書き込みを行う機能を有する。実施形態3で作成される、リソセル毎の過去の稼動情報を保存したファイルをファイルA(3)と表記する。ファイルA(3)は、レシピ情報を含む。ファイルA(3)に含まれるテーブルデータの例については図29を用いて後述する。リソセル管理サーバ313は、ファイルA(3)を記憶しておく記憶部333を含む。
また、リソセル管理サーバ313は、図24に例示したデータテーブルとは異なるデータテーブルを含むファイルF(2)の作成、記憶、情報の読み出し及び書き込みを行う機能を有する。実施形態3で作成される、リソセル毎の今後の稼動情報を保存したファイルFをファイルF(2)と表記する。ファイルF(2)は、レシピ情報を含む。ファイルF(2)に含まれるデータテーブルの例については図30を用いて後述する。リソセル管理サーバ313は、ファイルF(2)を記憶しておく記憶部402を含む。
また、リソセル管理サーバ313は、図7の標準メインテナンス日計算部340及びメインテナンススケジュール計画部370に代えて、図28に示すように、標準メインテナンス日計算部342及びメインテナンススケジュール計画部372を含む。
8.2 動作
8.2.1 リソセル管理サーバの動作
図28に示すリソセル管理サーバ313のデータ取得部320は、半導体工場管理システム208からレシピ情報を取得してファイルA(3)に保存する。データ取得部320は、レシピ情報と、各レシピに対応した平均ウエハ処理枚数と、各レシピに対応した平均露光パルス数と、各レシピに対応した平均発振パルス数と、をファイルA(3)に保存する。
データ取得部320は、半導体工場管理システム208から日付と、その日に予定されるウエハの処理枚数及びレシピ情報と、を取得して、これらをファイルF(2)に保存する。
データ取得部320は、各レシピに対応した平均ウエハ処理枚数と、各レシピに対応した平均露光パルス数と、各レシピに対応した平均発振パルス数とに基づいて、本日以降の予定ウエハ処理枚数と、日毎の予定露光パルス数と、本日以降の予定露光パルス数と、日毎の予定発振パルス数と、本日以降の予定発振パルス数と、を計算して、計算結果をファイルF(2)に保存する。
標準メインテナンス日計算部342は、ファイルF(2)のデータに基づいて標準メインテナンス日を算出する。
メインテナンススケジュール計画部372は、ファイルF(2)のデータに基づいてメインテナンススケジュールの最適化を実行する。
8.2.2 ファイルA(3)に含まれるテーブルデータの例
図29は、ファイルA(3)に含まれるテーブルデータの例を示す図表である。実施形態3で用いるファイルA(3)は、図23に例示したテーブルデータの内容に追加して、以下のデータを含む。
すなわち、ファイルA(3)のテーブルデータには、レシピの情報Lcipと、各レシピに対応した1日当たりの平均ウエハ処理枚数Wndaylcと、各レシピに対応した1枚ウエハ当たりの平均露光パルス数Nexweflcと、各レシピに対応した1枚ウエハ当たりの平均発振パルス数Npweflcと、が追加されている。
本明細書において「レシピ」とは、各レジスト材料及び各マスクパターンに対応した露光条件と定義する。レジスト材料が変更されれば、レジスト感度が変化するので、1枚ウエハ当りの露光パルス数やレーザ装置の発振パルス数は変化する。マスクパターンが変更されれば、1枚ウエハ当りの最適な露光量及び発振パルス数が変化する。例えば、マスクパターンが、ラインアンドスペースのパターンの場合と、コンタクトホールパターンの場合とでは、最適な露光量及び発振パルス数が変化する。
図29は、レシピa、レシピb、及びレシピcの3種類のレシピがある場合の例を示している。リソセル#kにおけるこれら3種類のレシピの情報をLcip(k,a)、Lcip(k,b)、及びLcip(k,c)で示す。
リソセル管理サーバ313のデータ取得部320は、レシピの情報Lcipを、例えば、半導体工場管理システム208から受信して、受信したデータをファイルA(3)に保存する。
また、データ取得部320は、各レシピに対応した1日当たりの平均ウエハ処理枚数Wndaylcと、各レシピに対応した1枚ウエハ当たりの平均露光パルス数Nexweflcと、各レシピに対応した1枚ウエハ当たりの平均発振パルス数Npweflcと、を計算して、計算結果をファイルA(3)に保存する。
8.2.3 ファイルF(2)に含まれるテーブルデータの例
図30は、ファイルF(2)に含まれるテーブルデータの例を示す図表である。図30に、ファイルF(2)におけるリソセル#kの稼動予定情報のデータの例を示す。実施形態3で用いるファイルF(2)は、図24に例示したテーブルデータの内容に追加して以下のデータを含む。
すなわち、ファイルF(2)は、レシピの情報Lcipと、レシピの情報に基づいた各パルス数(Nexpld、Nexplsu、Npplpd、Npplus)の情報と、を含む。
リソセル管理サーバ313のデータ取得部320は、例えば、半導体工場管理システム208から、今後の稼動予定に係るレシピの情報Lcipを取得して、ファイルF(2)に保存する。
データ取得部320は、日毎の予定露光パルス数Nexplpdと、日毎の予定発振パルス数Npplpdとは、ファイルA(3)に保存されている、各レシピに対応した1枚ウエハ当たりの平均露光パルス数(Nexweflc(k,a),Nexweflc(k,b),Nexweflc(k,c))と、各レシピに対応した1枚ウエハ当たりの平均発振パルス数(Npweflc(k,a),Npweflc(k,b),Npweflc(k,c))と、に基づいて、下記の計算式に従って各値を計算する。
Nexplpd(k,1~4)=Wplpd(k,1~4)・Nexweflc(k,b) (26)
Nexplpd(k,5~f)=Wplpd(k,5~f)・Nexweflc(k,a) (27)
Nexplpd(k,f+1~g)=Wplpd(k,f+1~g)・Nexweflc(k,c) (28)
〈その他〉
ここでは、レシピはa,b,cの3種類の例を示したが、レシピの数はこの例に限定されることなく、2種類以上であればよい。
ここでは、過去の稼動情報として1日の間はレシピが同じ例を示したが、同じ日であっても、レシピが異なれば、レシピの変更日と時刻を詳細にファイルA(3)に保存しておいて、1日当たりに換算して計算してもよい。
また、ここでは、稼動予定情報として1日の間はレシピが同じ例を示したが、同じ日であっても、レシピが異なれば、レシピの変更日と時刻を詳細にファイルF(2)に保存し、さらに詳細に計算してもよい。
8.3 作用・効果
実施形態3によれば、リソセル毎の過去の稼動情報と、リソセル毎の今後の稼動予定情報と、それぞれのレシピ情報とに基づいて標準メインテナンス日を計算しているので、標準メインテナンス日の予測精度がさらに改善される。
実施形態3によれば、レシピ情報に基づいて算出された標準メインテナンス日と、今後の稼動予定情報とに基づいて最適なメインテナンススケジュールを計算しているので、メインテナンススケジュールの最適化の精度がさらに改善される。
9.実施形態4
9.1 構成
図31は、実施形態4に係るリソセル管理サーバ314の機能を示すブロック図である。図28で説明した実施形態3に係るリソセル管理サーバ313との相違点を説明する。
実施形態4では、交換モジュール及び交換部品に関するメインテナンス情報501として、リソセル毎の交換モジュール及び交換部品の寿命予測情報が追加される。図31に示すリソセル管理サーバ314は、ファイルGの作成、記憶、情報の読み出し及び書き込みを行う機能が追加されている。ファイルGは、リソセル毎の交換モジュール及び交換部品の寿命予測情報が保存されるファイルである。リソセル管理サーバ314は、ファイルGを記憶しておく記憶部410と、予測寿命値計算部414と、を含む。リソセル管理サーバ314が扱うメインテナンス情報501の中には、ファイルBとファイルGが含まれる。
9.2 動作
9.2.1 リソセル管理サーバの動作
図31に示すリソセル管理サーバ314のデータ取得部320は、C/D装置用管理システム202と、露光装置用管理システム204と、レーザ装置用管理システム206とから、定期的に、リソセル毎の交換モジュール及び交換部品の寿命予測情報を取得する。
寿命予測情報は、交換モジュール及び交換部品の寿命を予測するために用いられる情報である。寿命予測情報は、例えば、リソセル毎の交換モジュール及び交換部品の寿命パラメータ値と、寿命を監視する監視パラメータのログデータと、監視パラメータの閾値と、を含んでよい。
データ取得部320は、リソセル毎の交換モジュール及び交換部品の寿命パラメータ値と、寿命を監視する監視パラメータのログデータと、監視パラメータの閾値とを、ファイルGに保存する。寿命監視パラメータは交換モジュール又は交換部品の寿命と相関するパラメータであればよい。実施形態4におけるデータ取得部320と保存部410との組合せは本開示における「寿命予測情報取得部」の一例である。
予測寿命値計算部414は、ファイルGに保存されたログデータから把握される寿命監視パラメータのトレンドから、寿命監視パラメータが監視パラメータの閾値に到達すると予測される寿命パラメータ値を計算する。この計算結果から得られる「予測される寿命パラメータ値」を予測寿命値という。予測寿命値計算部414は、計算によって求めた予測寿命値のデータを、ファイルBに寿命値Life(k,l,m)として書き込む。
9.2.2 ファイルGに含まれるログデータの例
図32は、ファイルGに含まれるログデータの例を示す図表である。C/D装置の交換部品であるケミカルフィルタは、寿命パラメータとして、交換してからの動作時間が適用される。ケミカルフィルタの寿命パラメータ値Praは、交換してからの動作時間を示す値である。ケミカルフィルタの寿命監視パラメータは、ケミカルフィルタを通過した空気中の不純物濃度である。ケミカルフィルタの寿命監視パラメータ値Cwは、ケミカルフィルタを通過した空気中の不純物濃度を計測した値である。ケミカルフィルタの寿命監視パラメータ値Cwが閾値Cwthに到達すると、ケミカルフィルタの不純物除去能力が低下したとして、部品の寿命と判定することができる。
露光装置の照明光学系の交換部品は、主にミラー及びその他の光学部品である。照明光学系の交換部品は、寿命パラメータとして、交換してからの露光パルス数が適用される。照明光学系の交換部品の寿命パラメータ値Praは、交換してからの露光パルス数を示す値である。照明光学系の交換部品の寿命監視パラメータは、照明光学系の透過率である。照明光学系の交換部品の寿命監視パラメータ値Tilは、照明光学系の透過率を示す値である。寿命監視パラメータ値が閾値Tilthに到達すると、照明光学系の交換部品が劣化したとして、部品の寿命と判定することができる。
レーザ装置の交換部品であるレーザチャンバは、寿命パラメータとして、交換してからの発振パルス数が適用される。レーザチャンバの寿命パラメータ値Praは、交換してからの発振パルス数を示す値である。レーザチャンバの寿命監視パラメータは、レーザチャンバのガス圧である。レーザチャンバの寿命監視パラメータ値Pchは、レーザチャンバのガス圧を計測した値である。レーザチャンバの寿命監視パラメータ値Pchが閾値Pchthに到達すると、レーザチャンバが劣化したとして、寿命と判定することができる。
〈その他〉
図32に示すファイルGの例は、交換モジュール及び交換部品の寿命予測情報の一例であって、他の交換モジュールや他の交換部品においても、寿命が予測できれば、寿命パラメータと寿命監視パラメータのログデータをファイルGに保存してもよい。
9.2.3 予測寿命値計算部の処理例
図33は、予測寿命値計算部414の処理内容の例を示すフローチャートである。ステップS62において、予測寿命値計算部414は、ファイルGからリソセル毎の交換モジュール及び交換部品の寿命予測情報を読み込む。例えば、予測寿命値計算部414は、ファイルGから図32に例示したような、リソセル毎の交換モジュール及び交換部品の寿命パラメータ値と、寿命を監視する寿命監視パラメータのログデータと、監視パラメータの閾値と、を読み込む。
図33のステップS64において、予測寿命値計算部414は、読み込んだデータを基に、交換モジュール及び交換部品の寿命の予測を行う。予測寿命値計算部414は、ファイルGに保存されたログデータから把握される寿命監視パラメータのトレンドから、予測寿命値を計算する。予測寿命値は、寿命監視パラメータ値が監視パラメータの閾値に到達する値であってよい。ステップS64の処理内容の具体例については図34を用いて後述する。
ステップS66において、予測寿命値計算部414は、ステップS64にて求めた予測寿命値に基づいて、交換コストCexc(k,l,m)と、単位寿命当たりの交換コストCplife(k,l,m)と、残りの寿命パラメータ値Prarl(k,l,m)と、を計算する。
ステップS68において、予測寿命値計算部414は、ステップS66の計算にて求めたデータをファイルBに書き込み、データを更新する。ここで、初期のデータを図示しないメモリに保存し、更新前後の比較データを表示してもよい。
図34は、交換モジュール及び交換部品の寿命予測処理の例を示すフローチャートである。図34のフローチャートは、図33のステップS64に適用される。図34のステップS72において、予測寿命値計算部414は、寿命パラメータ値と寿命監視パラメータ値との関係をグラフ化する。得られるグラフは、図35に示すパターン1、又は図36に示すパターン2のいずれかに分類される。
図35は、寿命パラメータ値と寿命監視パラメータ値との関係をグラフ化した例を示す図である。図35に示すパターン1のグラフは、寿命パラメータ値が大きいほど、寿命監視パラメータ値も大きい関係を有する。図32で説明したC/D装置におけるケミカルフィルタとレーザ装置におけるレーザチャンバのそれぞれの寿命パラメータ値と寿命監視パラメータ値との関係は、パターン1に相当する。
図36は、寿命パラメータ値と寿命監視パラメータ値との関係をグラフ化した他の例を示す図である。図36に示すパターン2のグラフは、寿命パラメータ値が大きいほど、寿命監視パラメータ値が小さい関係を有する。図32で説明した露光装置における照明光学系の交換部品の寿命パラメータ値と寿命監視パラメータ値との関係は、パターン2に相当する。
予測寿命値計算部414は、交換モジュール及び交換部品の各々について、寿命パラメータ値と寿命監視パラメータ値との関係をグラフ化する。
図34のステップS74において、予測寿命値計算部414は、グラフ化された関係を基に近似関数を計算する。近似関数の例としては、現在の寿命パラメータ値の付近を直線近似する態様、又は、実測された計測値から多項式近似する態様などがあり得る。
ステップS76において、予測寿命値計算部414は、近似関数から寿命監視パラメータ値が監視パラメータの閾値に到達する寿命パラメータ値である予測寿命値を計算する。
ステップS76の後、予測寿命値計算部414は、図33のフローチャートに復帰する。
〈その他〉
交換モジュール及び交換部品の寿命の予測は機械学習によって行ってもよい。既知のデータを機械に学習させ、自動でパターンやルールを導き出し、予測モデルを作成することによって、予測寿命値を算出してもよい。この場合は、寿命予測精度が改善される。
9.3 作用・効果
実施形態4によれば、リソセル毎の交換モジュール及び交換部品の寿命予測情報から予測寿命値を算出し、この予測寿命値に基づいてメインテナンススケジュールの最適化を行っているため、以下の作用効果がある。
(a)交換モジュール及び交換部品の寿命の個体差を考慮してメインテナンススケジュールの最適化の精度がさらに改善される。
(b)交換モジュール及び交換部品の寿命がデフォルトの寿命値より短い場合に、予定されていないタイミングでのメインテナンスが低減される。
(c)交換モジュール及び交換部品の寿命がデフォルトの寿命値より長い場合に、メインテナンスコストが実施形態3の場合に比べて低減される。
10.実施形態5
10.1 構成
図37は、実施形態5に係るリソセル管理サーバ315の機能を示すブロック図である。図31で説明した実施形態4に係るリソセル管理サーバ314との相違点を説明する。
実施形態5では、データ取得部320が取得する情報としてメインテナンス候補日の情報が追加される。図37に示すリソセル管理サーバ315は、消耗品選定部416と、ファイルHを記憶しておく記憶部418と、を含む。
ファイルHは、指定されたメインテナンス候補日に交換を実施することが推奨される消耗品として選定された交換モジュール又は交換部品の品目とメインテナンス時間とのデータを含んだファイルである。ファイルHは、消耗品選定部416によって作成される。
10.2 動作
10.2.1 リソセル管理サーバの動作
図37に示すリソセル管理サーバ315のデータ取得部320は、ネットワーク210を介して、半導体工場管理システム208などから、リソセル#kのメインテナンス候補日を受信し得る。データ取得部320は、ネットワーク210を介して受信したメインテナンス候補日を消耗品選定部416に送る。
消耗品選定部416は、メインテナンス候補日と、ファイルC及びファイルDのデータとに基づいて、メインテナンス候補日に交換を実施する消耗品(交換モジュール及び交換部品)の選定の最適化を行う。この最適化は、例えば、メインテナンスコストが最小となるように交換対象の消耗品の選定を行う。
リソセル管理サーバ315は、リソセル#kの交換モジュール及び交換部品の選定結果とメインテナンス時間とを含むデータをファイルHに保存する。
データ出力部390は、ファイルHの保存データを読み込み、ネットワーク210を介して、半導体工場管理システム208又は図示しない表示端末に出力する。
10.2.2 消耗品選定部の処理例
図38は、消耗品選定部416における処理内容の例を示すフローチャートである。
ステップS82において、消耗品選定部416は、ファイルDからリソセル#kの単位時間当たりのダウンタイムコストを読み込む。
ステップS84において、消耗品選定部416は、ファイルCからリソセル#kの交換モジュール及び交換部品のテーブルデータを読み込む。
ステップS86において、消耗品選定部416は、メインテナンス候補日以降の標準メインテナンス日のテーブルデータを抽出し、早い順に並べる。
ステップS90において、消耗品選定部416は、変数sの値を初期値である「1」に初期化する。
ステップS92において、消耗品選定部416は、ステップS86で早い順に並べ替えが行われたテーブルデータの第1番目から第1+s番目までの交換モジュール又は交換部品のメインテナンス日をすべてメインテナンス候補日Dmop(k,1)に変更する。
ステップS94において、消耗品選定部416は、1番目から1+s番目までの中で最も長い時間のメインテナンス時間をメインテナンス時間Tmop(k,1)とする。
ステップS96において、消耗品選定部416は、コストメリットを計算する。
図39は、コストメリット計算処理の例を示すフローチャートである。図39のフローチャートは、図38のステップS96に適用される。
図39のステップS111において、消耗品選定部416は、ファイルF(2)からリソセル#kの稼動予定情報のデータを読み込む。
ステップS112において、消耗品選定部416は、交換日の前倒しによる増加コストCexcup(k,1)を計算する。
増加コストCexcup(k,1)は、次式から計算される。
Cexcup(k,1)=Σ{Cplife(k,l,m,q)・Parad(Dmds~Dmop)} (29)
式中のΣは、q=1からq=1+sまでの総和を表す。
ステップS114において、消耗品選定部416は、ダウンタイム低減による低減コストCdtd(k,1)を計算する。
低減コストCdtd(k,1)は、次式から計算される。
Cdtd(k,1)={ΣTmds(k,l,m,q) - Tmop(k,1)}・Cdt(k) (30)
ステップS116において、メインテナンススケジュール計画部372は、ステップS112で求めた増加コストCexcup(k,1)と、ステップS114で求めた低減コストCdtd(k,1)と、を基に、コストメリットCm(k,1)を計算する。
コストメリットCm(k,1)は、次式から計算される。
Cm(k,1)=Cdtd(k,1)-Cexcup(k,1) (31)
ステップS116にてコストメリットCm(k,1)を求めたら、図39のフローチャートを終了して、図38のメインフローに復帰する。
図38のステップS98において、消耗品選定部416は、コストメリットがあるか否かを判定する。消耗品選定部416は、ステップS96にて求めたCm(k,1)がCm(k,1)>0を満たす場合は、ステップS100に進み、変数sの値をインクリメントして、ステップS92に戻る。
ステップS98の判定処理にて、Cm(k,1)≦0である場合、消耗品選定部416はステップS101に進む。
ステップS101において、消耗品選定部416は、1番目から1+s番目までのメインテナンス日及びメインテンス時間をファイルCのデータに戻す。
そして、ステップS102において、消耗品選定部416は、変数sの値をデクリメントしてステップS103に進む。
ステップS103において、消耗品選定部416は、1番目から1+s番目までの交換モジュール又は交換部品の各メインテナンス日をすべてメインテナンス候補日Dmop(k,1)に変更する。ステップS103の処理はステップS92の処理と同様である。
ステップS104において、消耗品選定部416は、1番目から1+s番目の中で最も長い時間のメインテナンス時間を、メインテナンス時間Tmop(k,1)とする。ステップS104の処理はステップS94の処理と同様である。
ステップS105において、消耗品選定部416は、コストメリットの計算を行う。ステップS105の処理はステップS96の処理と同様である。
その後ステップS106において、消耗品選定部416は、ファイルHにデータの書き込みを行う。ステップS106の後、図38のフローチャートを終了する。
10.2.3 ファイルHに含まれるテーブルデータの例
図40は、ファイルHに含まれるテーブルデータの例を示す図表である。ファイルHには、指定されたメインテナンス候補日に交換を実施する交換モジュール又は交換部品の品目群、交換日の前倒しによる増加コスト、メインテナンス時間、ダウンタイム低減コスト、及びコストメリットの情報が含まれる。「交換日」はメインテナンス日と同義である。
図41は、標準メインテナンス日と、メインテナンス候補日を前倒しした最適メインテナンス日との関係を模式的に示す説明図である。図41は、図40に示されたテーブルデータの内容を反映している。
図41において、q=1~4の交換モジュール又は交換部品の交換日が、標準メインテナンス日からメインテナンス候補日Dmop(k,1)に前倒しされることを表している。
10.3 作用・効果
実施形態5によれば、リソセル#kのメインテナンス候補日から交換モジュール及び交換部品の選定の最適化を行うことができるので、以下の作用効果がある。
(a)メインテナンススケジュール計画部372で計画されたメインテナンス日ではメインテナンスができない場合に、メインテナンスが可能な候補日から交換モジュール及び交換部品の選定の最適化を行うことができる。
(b)リソセル#kが何かの不具合で、停止する予定がある場合に、その停止日をメインテナンス候補日として入力した上で交換モジュール及び交換部品の選定を行うことによって、コストを低減できる。
11.実施形態6
11.1 構成
図42は、実施形態6に係るリソセル管理サーバ316の機能を示すブロック図である。図7で説明した実施形態1に係るリソセル管理サーバ310との相違点を説明する。
実施形態6に係るリソセル管理サーバ316は、図7の構成に対して、第1の寿命パラメータリセット部420が追加されている。また、実施形態6のリソセル管理サーバ316は、図7のメインテナンススケジュール計画部370に代えて、メインテナンススケジュール計画部373を含む。メインテナンススケジュール計画部373によって作成される、最適化されたメインテナンススケジュールの情報を保存したファイルを、ファイルE(2)と表記する。また、ファイルE(2)のコピーファイルをファイルE(3)と表記する。ファイルE(2)に含まれるテーブルデータの例については図44を用いて後述する。
リソセル管理サーバ316は、ファイルE(2)を記憶しておく記憶部382と、ファイルE(3)を記憶しておく記憶部383と、を含む。
11.2 動作
11.2.1 リソセル管理サーバの動作
図42に示すメインテナンススケジュール計画部373は、図7のメインテナンススケジュール計画部370の処理と同様にメインテナンススケジュールの最適化を行う。計算結果をファイルE(2)に保存する。データ出力部390は、ファイルE(2)のデータを、図示しない表示端末又は半導体工場管理システム208に送信する。
こうして、メインテナンススケジュール計画部373によって最適化されたメインテナンススケジュール計画が作成された後、この計画についての実行の採否が判断され、図示しない入力端末、又は、半導体工場管理システム208から、第1のメインテナンス日を確定する信号がリソセル管理サーバ316に入力され得る。
第1のメインテナンス日とは、v=1のメインテナンス日であり、現在の日時から最も早く到来するメインテナンス日を指す。例えば、図20で説明したテーブルデータによるメインテナンススケジュール計画が提示された場合の「第1のメインテナンス日」は、Dmop(k,1)である。第1のメインテナンス日を確定する信号を「第1のメインテナンス日確定信号」という。
リソセル管理サーバ316は、第1のメインテナンス日確定信号の入力を受け付ける。データ取得部320を介して、第1のメインテナンス日確定信号が入力されると、第1の寿命パラメータリセット部420は、第1のメインテナンス日を確定させる処理を行うとともに、第1のメインテナンス日に交換が行われることが決定された交換モジュール又は交換部品の寿命パラメータをリセットする処理を行う。
図43は、第1の寿命パラメータリセット部420における処理内容の例を示すフローチャートである。
ステップS141において、第1の寿命パラメータリセット部420は、図示しない入力端末、又は、半導体工場管理システム208から、第1のメインテナンス日確定信号が入力されたか否かを判定する。
第1の寿命パラメータリセット部420は、第1のメインテナンス日確定信号が未入力である場合は、ステップS141を繰り返し、第1のメインテナンス日確定信号の入力を待機する。
第1の寿命パラメータリセット部420は、第1のメインテナンス日確定信号が入力されると、ステップS142に進む。
ステップS142において、第1の寿命パラメータリセット部420は、前回メインテナンス計画を作成したファイルE(2)のデータを、ファイルE(3)にコピーする。例えば、この段階でのファイルE(3)のテーブルデータは、図20に示したファイルEのテーブルデータのようなものであってよい。
ステップS144において、第1の寿命パラメータリセット部420は、ファイルE(3)のデータの内、第1のメインテナンス日Dmopd(k,1)を確定させる。例えば、図20におけるDmop(k,1)を第1のメインテナンス日Dmopd(k,1)として確定させる。
ステップS146において、第1の寿命パラメータリセット部420は、ファイルE(2)に、確定した第1のメインテナンス日Dmopd(k,1)のデータを書き込む。すなわち、第1の寿命パラメータリセット部420は、ファイルE(2)のデータ内で、Dmop(k,1)を第1のメインテナンス日Dmopd(k,1)として確定させ、第1のメインテナンス日Dmopd(k,1)に交換を実施することが決定された交換モジュール又は交換部品のデータをファイルE(2)に書き込む。第1の寿命パラメータリセット部420にて書き込みが実施されたファイルE(2)のテーブルデータの例を図44に示す。
第1のメインテナンス日の確定に伴い、第1のメインテナンス日に交換を実施する交換モジュール又は交換部品のグループについて、交換日の前倒しによる増加コスト、メインテナンス時間、ダウンタイム低減コスト、及びコストメリットの各々を確定させる。
図44において、メインテナンス日の早い順の上位3つの交換モジュール又は交換部品について第1のメインテナンス日Dmopd(k,1)が確定した例が示されている。図44に示すように、メインテナンス日が決定した部品群について、第1のメインテナンス日Dmopd(k,1)の確定に伴い、交換日の前倒しによる増加コスト、メインテナンス時間、ダウンタイム低減コスト、及びコストメリットの各々が、Cexcupd(k,1)、Tmopd(k,1)、Cdtdd(k,1)、及びCmd(k,1)として確定される。
図43のステップS148において、第1の寿命パラメータリセット部420は、ファイルBのデータのうち、第1のメインテナンス日が決定された消耗品の寿命パラメータを0にリセットする。
ファイルBにおいて、第1のメインテナンス日が決定された、交換する消耗品の寿命パラメータが0にリセットされると、図6の処理と同様に、標準メインテナンス日計算部340では、第1のメインテナンス日が決定された、交換する消耗品の寿命パラメータを0として標準メインテナンス日を再計算して、ファイルCにデータを保存する。
メインテナンススケジュール計画部373では、図7のメインテナンススケジュール計画部370の処理と同様にメインテナンススケジュールの最適化を行い、計算結果をファイルE(2)に保存する。
データ出力部390は、ファイルE(2)のデータを、図示しない表示端末又は半導体工場管理システム208に送信する。
図45は、図44のテーブルデータを反映したメインテナンススケジュール計画の概念図である。図45において、ud=2とud=3の各消耗品について標準メインテナンス日よりもメインテナンス日が前倒しされ、ud=1の消耗品の標準メインテナンス日と同じ日に、メインテナンス日が確定している。この第1のメインテナンス日の確定に伴い、その後のメインテナンススケジュール計画が再計算され、新たにu=1~12の各消耗品のメインテナンススケジュール計画が提示される。
図45の例では、ud=1~3のメインテナンス日が確定され、次回の標準メインテナンス日を計算して、u=10~12を追加している。そして、u=10~12の各消耗品について標準メインテナンス日よりもメインテナンス日が前倒しされ、u=8の消耗品の標準メインテナンス日と同じ日に、メインテナンス日が推奨されている。
11.3 作用・効果
実施形態6によれば、作成されたメインテナンススケジュール計画における第1のメインテナンス日を確定した後、その後のメインテナンススケジュール計画を立て直すことが可能となるため、メインテナンススケジュール作成の精度が改善される。
11.4 その他
実施形態6の説明では、第1のメインテナンス日を確定させる場合の例を示したが、この例に限定されることなく、例えば、第1のメインテナンス日を確定した後、第2のメインテナンス日を確定して、メインテナンススケジュール計画を立ててもよい。また、第1のメインテナンス日から第vのメインテナンス日の各メインテナンス日を確定する信号を受信して、逐次計算して、メインテナンススケジュール計画を立てもよい。
12.メインテナンススケジュール計画の最適化の例
図46は、コスト最小化の観点からメインテナンススケジュール計画を作成する処理の例を示すフローチャートである。図46に示すフローチャートは、メインテナンススケジュール計画部370、372、373のいずれかが実施してよい。ここでは、メインテナンススケジュール計画部370が実施する例を説明する。
ステップS162において、メインテナンススケジュール計画部370は、ファイルDからリソセル#kの単位時間当たりのダウンタイムコストを読み込む。
ステップS164において、メインテナンススケジュール計画部370は、ファイルCからリソセル#kの交換モジュール及び交換部品のテーブルデータを読み込む。
ステップS168において、メインテナンススケジュール計画部370は、変数vを初期値である「1」に初期化する。
ステップS170において、メインテナンススケジュール計画部370は、第vのメインテナンス日として、第v-1までに抽出された消耗品以外の残り消耗品の中で標準メインテナンス日が一番早い日を仮のメインテナンス日とする。v=1の場合は、リソセル#kのすべての消耗品の中で標準メインテナンス日が一番早い日をメインテナンス日と仮定する(仮のメインテナンス日とする)。そして、消耗品の中で標準メインテナンス日が一番早い日をメインテナンス日と仮定し、上記すべての消耗品の組合せのそれぞれのコストメリットを計算する。
すなわち、ステップS172において、メインテナンススケジュール計画部370は、上記すべての残りの消耗品のメインテナンス日を仮のメインテナンス日として、すべての組合せの消耗品をメインテナンスする場合のそれぞれのコストメリットを計算する。
すべての残りの消耗品に関して、組合せ消耗品をまとめて交換することによって、削減されるメインテナンス時間によるダウンタイム低減コストと、仮のメインテナンス日に前倒しすることによる増加コストとの差からコストメリットをそれぞれ計算する。ステップS172におけるコストメリットの計算処理は、例えば、図19のフローチャートと同様の手順で行われる。
図46のステップS174において、メインテナンススケジュール計画部370は、ステップS172の計算結果から、コストメリットが最大となる消耗品の組合せを抽出し、抽出された消耗品の仮のメインテナンス日をすべて、決定された最適メインテナンス日Dmop(k,v)とする。こうして、第vの最適メインテナンス日が定まる。
その後、ステップS176において、メインテナンススケジュール計画部370は、vの値をインクリメントして、ステップS178に進む。
ステップS178において、メインテナンススケジュール計画部370は、メインテナンス日が決定されていない消耗品があるか否かを判定する。
ステップS178にてYes判定の場合、すなわち、メインテナンス日が決定されていない消耗品が存在する場合は、ステップS170に戻り、メインテナンススケジュール計画部370は、ステップS170からステップS178の処理を繰り返す。
ステップS178にてNo判定の場合、すなわち、すべての消耗品についてメインテナンス日が決定された場合は、ステップS180に進む。
ステップS180において、メインテナンススケジュール計画部370は、ステップS174にて決定した各消耗品の最適メインテナンス日を含むメインテナンススケジュール計画のデータをファイルEに書き込む。
ステップS180の後、図46のフローチャートを終了する。
13. 監視パラメータの具体例
図47は、消耗品の寿命予測に用いられる監視パラメータの例を示す図表である。例えば、C/D装置12の基板回転モジュールの場合は、基板の回転回数をモニタし、モータの回転回数が監視パラメータの閾値を越える寿命パラメータ値を求めてもよい。
C/D装置12のドレイン回収モジュールの場合は、レジストの使用量をモニタし、レジストの使用量が監視パラメータの閾値を越える寿命パラメータ値を求めてもよい。
C/D装置12及び露光装置14のウエハ搬送ラインの交換部品の場合は、ウエハの搬送回数をモニタしてよい。ウエハの搬送回数は、C/D装置12と露光装置14内に配置されている各部に、それぞれ搬送する回数をモニタして、搬送回数が監視パラメータの閾値を越える寿命パラメータ値を求めてもよい。
露光装置14の投影光学系の交換部品の場合は、第1光センサ71及び第2光センサ82の検出値に基づいて投影光学系78の透過率をモニタし、投影光学系78の透過率が監視パラメータの閾値を越える寿命パラメータ値を求めてもよい。
また、露光装置14の照明光学系の交換部品の場合は、露光装置14に入射するパルスレーザ光のパルスエネルギ及び第1光センサ71の検出値に基づいて照明光学系66の中に含まれる一部の交換部品、例えばフライアイレンズ67等の透過率をモニタし、透過率が監視パラメータの閾値を越える寿命パラメータ値を求めてもよい。
レーザ装置16のLNMの場合は、スペクトル線幅又は波長安定性をモニタし、スペクトル線幅又は波長安定性が監視パラメータの閾値を越える寿命パラメータ値を求めてもよい。
レーザ装置16のレーザチャンバの場合は、レーザチャンバのガス圧、充電電圧やエネルギ安定性をモニタし、充電電圧Vhvやエネルギ安定性が監視パラメータの閾値を越える寿命パラメータ値を求めてもよい。
レーザ装置16のフロントミラーの場合は、ビームプロファイルをモニタし、ビームプロファイルの面積に対する劣化面積比を監視パラメータとして、その閾値を越える寿命パラメータ値を求めてもよい。
レーザ装置16のモニタモジュールの場合は、光センサの感度劣化を監視パラメータとして、その閾値を越える寿命パラメータ値を求めてもよい。
14.同じ装置で複数の消耗品を同日に交換する場合のダウンタイム削減の例
14.1 フローチャートの例
図48は、メインテナンススケジュール計画部における処理内容の例を示すフローチャートである。図48に示すフローチャートは、図18及び図26のステップS34の処理に適用され得る。ここでは、メインテナンススケジュール計画部370における処理の例を説明する。
図48のステップS192において、メインテナンススケジュール計画部370は、図12に示すファイルBにおけるテーブルデータ(1)の第u番目から第u+s番目のデータを参照して、各消耗品のそれぞれの標準メインテナンス時間をファイルIに保存する。なお、リソセル管理サーバ310は、ファイルIを記憶する図示せぬ記憶部を含む。
図48のステップS194において、メインテナンススケジュール計画部370は、図13に示すファイルBのテーブルデータ(2)を参照して、同じ装置の消耗品を同時にメインテナンスする消耗品の組合せがファイルBのテーブルデータ(2)のいずれかのデータと一致する場合に、テーブルデータ(2)のメインテナンス時間をファイルIに書に込む。
ステップS196において、ファイルIのデータの中で一番長い時間のメインテナンス時間をTmop(k,v)とする。
ステップS196の後、図18又は図26のフローチャートに復帰する。
14.2 作用・効果
図48に示すフローチャートによれば、同じ装置の消耗品を同時にメインテナンスする消耗品の組合せでメインテナンス時間が短縮できるデータに基づいて、コストメリットを計算することができる。その結果、メインテナンススケジュールの計画の精度が改善される。
14.3 その他
図46のステップS172におけるコストメリットの計算においても、図48に示すフローチャートの計算処理を実施してよい。
15.リソグラフィシステムの他の形態
15.1 構成
図49は、リソグラフィシステムの他の形態例を示すブロック図である。図6との相違点を説明する。図49に示すリソグラフィシステム302は、リソセル10の構成要素として、1台のC/D装置12と、2台の露光装置14A、14Bと、2台のレーザ装置16A、16Bと、を含む。
図49において、リソセル#kにおける2台の露光装置を露光装置#k-1、露光装置#k-2と表記した。また、リソセル#kにおける2台のレーザ装置をレーザ装置#k-1、レーザ装置#k-2と表記した。
15.2 動作
図49におけるリソセル管理サーバ310は、各装置に関する情報収集やメインテナンススケジュールの最適化を、リソセル単位で行う。
15.3 作用・効果
図49に示すように、リソセル10を構成する装置の構成要素が変更になっても、リソセル単位で、メインテナンススケジュールの最適化が可能である。
15.4 その他
図6では、リソセル10がC/D装置1台、露光装置1台、及びレーザ装置1台で構成される形態の例を説明し、図49では、リソセル10がC/D装置1台、露光装置2台、及びレーザ装置2台で構成される形態の例を説明したが、これらの例に限定されない。例えば、1つのリソセル10の単位の構成要素としては、C/D装置12、露光装置14及びレーザ装置16の各々が少なくとも1台以上含まれる構成であればよい。
16.実施形態7
16.1 ライン単位でメインテナンススケジュール計画を最適化する形態の概要
これまでリソセル単位で情報収集とメインテナンススケジュール計画を最適化する例を説明したが、「ライン」の単位でメインテナンススケジュール計画を最適化してもよい。「ライン」は製造ラインを意味する。大規模な半導体工場では、複数のラインが並列に配置されている場合がある。各製造ラインは、1つ以上のリソセルから構成される。
図50は、半導体工場におけるリソグラフィシステムの他の形態例を示す図である。図50に示すリソグラフィシステム307は、複数のラインを含む。ここでは簡単のために、2つのラインを図示する。ライン#1は、3つのリソセル#1~#3から構成される。ライン#2は、2つのリソセル#4及びリソセル#5から構成される。各ラインは、異なる種類の半導体用のウエハを処理してよい。例えば、ライン#1では、第1の半導体用のウエハを処理し、ライン#2では第2の半導体用のウエハを処理する。
ライン#1の中では複数のリソセル10を通過してウエハを処理しているため、ライン#1の中のリソセル10のうち1つのリソセルのウエハの処理が停止すると、ライン#1の半導体の生産が止まったり、ウエハの処理枚数が低下したりする場合がある。この場合は、ライン#1の中の複数のリソセル10を同じ時期にメインテナンスした方が全体としてコストを低減することができる。
そこで、実施形態7では、リソセル管理サーバ317がラインの情報を半導体工場管理システム208等から受信して、ライン単位の、複数のリソセル10の消耗品のメインテナンススケジュールを作成する。
16.2 構成
図51は、実施形態7に係るリソセル管理サーバ317の機能を示すブロック図である。図7との相違点を説明する。
図51に示すリソセル管理サーバ317は、ファイルDに代えて、ラインの情報を追加したファイルD(2)を用いる。リソセル管理サーバ317は、ファイルDの記憶部360に代えて、ファイルD(2)を記憶しておく記憶部362を備える。リソセル管理サーバ317は、標準メインテナンス計算部340に代えて、ファイルD(2)のデータを用いて標準メインテナンス日を計算する標準メインテナンス日計算部343を備える。標準メインテナンス日計算部343は、ライン#αにおける複数のリソセルの交換モジュール又は交換部品(消耗品)を合わせて標準メインテナンス日を計算する。なお、「ライン#α」の表記における「α」はライン番号である。αは1からβまでの整数を取り得る。βはラインの総数である。
標準メインテナンス日計算部343は、ファイルCに代えて、ライン#αにおける複数のリソセルのデータを含むファイルC(2)を作成する。リソセル管理サーバ317は、ファイルCの記憶部350に代えて、ファイルC(2)を記憶しておく記憶部352を備える。
リソセル管理サーバ317は、メインテナンススケジュール計画部370に代えて、メインテナンススケジュール計画部374を備える。メインテナンススケジュール計画部374は、ファイルC(2)のデータとファイルD(2)のデータとを用いて、ライン#αの複数のリソセルの消耗品を合わせてメインテナンススケジュールを計画する。
メインテナンススケジュール計画部374は、ファイルEに代えて、ライン#αの複数のリソセルのデータを含むファイルE(4)を作成する。リソセル管理サーバ317は、ファイルEの記憶部380に代えて、ファイルE(4)を記憶しておく記憶部384を備える。
16.3 動作
リソセル管理サーバ317のデータ取得部320は、半導体工場管理システム208からラインの情報を取得して、ファイルD(2)にラインの情報を追加して書き込む。
図52にファイルD(2)のテーブルデータの例を示す。ファイルD(2)は、図17に示したファイルDのテーブルデータと比較して、ラインの情報としてのライン番号が追加され、各ラインの中にある複数のリソセルの単位時間当たりのダウンタイムによる損失コストの情報が含まれる。
図51に示す標準メインテナンス日計算部343は、ライン#α毎の複数のリソセル10の消耗品を合わせてそれぞれの標準メインテナンス日を計算して、計算結果をファイルC(2)に保存する。
図53は、標準メインテナンス日計算部343における処理内容の例を示すフローチャートである。
ステップS201において、標準メインテナンス日計算部343は、ファイルD(2)からラインの情報を読み込む。
ステップS202において、標準メインテナンス日計算部343は、ファイルAからライン#αに該当する複数のリソセルの過去の稼動情報のデータを読み込む。
ステップS204において、標準メインテナンス日計算部343は、ファイルBからライン#αに該当する複数のリソセルの消耗品についてメインテナンス情報を読み込む。
ステップS206において、標準メインテナンス日計算部343は、ファイルA及びファイルBから読み込んだデータからライン#αに該当する複数のリソセルの消耗品の標準メインテナンス日を計算する。
ステップS208において、標準メインテナンス日計算部343は、ステップS206で求めたライン#αの各消耗品の標準メインテナンス日をファイルC(2)に書き込む。ステップS208の後、図53のフローチャートを終了する。
メインテナンススケジュール計画部374は、図5のメインテナンススケジュール計画部370の処理と同様に、ライン#αの複数のリソセルの消耗品を合わせてメインテナンススケジュールの最適化を行い、その計算結果をファイルE(4)に保存する。メインテナンススケジュール計画部374は、ラインの単位でコストメリットを評価して各消耗品のメインテナンススケジュールを最適化することができる。
データ出力部390は、ファイルE(4)のデータを、図示しない表示端末又は半導体工場管理システム208に送信する。
16.4 作用・効果
実施形態7によれば、ラインの単位で、一連のウエハ処理に関連する同じライン内の複数のリソセルに含まれるすべての消耗品のメインテナンススケジュールを計画できるので、ダウンタイムが低減され、コストが低減される。
16.5 変形例
実施形態1から実施形態6の各実施形態においてリソセル毎に行うとした処理は、ライン毎に行う処理に拡張することができる。例えば、実施形態7において、標準メインテナンス日を計算する際に、実施形態2と同様に、ファイルAのデータに加え、ファイルFのデータを利用して標準メインテナンス日を計算してもよい。また、実施形態7において、実施形態3と同様に、レシピ情報を追加してもよい。
17.実施形態8
17.1 構成
図54は、実施形態8に係るリソセル管理サーバの機能を示すブロック図である。図7との相違点を説明する。図54に示すリソセル管理サーバ318は、メインテナンス作業を担当するサービスエンジニアに関する情報を用いて標準メインテナンス時間の計算を行う機能が追加されている。サービスエンジニアに関する情報を「サービスエンジニア情報」という。サービスエンジニア情報を保存するファイルを「ファイルJ」と呼ぶ。
リソセル管理サーバ318は、ファイルJの作成、記憶、情報の読み出し及び書き込みを行う機能を有する。リソセル管理サーバ318は、ファイルJを記憶しておく記憶部440と、ファイルJのサービスエンジニア情報から標準メインテナンス時間を計算する標準メインテナンス時間計算部442と、を含む。
17.2 動作
リソセル管理サーバ318のデータ取得部320は、C/D装置用管理システム202と露光装置用管理システム204とレーザ装置用管理システム206の各々から各装置のサービスエンジニア情報を取得して、ファイルJに書き込む。
図55にファイルJのテーブルデータの例を示す。C/D装置、露光装置、及びレーザ装置の装置毎に、複数のサービスエンジニアが登録されている。図55において、サービスエンジニアの各々を識別するために、識別用の符号#1、#2…#12を用いている。C/D装置に対してサービスエンジニア#1~#4が登録されている。露光装置に対してはサービスエンジニア#5~#8が、レーザ装置に対してはサービスエンジニア#9~#12が、それぞれ登録されている。ここでは、装置毎に4名のサービスエンジニアが登録されている例を示しているが、サービスエンジニアの人数については、特に限定されない。
それぞれのサービスエンジニア#1~#12には、メインテナンス時間係数γと、メインテナンス可能地域の範囲Lと、が定められている。サービスエンジニア#kのメインテナンス時間係数をγ(#k)と表記し、メインテナンス可能地域の範囲をL(#k)と表記する。メインテナンス時間係数γは、平均的メインテナンス時間に対して、どれくらいのメインテナンス時間がかかるかを比率で示す係数である。「平均的メインテナンス時間」は、標準的な技量を持つサービスエンジニアがメインテナンス作業を実施する場合に要する平均的なメインテナンス時間を指す。各サービスエンジニアがメインテナンスを実施する場合に要するメインテナンス時間は、標準のメインテナンス時間と、サービスエンジニア毎のメインテナンス時間係数との積で表される。例えば、γ=1の場合は、そのサービスエンジニアのメインテナンス時間は平均的なメインテナンス時間であることを示す。メインテナンス時間係数γは、個々のサービスエンジニアの技量を示す値である。γが小さいほど技量が高く、γが大きいほど技量が低いことを示す。
メインテナンス可能地域の範囲Lは、それぞれのサービスエンジニアがメインテナンス対応可能な地域の範囲を示す情報が保存されている。メインテナンス可能地域の範囲Lが半導体工場が設置されている地域と一致する場合に、そのサービスエンジニアがメインテナンスを行うことが可能となる。
図54に示す標準メインテナンス時間計算部442では、ファイルJのテーブルデータと半導体工場の設置地域とから、各装置をメインテナンスするサービスエンジニアを選定する。サービスエンジニアは、地域によってサービスエリアが異なるので、半導体工場が建設された地域で消耗品のメインテナンスが可能なサービスエンジニアは限定され、実際に作業を担当するサービスエンジニアを選定することができる。
そして、標準メインテナンス時間計算部442は、ファイルBのテーブルデータにおける標準メインテナンス時間と、ファイルJのメインテナンス時間係数γとの積から、選定されたサービスエンジニアの標準メインテナンス時間を計算する。なお、「平均的メインテナンス時間」は、図12に例示したファイルBの「標準メインテナンス時間Tmhs(k,l,m)」の初期値として与えられてもよい。
標準メインテナンス時間計算部442は、計算した値を標準メインテナンス時間としてファイルBに書き込む。
その後の処理は、図6で説明した実施形態1の処理と同様である。
図56は、標準メインテナンス時間計算部442における処理内容の例を示すフローチャートである。
ステップS222において、標準メインテナンス時間計算部442は、ファイルJからサービスエンジニア情報のデータを読み込む。
ステップS224において、標準メインテナンス時間計算部442は、ファイルBからリソセル#kの消耗品の標準メインテナンス時間を読み込む。
ステップS226において、標準メインテナンス時間計算部442は、ファイルJのデータから半導体工場の地域に応じてリソセル内の各装置のそれぞれのサービスエンジニアを選定する。
ステップS228において、標準メインテナンス時間計算部442は、ファイルJのサービスエンジニアのメインテナンス時間係数γと、ファイルBの標準メインテナンス時間との積の値を計算する。すなわち、標準メインテナンス時間計算部442は、サービスエンジニアの技量を表すパラメータであるメインテナンス時間係数γと、ファイルBの標準メインテナンス時間との積から、選定されたサービスエンジニアがメインテナンスした場合の標準メインテナンス時間を計算する。
ステップS230において、標準メインテナンス時間計算部442は、ステップS228にて計算した値を標準メインテナンス時間として、ファイルBに書き込む。
その後の処理は、実施形態1における処理と同様である。
17.3 作用・効果
実施形態8によれば、サービスエンジニアの技量を表すパラメータを加味して標準メインテナンス時間を計算するため、メインテナンススケジュール計画をより一層高い精度で最適化することができる。
17.4 変形例
ファイルJに保存する情報として、例えば、サービスエンジニアのメインテナンス時期の範囲をファイルJに保存しておいてもよい。この場合は、標準メインテナンス日がメインテナンス時期の範囲に入るサービスエンジニアを選定して、計算してもよい。
18.実施形態の組合せについて
実施形態1から実施形態8の各実施形態で説明した構成は、適宜組み合わせることが可能である。
19.プログラムを記録したコンピュータ可読媒体について
上述の各実施形態で説明したリソセル管理サーバとして、コンピュータを機能させるための命令を含むプログラムを光ディスクや磁気ディスクその他のコンピュータ可読媒体(有体物たる非一過性の情報記憶媒体)に記録し、この情報記憶媒体を通じてプログラムを提供することが可能である。このプログラムをコンピュータに組み込み、プロセッサがプログラムの命令を実行することにより、コンピュータにリソセル管理サーバの機能を実現させることができる。
上記の説明は、制限ではなく単なる例示を意図している。したがって、添付の特許請求の範囲を逸脱することなく本開示の実施形態に変更を加えることができることは、当業者には明らかであろう。
本明細書及び添付の特許請求の範囲全体で使用される用語は、「限定的でない」用語と解釈されるべきである。例えば、「含む」又は「含まれる」という用語は、「含まれるものとして記載されたものに限定されない」と解釈されるべきである。「有する」という用語は、「有するものとして記載されたものに限定されない」と解釈されるべきである。また、本明細書、及び添付の特許請求の範囲に記載される不定冠詞「1つの」は、「少なくとも1つ」又は「1又はそれ以上」を意味すると解釈されるべきである。また、「A、B及びCの少なくとも1つ」という用語は、「A」「B」「C」「A+B」「A+C」「B+C」又は「A+B+C」と解釈されるべきである。さらに、それらと「A」「B」「C」以外のものとの組み合わせも含むと解釈されるべきである。

Claims (20)

  1. リソグラフィシステムのメインテナンス管理方法であって、
    レジストの塗布、露光、及び現像を行う前記リソグラフィシステムを構成する1組単位の装置群であるリソグラフィセル毎に稼動情報を整理して前記リソグラフィセル毎の前記稼動情報を保存することと、
    前記リソグラフィセル毎に前記装置群の各装置におけるメインテナンスの対象となる消耗品に関するメインテナンス情報を整理して前記リソグラフィセル毎の前記消耗品に関する前記メインテナンス情報を保存することと、
    前記リソグラフィセル毎の前記稼動情報及び前記リソグラフィセル毎の前記消耗品に関する前記メインテナンス情報に基づいて、前記リソグラフィセル毎の前記消耗品の標準メインテナンス時期を計算することと、
    前記リソグラフィセル毎の前記消耗品の前記標準メインテナンス時期と、前記リソグラフィセル毎の前記消耗品を交換することによるダウンタイムの情報と、前記リソグラフィセル毎の又は同一のウエハを露光する2つ以上の前記リソグラフィセルを含む製造ライン毎の前記ダウンタイムによる損失コスト情報に基づいて、前記製造ライン内の複数の前記リソグラフィセルに含まれる複数の前記消耗品のメインテナンススケジュール計画を前記製造ラインのダウンタイムが減少するように前記製造ライン毎作成することと、
    前記メインテナンススケジュール計画の作成結果を出力することと、
    を含むメインテナンス管理方法。
  2. 請求項1に記載のメインテナンス管理方法であって、
    前記稼動情報は、過去の稼動データを含むメインテナンス管理方法。
  3. 請求項2に記載のメインテナンス管理方法であって、
    前記稼動情報は、今後の稼動予定データを含むメインテナンス管理方法。
  4. 請求項1に記載のメインテナンス管理方法であって、
    前記リソグラフィセルは、塗布/現像装置と、露光装置と、レーザ装置と、を含むメインテナンス管理方法。
  5. 請求項4に記載のメインテナンス管理方法であって、
    前記稼動情報は、データ取得日を含み、
    リソグラフィセル毎のウエハ処理枚数と、露光装置による露光パルス数と、レーザ装置の発振パルス数と、レーザ装置から出力されるパルスレーザ光のパルスエネルギと、の少なくとも1つを含むメインテナンス管理方法。
  6. 請求項5に記載のメインテナンス管理方法であって、
    前記稼動情報は、各レジスト材料及び各マスクパターンに対応した露光条件を示すレシピ情報をさらに含むメインテナンス管理方法。
  7. 請求項1に記載のメインテナンス管理方法であって、
    前記リソグラフィセル毎の前記消耗品に関する前記メインテナンス情報は、前記消耗品のそれぞれについての、寿命値と、標準メインテナンス時間と、交換コストと、寿命パラメータ値と、を含み、
    前記標準メインテナンス時間は、前記消耗品を単体で交換することにより見込まれるダウンタイムであり、
    前記寿命パラメータ値は、前記消耗品の寿命と相関する寿命パラメータの値であるメインテナンス管理方法。
  8. 請求項7に記載のメインテナンス管理方法であって、さらに、
    前記リソグラフィセル毎にそれぞれの前記消耗品の寿命と相関する寿命監視パラメータの情報を含む寿命予測情報を取得することと、
    前記寿命予測情報を基に、前記リソグラフィセル毎のそれぞれの前記消耗品の予測寿命値を計算することと、
    前記予測寿命値のデータを、前記寿命値として保存すること、
    を含むメインテナンス管理方法。
  9. 請求項7に記載のメインテナンス管理方法であって、さらに、
    前記作成された前記メインテナンススケジュール計画における第1のメインテナンス日を確定させる第1のメインテナンス日確定信号の入力を受け付けることと、
    前記第1のメインテナンス日確定信号に基づき、前記第1のメインテナンス日が確定された前記消耗品の前記寿命パラメータ値をリセットすることと、
    を含むメインテナンス管理方法。
  10. 請求項1に記載のメインテナンス管理方法であって、さらに、
    メインテナンス候補日を取得することと、
    前記取得したメインテナンス候補日を基に、前記メインテナンス候補日に交換を行う前記消耗品の選定を行うことと、
    を含むメインテナンス管理方法。
  11. 請求項1に記載のメインテナンス管理方法であって、
    前記損失コスト情報は、前記リソグラフィセル毎のウエハ処理が停止した場合の単位時間当たりに発生する損失コストの情報であるメインテナンス管理方法。
  12. 請求項1に記載のメインテナンス管理方法であって、
    前記損失コスト情報は、前記製造ライン毎のウエハ処理が停止した場合の単位時間当たりに発生する損失コストの情報であるメインテナンス管理方法。
  13. 請求項1に記載のメインテナンス管理方法であって、さらに、
    前記リソグラフィセル毎の前記稼動情報及び前記リソグラフィセル毎の前記消耗品に関する前記メインテナンス情報に基づいて、前記リソグラフィセル毎の前記消耗品に関するそれぞれの標準メインテナンス時間と、それぞれの単位寿命当りの交換コストと、を計算すること、を含むメインテナンス管理方法。
  14. 請求項13に記載のメインテナンス管理方法であって、
    前記メインテナンススケジュール計画を作成する処理は、前記リソグラフィセル毎の複数の前記消耗品の交換日を合わせるために前記標準メインテナンス時期が示す標準メインテナンス日よりも交換日を前倒しすることによる増加コストが前記リソグラフィセルのダウンタイム低減による低減コストよりも小さくなるように前記リソグラフィセル毎の前記消耗品の前記メインテナンススケジュール計画を作成すること、を含むメインテナンス管理方法。
  15. 請求項に記載のメインテナンス管理方法であって、
    前記標準メインテナンス時を計算する際に、サービスエンジニアの技量を示すパラメータの値を用いるメインテナンス管理方法。
  16. 請求項1に記載のメインテナンス管理方法であって、さらに、
    ネットワークを介して、前記リソグラフィセル毎の前記稼動情報及び前記消耗品に関する前記メインテナンス情報を受信すること、を含むメインテナンス管理方法。
  17. リソグラフィシステムのメインテナンス管理装置であって、
    レジストの塗布、露光、及び現像を行う前記リソグラフィシステムを構成する1組単位の装置群であるリソグラフィセル毎に稼動情報を整理して前記リソグラフィセル毎の前記稼動情報を保存する処理を行う稼動情報処理部と、
    前記リソグラフィセル毎に前記装置群の各装置におけるメインテナンスの対象となる消耗品に関するメインテナンス情報を整理して前記リソグラフィセル毎の前記消耗品に関する前記メインテナンス情報を保存する処理を行うメインテナンス情報処理部と、
    前記リソグラフィセル毎の前記稼動情報及び前記リソグラフィセル毎の前記消耗品に関する前記メインテナンス情報に基づいて、前記リソグラフィセル毎の前記消耗品の標準メインテナンス時期を計算する標準メインテナンス時期計算部と、
    前記リソグラフィセル毎の前記消耗品の前記標準メインテナンス時期と、前記リソグラフィセル毎の前記消耗品を交換することによるダウンタイムの情報と、前記リソグラフィセル毎の又は同一のウエハを露光する2つ以上の前記リソグラフィセルを含む製造ライン毎の前記ダウンタイムによる損失コスト情報に基づいて、前記製造ライン内の複数の前記リソグラフィセルに含まれる複数の前記消耗品のメインテナンススケジュール計画を前記製造ラインのダウンタイムが減少するように前記製造ライン毎作成するメインテナンススケジュール計画部と、
    前記メインテナンススケジュール計画の作成結果を出力するデータ出力部と、
    を含むメインテナンス管理装置。
  18. 請求項17に記載のメインテナンス管理装置であって、
    前記標準メインテナンス時期計算部は、さらに、
    前記リソグラフィセル毎の前記稼動情報及び前記リソグラフィセル毎の前記消耗品に関する前記メインテナンス情報に基づいて、前記リソグラフィセル毎の前記消耗品に関するそれぞれの標準メインテナンス時間と、それぞれの単位寿命当りの交換コストと、を計算する処理を行うメインテナンス管理装置。
  19. 請求項17に記載のメインテナンス管理装置であって、さらに、
    前記リソグラフィセル毎にそれぞれの前記消耗品の寿命と相関する寿命監視パラメータの情報を含む寿命予測情報を取得する寿命予測情報取得部と、
    前記寿命予測情報を基に、前記リソグラフィセル毎のそれぞれの前記消耗品の予測寿命値を計算する予測寿命値計算部と、
    を含むメインテナンス管理装置。
  20. プログラムが記録された非一過性のコンピュータ可読媒体であって、
    プロセッサにより実行された場合に、前記プロセッサに、
    レジストの塗布、露光、及び現像を行うリソグラフィシステムを構成する1組単位の装置群であるリソグラフィセル毎に稼動情報を整理して前記リソグラフィセル毎の前記稼動情報を記憶部に保存する機能と、
    前記リソグラフィセル毎に前記装置群の各装置におけるメインテナンスの対象となる消耗品に関するメインテナンス情報を整理して前記リソグラフィセル毎の前記消耗品に関する前記メインテナンス情報を前記記憶部に保存する機能と、
    前記リソグラフィセル毎の前記稼動情報及び前記リソグラフィセル毎の前記消耗品に関する前記メインテナンス情報に基づいて、前記リソグラフィセル毎の前記消耗品の標準メインテナンス時期を計算する機能と、
    前記リソグラフィセル毎の前記消耗品の前記標準メインテナンス時期と、前記リソグラフィセル毎の前記消耗品を交換することによるダウンタイムの情報と、前記リソグラフィセル毎の又は同一のウエハを露光する2つ以上の前記リソグラフィセルを含む製造ライン毎の前記ダウンタイムによる損失コスト情報に基づいて、前記製造ライン内の複数の前記リソグラフィセルに含まれる複数の前記消耗品のメインテナンススケジュール計画を前記製造ラインのダウンタイムが減少するように前記製造ライン毎作成する機能と、
    前記メインテナンススケジュール計画の作成結果を出力する機能と、
    を実現させるための命令を含む前記プログラムが記録された非一過性のコンピュータ可読媒体。
JP2020535401A 2018-08-08 2018-08-08 リソグラフィシステムのメインテナンス管理方法、メインテナンス管理装置、及びコンピュータ可読媒体 Active JP7258028B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2018/029808 WO2020031301A1 (ja) 2018-08-08 2018-08-08 リソグラフィシステムのメインテナンス管理方法、メインテナンス管理装置、及びコンピュータ可読媒体

Publications (2)

Publication Number Publication Date
JPWO2020031301A1 JPWO2020031301A1 (ja) 2021-08-02
JP7258028B2 true JP7258028B2 (ja) 2023-04-14

Family

ID=69414082

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020535401A Active JP7258028B2 (ja) 2018-08-08 2018-08-08 リソグラフィシステムのメインテナンス管理方法、メインテナンス管理装置、及びコンピュータ可読媒体

Country Status (4)

Country Link
US (1) US11353801B2 (ja)
JP (1) JP7258028B2 (ja)
CN (1) CN112384859B (ja)
WO (1) WO2020031301A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11305377B2 (en) * 2019-12-23 2022-04-19 Precitec Gmbh & Co. Kg Add-on module for interposing between a control device and a laser machining head of a laser machining system
WO2022201394A1 (ja) * 2021-03-24 2022-09-29 ギガフォトン株式会社 光源パラメータ情報管理方法、光源パラメータ情報管理装置及びコンピュータ可読媒体
WO2023175906A1 (ja) * 2022-03-18 2023-09-21 ギガフォトン株式会社 残パルスコスト計算方法及びプロセッサ
WO2023188214A1 (ja) * 2022-03-30 2023-10-05 富士通株式会社 情報処理プログラム、情報処理方法および情報処理装置
EP4279992A1 (en) * 2022-05-18 2023-11-22 ASML Netherlands B.V. Method of optimizing maintenance of a lithographic apparatus
WO2023222310A1 (en) * 2022-05-16 2023-11-23 Asml Netherlands B.V. Method of optimizing maintenance of a lithographic apparatus
WO2024171613A1 (ja) * 2023-02-14 2024-08-22 ギガフォトン株式会社 表示方法及び表示装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003022962A (ja) 2001-07-10 2003-01-24 Canon Inc 露光システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
WO2006025302A1 (ja) 2004-08-30 2006-03-09 Nikon Corporation 露光装置、動作決定方法、基板処理システム及びメンテナンス管理方法、並びにデバイス製造方法
JP2009076580A (ja) 2007-09-19 2009-04-09 Nikon Corp 物体処理システム、物体処理方法、処理装置、基板処理方法及びデバイス製造方法
JP2009199596A (ja) 2008-02-19 2009-09-03 Toshiba Corp 保守計画システム、保守計画方法及び画像形成装置
US20110033957A1 (en) 2009-08-07 2011-02-10 Applied Materials, Inc. Integrated thin film metrology system used in a solar cell production line
JP2012253383A (ja) 2000-04-25 2012-12-20 Gigaphoton Inc レーザ装置管理システム
JP2013179109A (ja) 2012-02-28 2013-09-09 Dainippon Screen Mfg Co Ltd 基板処理装置の管理方法および管理システム
WO2018003879A1 (ja) 2016-06-30 2018-01-04 日本電気株式会社 メンテナンス計画策定装置と方法とプログラム

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3336436B2 (ja) * 1991-04-02 2002-10-21 株式会社ニコン リソグラフィシステム、情報収集装置、露光装置、及び半導体デバイス製造方法
US6287023B1 (en) * 1997-09-22 2001-09-11 Tokyo Electron Limited Processing apparatus and method
CA2400201A1 (en) * 2000-02-16 2001-08-23 Cymer, Inc. Process monitoring system for lithography lasers
JP4356276B2 (ja) * 2001-09-10 2009-11-04 コニカミノルタビジネステクノロジーズ株式会社 画像形成システムにおけるメンテナンス方法、および当該画像形成システムにおける画像形成装置
JP4849969B2 (ja) * 2006-06-15 2012-01-11 東京エレクトロン株式会社 基板処理システムおよび基板搬送方法
JP4977064B2 (ja) 2008-03-12 2012-07-18 株式会社東芝 保守計画支援システム
JP5541451B2 (ja) 2010-03-18 2014-07-09 株式会社日立製作所 サーバ装置、及び、保守方法
JP6099969B2 (ja) * 2012-12-27 2017-03-22 キヤノン株式会社 露光装置及びデバイスの製造方法
WO2015097790A1 (ja) 2013-12-25 2015-07-02 ギガフォトン株式会社 エキシマレーザ装置及びエキシマレーザシステム

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012253383A (ja) 2000-04-25 2012-12-20 Gigaphoton Inc レーザ装置管理システム
JP2003022962A (ja) 2001-07-10 2003-01-24 Canon Inc 露光システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
WO2006025302A1 (ja) 2004-08-30 2006-03-09 Nikon Corporation 露光装置、動作決定方法、基板処理システム及びメンテナンス管理方法、並びにデバイス製造方法
JP2009076580A (ja) 2007-09-19 2009-04-09 Nikon Corp 物体処理システム、物体処理方法、処理装置、基板処理方法及びデバイス製造方法
JP2009199596A (ja) 2008-02-19 2009-09-03 Toshiba Corp 保守計画システム、保守計画方法及び画像形成装置
US20110033957A1 (en) 2009-08-07 2011-02-10 Applied Materials, Inc. Integrated thin film metrology system used in a solar cell production line
JP2013179109A (ja) 2012-02-28 2013-09-09 Dainippon Screen Mfg Co Ltd 基板処理装置の管理方法および管理システム
WO2018003879A1 (ja) 2016-06-30 2018-01-04 日本電気株式会社 メンテナンス計画策定装置と方法とプログラム

Also Published As

Publication number Publication date
JPWO2020031301A1 (ja) 2021-08-02
CN112384859A (zh) 2021-02-19
US20210117931A1 (en) 2021-04-22
WO2020031301A1 (ja) 2020-02-13
US11353801B2 (en) 2022-06-07
CN112384859B (zh) 2023-11-21

Similar Documents

Publication Publication Date Title
JP7258028B2 (ja) リソグラフィシステムのメインテナンス管理方法、メインテナンス管理装置、及びコンピュータ可読媒体
JP7428667B2 (ja) 機械学習方法、消耗品管理装置、及びコンピュータ可読媒体
US11681229B2 (en) Selection of measurement locations for patterning processes
TWI427878B (zh) 光源之主動光譜控制技術
US20230117651A1 (en) Training data creation method, machine learning method, consumable management device, and computer readable medium
US20210226407A1 (en) Gas monitoring system
US6862079B2 (en) Light source, light source generation control method, exposure apparatus and maintenance method therefor, and semiconductor device manufacturing method and semiconductor production facility
TWI735235B (zh) 用於複數個深紫外線光振盪器之控制系統
CN115039032A (zh) 曝光系统、激光控制参数的生成方法和电子器件的制造方法
JP2024512352A (ja) 半導体フォトリソグラフィで使用される光源のためのモジュールの保守
JP2006108474A (ja) 露光装置及びそれを用いたデバイス製造方法
US20230138469A1 (en) Methods of modelling systems for performing predictive maintenance of systems, such as lithographic systems
WO2022201394A1 (ja) 光源パラメータ情報管理方法、光源パラメータ情報管理装置及びコンピュータ可読媒体
CN115039033A (zh) 曝光系统和电子器件的制造方法
JP2000349017A (ja) 露光装置システムおよびデバイス製造方法
TWI822128B (zh) 用於去耦合與半導體製造相關之變異來源之方法
EP3910419A1 (en) Methods of modelling systems or performing predictive maintenance of systems, such as lithographic systems and associated lithographic systems
CN116997864A (zh) 对用于半导体光刻的光源的模块的维护
TW202422242A (zh) 用於半導體微影中之光源模組的維護
TW202409739A (zh) 排程積體電路生產中的維修活動及/或相關參數之方法以及相關聯設備
KR20240110815A (ko) 노광 광 빔의 속성의 결정 기술
WO2024035528A1 (en) Apparatus for and method of controlling cold start conditioning in a light source
Esser et al. High repetition rate excimer laser
JP2006024852A (ja) レーザ装置の指令値調整方法及び露光情報記憶装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210702

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220829

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230316

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230404

R150 Certificate of patent or registration of utility model

Ref document number: 7258028

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150