JP6691195B2 - UV-assisted stripping of cured photoresist to form a chemical template for guided self-assembly - Google Patents

UV-assisted stripping of cured photoresist to form a chemical template for guided self-assembly Download PDF

Info

Publication number
JP6691195B2
JP6691195B2 JP2018228204A JP2018228204A JP6691195B2 JP 6691195 B2 JP6691195 B2 JP 6691195B2 JP 2018228204 A JP2018228204 A JP 2018228204A JP 2018228204 A JP2018228204 A JP 2018228204A JP 6691195 B2 JP6691195 B2 JP 6691195B2
Authority
JP
Japan
Prior art keywords
layer
polymer
substrate
block
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018228204A
Other languages
Japanese (ja)
Other versions
JP2019062219A (en
Inventor
サマーヴェル,マーク
ブラウン,イアン
シムズ,イーサン
ネグレイラ,アイノア
ナフス,カスリーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2019062219A publication Critical patent/JP2019062219A/en
Application granted granted Critical
Publication of JP6691195B2 publication Critical patent/JP6691195B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material

Description

関連出願の相互参照
37C.F.R.§1.78(a)(4)に準拠して、本出願は、先行出願である2013年9月4日に出願された同時係属中の米国仮特許出願第61/873,515号明細書の利益および優先権を主張する。
Cross Reference of Related Applications 37C. F. R. Consistent with § 1.78 (a) (4), this application is a co-pending US provisional patent application No. 61 / 873,515 filed on September 4, 2013, which is a prior application. Claim the interests and priorities of.

本発明は、半導体加工技術に関し、特に基板上のブロックコポリマー(BCP)の誘導自己組織化方法に関する。   The present invention relates to semiconductor processing technology, and more particularly, to a method of induced self-assembly of block copolymer (BCP) on a substrate.

フォトリソグラフィは、集積回路またはエレクトロニクスデバイスの形成に使用可能なパターンを基板上に形成するために使用できる半導体製造技術の1つである。半導体デバイスにおけるコストおよび性能の改善は、基板上のデバイス密度を増加させることによって達成できる。より高いデバイス密度を実現するための方法の1つは、半導体基板上に形成される特徴(features,フィーチャ)の小型化を向上させることであってよい。したがって、より小さなパターンを形成するための新しい方法または技術が望ましい。   Photolithography is one of the semiconductor manufacturing techniques that can be used to form patterns on a substrate that can be used to form integrated circuits or electronic devices. Improved cost and performance in semiconductor devices can be achieved by increasing the device density on the substrate. One way to achieve higher device densities may be to improve the miniaturization of features formed on semiconductor substrates. Therefore, new methods or techniques for forming smaller patterns are desirable.

標準的なフォトリソグラフィ技術を使用してパターン化される特徴のサイズを減少させるツールの1つとして、ブロックコポリマー(BCP)の自己組織化が使用されてきた。BCPは、30nm未満の寸法の規則的で化学的に異なるテンプレートを形成可能であるため、半導体製造において有用となり得る。テンプレートの形状は、コポリマーの異なるブロックタイプの分子量および組成によって操作することができる。テンプレートは、周期的に交互に配列した化学的活性の異なる2つ以上の領域を含むことができる。ある方法では、化学的活性の領域の1つは、ブロックコポリマー相の1つを引き寄せることができ、一方、化学的活性の別の領域は、BCPの両方のブロックに対して中性であってよい。ある場合では、引き寄せる領域は、BCPをその上に整列させることができ、次に別のBCPが、このピン止めされた相の隣に整列されうる。このようにして、ブロックコポリマーは、基板の広い領域にわたってピン止めガイドに対して平行に自己組織化するように誘導されうる。   Block copolymer (BCP) self-assembly has been used as one of the tools to reduce the size of patterned features using standard photolithographic techniques. BCP can be useful in semiconductor manufacturing because it can form regular and chemically different templates with dimensions below 30 nm. The shape of the template can be manipulated by the molecular weight and composition of the different block types of the copolymer. The template can include two or more regions of different chemical activity that are arranged in a periodic alternating manner. In one method, one of the chemically active regions can attract one of the block copolymer phases, while another region of chemically active one is neutral to both blocks of BCP. Good. In some cases, the pulling region can align the BCP on it, and then another BCP can be aligned next to this pinned phase. In this way, the block copolymer can be induced to self-assemble parallel to the pinning guide over a large area of the substrate.

テンプレートは、有機フォトレジストを用いてパターン化可能な種々の有機材料を用いて形成することができる。この場合、パターン化プロセスは、基板上の露光済みおよび未露光の有機材料の間の選択性を増加させる除去プロセスまたは方法を含むことが必要となりうる。   The template can be formed using various organic materials that can be patterned using organic photoresist. In this case, the patterning process may need to include a removal process or method that increases the selectivity between exposed and unexposed organic material on the substrate.

米国特許出願第13/830,859号明細書US Patent Application No. 13 / 830,859

本開示は、サブ30nm構造用のパターン化テンプレートを形成するための有機材料の堆積、パターン化、および除去を含む、誘導自己組織化テンプレートの製造方法に関する。特に、特定の一実施形態において、テンプレートは、基板上に堆積されたポリスチレン層のパターン化およびエッチングによって形成することができる。有機フォトレジストをポリスチレン層上に堆積することができ、パターン化/エッチングプロセスによって、フォトレジストで覆われない場合もあるポリスチレン層の側壁上に残存有機ポリマーを形成することができる。理想的には、フォトレジストおよび残存ポリマーの除去は、同じプロセスまたは化学作用を用いて行うことができる。   The present disclosure relates to methods of making guided self-assembled templates, including deposition, patterning, and removal of organic materials to form patterned templates for sub-30 nm structures. In particular, in one particular embodiment, the template can be formed by patterning and etching a polystyrene layer deposited on a substrate. Organic photoresist can be deposited on the polystyrene layer and the patterning / etching process can form residual organic polymer on the sidewalls of the polystyrene layer that may not be covered by the photoresist. Ideally, the removal of photoresist and residual polymer can be done using the same process or chemistry.

一実施形態において、テンプレートは、半導体デバイスの製造に使用される基板上にポリマー(たとえば、ポリスチレン)を堆積することによって形成することができる。このポリマーは、ポリマーの密度を増加させることができポリマーを架橋させることができる時間の間、ベーク温度(たとえば、<310℃)に加熱することができる。フォトレジスト層をポリマーの上に堆積しパターン化して、下にあるポリマーを露出させることができる。フォトレジストで覆われていないポリマーの部分は、ドライまたはウェットエッチングプロセスを用いて除去することができる。ある場合では、エッチングプロセスによって、フォトレジストで覆われていないポリマーの側壁とフォトレジストとの上に残存ポリマーが堆積されうる。しかし、テンプレートの形成において残存ポリマーの存在は望ましくない場合がある。したがって、最小量のプロセスステップを用いて、フォトレジストおよび残存ポリマーを除去することが望ましい場合がある。たとえば、酸素および紫外(UV)光を用いて、フォトレジストの一部を酸化させ、および/または残存ポリマーの表面状態を変化させることができ、それによって下にあるポリマーの形状および/または表面特性に対する影響を最小限にしながら、フォトレジストおよび残存ポリマーを除去することができる。UV光は、第1の波長が200nmを超え第2の波長が200nm未満となるような第1の波長および第2の波長を含むことができる。UV光は0.01J/cm〜150J/cmの間の線量を有することができる。別の一実施形態において、基板に露光するUV光の少なくとも10%が200nm未満の波長を有することができる。特定の一実施形態においては、第1の波長は185nmであり、第2の波長は254nmである。 In one embodiment, the template can be formed by depositing a polymer (eg, polystyrene) on a substrate used in the manufacture of semiconductor devices. The polymer can be heated to a bake temperature (eg, <310 ° C.) for a period of time that can increase the polymer density and crosslink the polymer. A photoresist layer can be deposited and patterned on the polymer to expose the underlying polymer. The portions of the polymer not covered with photoresist can be removed using a dry or wet etching process. In some cases, the etching process may deposit residual polymer on the sidewalls of the polymer not covered by the photoresist and the photoresist. However, the presence of residual polymer in the formation of the template may not be desirable. Therefore, it may be desirable to remove photoresist and residual polymer using a minimum amount of process steps. For example, oxygen and ultraviolet (UV) light can be used to oxidize a portion of the photoresist and / or change the surface state of the residual polymer, thereby causing the shape and / or surface properties of the underlying polymer. The photoresist and residual polymer can be removed with a minimal effect on. The UV light can include a first wavelength and a second wavelength such that the first wavelength is greater than 200 nm and the second wavelength is less than 200 nm. The UV light can have a dose between 0.01 J / cm 2 and 150 J / cm 2 . In another embodiment, at least 10% of the UV light that exposes the substrate can have a wavelength below 200 nm. In a particular embodiment, the first wavelength is 185 nm and the second wavelength is 254 nm.

UV光露光の後、種々の化学作用を用いて湿式化学エッチングを行うことができ、そのような化学作用としては、脱イオン水、水酸化アンモニウム、および過酸化水素、または水酸化テトラメチルアンモニウムおよびジメチルスルホキシドの組合せ、またはそれらの組合せを挙げることができるが、これらに限定されるものではない。ポリマー、残存ポリマー、およびフォトレジストの間の選択性を示すために洗浄(clean)化学が必要となる場合がある。   After UV light exposure, wet chemistry can be performed using various chemistries, such as deionized water, ammonium hydroxide, and hydrogen peroxide, or tetramethylammonium hydroxide and It may include, but is not limited to, combinations of dimethyl sulfoxides, or combinations thereof. Clean chemistries may be required to show selectivity between polymer, residual polymer, and photoresist.

本明細書に含まれ本明細書の一部を構成する添付の図面は、本発明の実施形態を示すものであり、前述の本発明の概要および後述の詳細な説明とともに、本発明を説明する役割を果たす。さらに、参照番号の一番左の数字は、その参照番号が最初に使用される図を示している。   The accompanying drawings, which are included in and constitute a part of this specification, illustrate embodiments of the invention, which together with the foregoing summary of the invention and the following detailed description, illustrate the invention. Play a role. Further, the left-most digit (s) of a reference number identifies the figure in which the reference number is first used.

基板上の半導体デバイスの製造に使用される誘導自己組織化テンプレートの少なくとも一部を形成する方法の対応する図を有する流れ図である。4 is a flow chart with corresponding views of a method of forming at least a portion of a guided self-assembled template used in the manufacture of semiconductor devices on a substrate. 基板上の半導体デバイスの製造に使用される誘導自己組織化テンプレートの少なくとも一部を形成する方法の対応する図を有する流れ図である。4 is a flow chart with corresponding views of a method of forming at least a portion of a guided self-assembled template used in the manufacture of semiconductor devices on a substrate. 基板上の半導体デバイスの製造に使用される誘導自己組織化テンプレートの少なくとも一部を形成する方法の対応する図を有する流れ図である。4 is a flow chart with corresponding views of a method of forming at least a portion of a guided self-assembled template used in the manufacture of semiconductor devices on a substrate. 限定するものではないが図1A〜1Cの説明に記載される方法を用いて形成できる整列されたブロックコポリマーの断面図である。1A-1C are cross-sectional views of aligned block copolymers that can be formed using the methods described in the description of FIGS. 1A-1C. 基板上に形成された第2の有機層の上に配置された第1の有機層を除去するための方法の対応する図を有する流れ図である。4 is a flow chart with a corresponding illustration of a method for removing a first organic layer disposed on a second organic layer formed on a substrate.

以下の詳細な説明は、本開示と一致する代表的な実施形態を説明するために添付の図面を参照している。詳細な説明における「一実施形態」、「ある実施形態」、「代表的な一実施形態」などへの言及は、記載の代表的な実施形態が、ある特定の特徴、構造、または特性を含むことができるが、代表的な実施形態のすべてが、その特定の特徴、構造、または特性を必ずしも含むものではないことを示している。さらに、このような表現は、必ずしも同一の実施形態への言及となるものではない。さらに、ある特定の特徴、構造、または特性がある実施形態と関連して記載される場合、明示的に記載されてもされなくても、別の代表的な実施形態と関連するそのような特徴、構造、または特性に影響することは、関連分野の当業者の知識の範囲内である。   The following detailed description refers to the accompanying drawings to describe representative embodiments consistent with this disclosure. References in the detailed description to "an embodiment," "an embodiment," "an exemplary embodiment," etc., in which the described representative embodiments include certain features, structures, or characteristics. Although possible, all of the representative embodiments are not necessarily meant to include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, such feature, whether or not explicitly described, is associated with another representative embodiment. It is within the knowledge of one of ordinary skill in the relevant arts to affect the structure, structure, or properties.

本明細書に記載の代表的な実施形態は、説明の目的で提供され、限定的なものではない。別の実施形態も可能であり、本開示の範囲内で代表的な実施形態の修正が可能である。したがって、詳細な説明は本開示の限定を意味するものではない。むしろ、本開示の範囲は、以下の請求項およびそれらの同等物によってのみ規定される。   The exemplary embodiments described herein are provided for purposes of illustration and not limitation. Other embodiments are possible and modifications of the exemplary embodiments are possible within the scope of the disclosure. Therefore, the detailed description is not meant to limit the present disclosure. Rather, the scope of the present disclosure is defined only by the following claims and their equivalents.

以下の代表的な実施形態の詳細な説明から、関連分野の当業者の知識を適用することによって、過度の実験を行うことなく本開示の範囲から逸脱せずに種々の用途のためにそのような代表的な実施形態の容易な修正および/または適合が他者によって可能となる本開示の一般的性質が、より十分に明らかとなるであろう。したがって、このような適合および修正は、本明細書に提示される教示および手引きに基づいて、代表的な実施形態の意味および複数の同等物の範囲内となることが意図される。本明細書における表現または用語は、説明を目的としており、限定を目的としたものではなく、そのため本明細書の用語または表現は、本明細書の教示を考慮して関連分野の当業者によって解釈されるべきであることを理解されたい。   From the following detailed description of exemplary embodiments, applying the knowledge of one of ordinary skill in the relevant arts to such an application for various applications without undue experimentation and without departing from the scope of the present disclosure. The general nature of this disclosure, which allows for easy modification and / or adaptation of certain exemplary embodiments by others, will become more fully apparent. Accordingly, such adaptations and modifications are intended to be within the meaning of the exemplary embodiments and equivalents, based on the teaching and guidance presented herein. The phraseology or terminology used herein is for the purpose of description and not of limitation, and as such the term or phrase of the specification is to be construed by one of ordinary skill in the relevant arts in view of the teachings herein. It should be understood that it should be done.

本明細書において使用される場合、用語「放射線感受性材料」は、フォトレジストなどの感光性材料を意味し、それらを含んでいる。   As used herein, the term "radiation sensitive material" means and includes photosensitive materials such as photoresists.

本明細書において使用される場合、用語「ポリマーブロック」は、さらにより長い長さのより大きなポリマーの一部を形成するある長さの連続ポリマー鎖中での構成単位の1種類の複数のモノマー単位(すなわち、ホモポリマーブロック)または複数種類の複数のモノマー単位(すなわち、コポリマーブロック)の分類を意味し、それらを含んでおり、および相分離を引き起こすのに十分な異なるモノマーの種類の別のポリマーブロックと共にχN値を示す。χはフローリー−ハギンズの相互作用パラメータであり、Nはブロックコポリマーの全重合度である。本発明の実施形態によると、より大きなコポリマー中のあるポリマーブロックと少なくとも1つの別のポリマーブロックとのχN値は約10.5以上であってよい。   As used herein, the term "polymer block" refers to a monomer unit of a building block in a length of continuous polymer chain that forms part of an even longer length of a larger polymer. A unit (ie, a homopolymer block) or a grouping of multiple types of multiple monomer units (ie, a copolymer block) is meant to include and include another of a different type of monomer sufficient to cause phase separation. ΧN values are shown with polymer blocks. χ is the Flory-Huggins interaction parameter and N is the total degree of polymerization of the block copolymer. According to embodiments of the present invention, the χN value of one polymer block and at least one other polymer block in the larger copolymer may be about 10.5 or greater.

本明細書において使用される場合、用語「ブロックコポリマー」は、各鎖が前述の定義の2つ以上のポリマーブロックを含有し、少なくとも2つのブロックが、それらのブロックを相分離させるのに十分な偏析(segregation)強度(たとえば、χN>10.5)となる鎖で構成されるポリマーを意味し、それらを含んでいる。ジブロックコポリマー(すなわち、2つのポリマーブロック(AB)を含むポリマー)、トリブロックコポリマー(すなわち、3つのポリマーブロック(ABAまたはABC)を含むポリマー)、マルチブロックコポリマー(すなわち、4つ以上のポリマーブロックを含むポリマー(ABCDなど))、およびそれらの組合せなどの多種多様なブロックポリマーが本明細書において考慮される。   As used herein, the term "block copolymer" means that each chain contains two or more polymer blocks as defined above, at least two blocks being sufficient to cause the blocks to phase separate. By and including polymers that are composed of chains that provide a segregation strength (eg, χN> 10.5). Diblock copolymers (ie polymers containing 2 polymer blocks (AB)), triblock copolymers (ie polymers containing 3 polymer blocks (ABA or ABC)), multiblock copolymers (ie 4 or more polymer blocks) A wide variety of block polymers are contemplated herein, including polymers including (such as ABCD)), and combinations thereof.

本明細書において使用される場合、用語「基板」は、その上に材料が形成されるベース材料または構造体を意味し、それらを含んでいる。基板は、1種類の材料、異なる材料の複数の層、異なる材料または異なる構造の領域を内部に有する1つまたは複数の層などを含みうると認識されよう。これらの材料として、半導体、絶縁体、導体、またはそれらの組合せを挙げることができる。たとえば、基板は、半導体基板、支持構造上のベース半導体層、金属電極、または1つ以上の層、構造、もしくは領域が上に形成された半導体基板であってよい。基板は、従来のシリコン基板、または半導体材料の層を含む別のバルク基板であってよい。本明細書において使用される場合、用語「バルク基板」は、シリコンウエハだけではなく、シリコン・オン・インシュレータ(「SOI」)基板、たとえばシリコン・オン・サファイア(「SOS」)基板、およびシリコン・オン・ガラス(「SOG」)基板、ベース半導体の土台上のシリコンのエピタキシャル層、ならびに別の半導体またはオプトエレクトロニクス材料、たとえばシリコン−ゲルマニウム、ゲルマニウム、ヒ化ガリウム、窒化ガリウム、およびリン化インジウムをも意味し、それらをも含んでいる。基板は、ドープされる場合もドープされない場合もある。   As used herein, the term "substrate" means and includes base materials or structures on which material is formed. It will be appreciated that the substrate may include one type of material, multiple layers of different materials, one or more layers having regions of different materials or different structures therein, and the like. These materials can include semiconductors, insulators, conductors, or combinations thereof. For example, the substrate may be a semiconductor substrate, a base semiconductor layer on a support structure, a metal electrode, or a semiconductor substrate having one or more layers, structures or regions formed thereon. The substrate may be a conventional silicon substrate, or another bulk substrate containing layers of semiconductor material. As used herein, the term "bulk substrate" includes not only silicon wafers, but silicon-on-insulator ("SOI") substrates, such as silicon-on-sapphire ("SOS") substrates, and silicon-on-sapphire ("SOS") substrates. Also on glass (“SOG”) substrates, epitaxial layers of silicon on the base of a base semiconductor, and other semiconductor or optoelectronic materials such as silicon-germanium, germanium, gallium arsenide, gallium nitride, and indium phosphide. Meaning and including them. The substrate may be doped or undoped.

本明細書において使用される場合、用語「ミクロ相偏析(segregation)」および「ミクロ相分離」は、ブロックコポリマーの同種のブロックが相互に凝集し、異種のブロックは分離して別個のドメインとなる性質を意味し、そのような性質を含んでいる。バルク中では、ブロックコポリマーは、球状、円筒状、ラメラ状、バイコンティニュアスらせん状、またはミクトアーム星型のミクロドメインを有する規則的形態に自己組織化することができ、ブロックコポリマーの分子量によって、形成されるミクロドメインのサイズが決定される。   As used herein, the terms "microphase segregation" and "microphase separation" refer to the like blocks of a block copolymer agglomerating with each other and dissimilar blocks separating into distinct domains. Means a property and includes such a property. In the bulk, the block copolymer can self-assemble into a regular morphology with spherical, cylindrical, lamellar, bicontinuous helical, or Miktoarm star-shaped microdomains, depending on the molecular weight of the block copolymer. The size of the formed microdomains is determined.

自己組織化したブロックコポリマー形態のドメインサイズまたはピッチ周期(L)は、パターン化構造の限界寸法の設計の基礎として使用することができる。同様に、ブロックコポリマーのポリマーブロックの1つをエッチングによって選択的に除去した後に残る特徴の寸法である構造周期(L)は、パターン化構造の限界寸法の設計の基礎として使用することができる。ブロックコポリマーを構成する各ポリマーブロックの長さは、それらのブロックコポリマーのポリマーブロックによって形成されるドメインサイズの固有限界となりうる。たとえば、各ポリマーブロックは、ドメインの所望のパターンへの自己組織化を促進する長さを有するように選択することができ、より短いおよび/またはより長いコポリマーは、希望通りに自己組織化することができない。 The domain size or pitch period (L 0 ) of the self-assembled block copolymer morphology can be used as a basis for designing the critical dimension of patterned structures. Similarly, the structural period (L s ), which is the dimension of the feature that remains after one of the polymer blocks of the block copolymer is selectively removed by etching, can be used as a basis for designing the critical dimension of the patterned structure. . The length of each polymer block constituting the block copolymer can be an intrinsic limit of the domain size formed by the polymer blocks of the block copolymer. For example, each polymer block can be selected to have a length that promotes self-assembly of the domains into a desired pattern, with shorter and / or longer copolymers being self-assembled as desired. I can't.

本明細書において使用される場合、用語「ベーキング」または「ベーク」は、ブロックコポリマーの2つ以上の異なるポリマーブロック成分の間の十分なミクロ相偏析によって、ポリマーブロックから形成された繰り返し構造単位によって画定される規則的なパターンを形成できるようなブロックコポリマーの処理を意味し、そのような処理が含まれる。本発明におけるブロックコポリマーのベークは、限定するものではないが、熱アニール(真空中、または窒素もしくはアルゴンなどの不活性雰囲気中のいずれか)、溶媒蒸気支援アニール(室温または室温よりも高温のいずれか)、超臨界流体支援アニール、または吸収系アニール(たとえば、光学的ベーク)などの当技術分野において周知の種々の方法によって実現することができる。特定の一例において、ブロックコポリマーの熱アニールは、以下により詳細に記載されるように、ブロックコポリマーのガラス転移温度(T)よりも高いが分解温度(T)よりも低い高温にブロックコポリマーを曝露することによって行うことができる。本明細書に記載されていない別の従来のアニール方法を使用することもできる。 As used herein, the term "baking" or "baking" refers to a repeating structural unit formed from a polymer block due to sufficient microphase segregation between two or more different polymer block components of the block copolymer. By treatment of block copolymers capable of forming a defined regular pattern, such treatment is included. Baking of block copolymers in the present invention includes, but is not limited to, thermal annealing (either in vacuum or in an inert atmosphere such as nitrogen or argon), solvent vapor assisted annealing (either at room temperature or above room temperature). ), Supercritical fluid assisted anneal, or absorption-based anneal (eg, optical bake) or the like, by various methods well known in the art. In one particular example, thermal annealing of the block copolymer causes the block copolymer to be heated to an elevated temperature above the glass transition temperature (T g ) but below the decomposition temperature (T d ) of the block copolymer, as described in more detail below. It can be done by exposure. Other conventional anneal methods not described herein can also be used.

ブロックコポリマーが自己組織化する能力は、マスクパターンの形成に使用できる。ブロックコポリマーは、2種類以上の化学的に異なるブロックから形成される。たとえば、各ブロックは、異なるモノマーから形成されてよい。これらのブロックは、非混和性または熱力学的に非相溶性であり、たとえば、一方のブロックは極性であってよく、他方は非極性であってよい。熱力学的作用のために、コポリマーは溶液中で自己組織化することで、全体としての系のエネルギーが最小となり、典型的には、このために互いに対してコポリマーが移動し、たとえば、それによって類似のブロックは互いに凝集し、それによって各ブロックタイプまたは化学種を含有する交互の領域が形成される。たとえば、コポリマーが極性ブロック(たとえば、有機金属含有ポリマー)および非極性ブロック(たとえば、炭化水素ポリマー)から形成される場合、これらのブロックは偏析し、それによって非極性ブロックは別の非極性ブロックと凝集し、極性ブロックは別の極性ブロックと凝集する。全体として分子集団の運動速度を増加させるために熱を加える場合があるが、特定の個別の分子を運動させる外力を積極的に加えることなくブロックを移動させてパターンを形成できるので、ブロックコポリマーを自己組織化材料として記載できることを理解されたい。   The ability of block copolymers to self-assemble can be used to form mask patterns. Block copolymers are formed from two or more types of chemically distinct blocks. For example, each block may be formed from different monomers. These blocks are immiscible or thermodynamically incompatible, for example one block may be polar and the other non-polar. Due to thermodynamic effects, the copolymers self-assemble in solution, minimizing the energy of the system as a whole, which typically causes the copolymers to move relative to each other, for example Similar blocks agglomerate with each other, thereby forming alternating regions containing each block type or species. For example, if the copolymer is formed from polar blocks (eg, organometallic-containing polymers) and non-polar blocks (eg, hydrocarbon polymers), these blocks segregate, which causes the non-polar block to separate from another non-polar block. Aggregate and a polar block aggregates with another polar block. In some cases, heat is applied to increase the movement velocity of the population of molecules as a whole, but since the block can be moved to form a pattern without positively applying an external force to move a specific individual molecule, a block copolymer is formed. It should be appreciated that it can be described as a self-assembling material.

ポリマーブロック種の間の相互作用に加えて、ブロックコポリマーの自己組織化は、ブロックコポリマーが上に堆積される水平面から垂直に延在するステップまたはガイドなどの地形的特徴の影響を受ける場合がある。たとえば、2つの異なるポリマーブロック種から形成されるコポリマーであるジブロックコポリマーは、交互に配列するドメインまたは領域を形成することができ、それらのそれぞれは実質的に異なるポリマーブロック種から形成される。ポリマーブロック種の自己組織化が、ステップまたはガイドの垂直の壁の間の領域で生じる場合、たとえば、ブロックによって形成される交互の領域のそれぞれが、壁および水平面に対してほぼ水平に配向した特徴を有する規則的に間隔を開けたパターンを形成するように、ステップまたはガイドがポリマーブロックと相互作用することができる。   In addition to interactions between polymer block species, the self-assembly of block copolymers may be affected by topographical features such as steps or guides that extend vertically from the horizontal plane on which the block copolymer is deposited. . For example, a diblock copolymer, which is a copolymer formed from two different polymer block species, can form alternating domains or regions, each of which is formed from substantially different polymer block species. If the self-assembly of polymer block species occurs in the region between the vertical walls of the steps or guides, for example, each of the alternating regions formed by the blocks will be characterized by an orientation substantially horizontal to the walls and the horizontal Steps or guides can interact with the polymer blocks to form a regularly spaced pattern having

このような自己組織化は、半導体製造プロセス中に特徴をパターン化するためのマスクの形成に有用となりうる。たとえば、交互のドメインの一方を除去し、それによって他方の領域を形成する材料を残してマスクとして機能させることができる。マスクは、下にある半導体基板中の電気デバイスなどの特徴のパターン化に使用することができる。ブロックコポリマーマスクの形成方法は、2013年3月14日に出願されたSomervellらによる(特許文献1)、CHEMI−EPITAXY IN DIRECTED SELF−ASSEMBLY APPLICATIONS USING PHOTO−DECOMPOSABLE AGENTS、に開示されている。   Such self-assembly can be useful in forming masks for patterning features during semiconductor manufacturing processes. For example, one of the alternating domains can be removed, thereby leaving the material forming the other region to act as a mask. The mask can be used to pattern features such as electrical devices in the underlying semiconductor substrate. A method for forming a block copolymer mask is disclosed in Somerell et al. (Patent Document 1) filed March 14, 2013, in CHEMI-EPITAXY IN DIRECTED SELF-ASSEMBLY APPLICATIONS USING PHOTO-DECOMPOSABLE AGENTS.

図1A〜1Bは、サブ30nmの特徴を基板104中にエッチングするために使用される誘導自己組織化(DSA)テンプレートの形成方法の流れ図100と、方法100を説明するための対応する断面図102とを含んでいる。DSAテンプレートを使用して、基板104上で均一で小さなスケールのパターン(たとえば、<30nm)を実現することができる。一実施形態において、DSAテンプレートの表面特性に基づくBCPの自己組織化は、DSAテンプレート全体にわたって交互に配列しうる表面特性の異なる2つ以上の領域を含むことができる。DSAテンプレートの形成方法の1つは、基板104上にパターンを形成する20nm未満の幅の細線を含みうるガイド材料を基板上に形成するステップを含むことができる。ガイド材料(たとえば、有機ポリマー126)は、パターン化されていないガイド材料上に有機フォトレジストを堆積してパターン化することによって形成することができる。ガイド材料のパターン化は、ガイド材料の側壁上に残存ポリマーが堆積しうるエッチング方法を用いてガイド材料の一部を除去するステップを含むことができる。理想的には、有機フォトレジストは、後の処理に悪影響を与える形状または表面特性に影響を与えずに有機ガイド材料から除去することができる。したがって、有機フォトレジストおよび残存ポリマーを選択的に除去するが、ポリマーの表面特性および形状は維持することができる選択的除去方式が望ましい場合がある。たとえば、ポリマーの形状または断面は、実質的に正方形または長方形の形状を形成し均一な表面を有することができ、表面における結合は、基板104の後の処理中にその断面を維持するのに十分な強度である。   1A-1B are a flow chart 100 of a method of forming a guided self-assembled (DSA) template used to etch sub-30 nm features in a substrate 104 and a corresponding cross-sectional view 102 to illustrate the method 100. Includes and. The DSA template can be used to achieve uniform, small scale patterns (eg, <30 nm) on the substrate 104. In one embodiment, BCP self-assembly based on surface properties of the DSA template can include two or more regions of differing surface properties that can be alternating across the DSA template. One method of forming a DSA template can include forming a guide material on the substrate that can include fine lines with a width of less than 20 nm that form a pattern on the substrate 104. The guide material (eg, organic polymer 126) can be formed by depositing and patterning an organic photoresist on the unpatterned guide material. Patterning the guide material can include removing a portion of the guide material using an etching method that can deposit residual polymer on the sidewalls of the guide material. Ideally, the organic photoresist can be removed from the organic guide material without affecting the shape or surface properties that would adversely affect subsequent processing. Therefore, it may be desirable to have a selective removal scheme that selectively removes the organic photoresist and residual polymer, while maintaining the surface properties and shape of the polymer. For example, the shape or cross-section of the polymer can form a substantially square or rectangular shape and have a uniform surface, the bonding at the surface being sufficient to maintain that cross-section during subsequent processing of substrate 104. It has a strong strength.

方法100は、説明の目的で複数の個別の作業として記載される。しかし、これらの作業が必ず順序に依存することを意味するとして、記載の順序を解釈すべきではない。特に、これらの作業は、記載の順序で行う必要がない場合がある。方法100は、記載の実施形態と異なる順序で行うことができる。さらに、作業の一部は、省略できる場合もあるし、DSAテンプレートを形成するために行われるさらなる作業を有する場合もある。   Method 100 is described as multiple discrete operations for purposes of explanation. However, the order in which they are presented should not be interpreted as implying that these tasks are necessarily order-dependent. In particular, these tasks may not need to be performed in the order listed. The method 100 can be performed in a different order than the described embodiments. In addition, some of the work may be omitted or may have additional work done to form the DSA template.

ブロック106において、DSAテンプレートの土台を形成するために、有機ポリマー126を基板104上に堆積することができる。有機ポリマー126としては、室温より高温でベークした場合に架橋することができるポリスチレンを挙げることができるが、これに限定されるものではない。基板104の後の処理によって生じる構造変化に抵抗するために、架橋によって有機ポリマー126の強度を増加させることができる。たとえば、架橋した有機ポリマー126は、後の層堆積、パターン化、および/または基板104の洗浄によって除去されたり変形したりする可能性が低くなりうる。一実施形態において、有機ポリマー126のベークは、200℃〜310℃の間のベーク温度を使用することによって、有機ポリマー126の密度を増加させることができる。   At block 106, an organic polymer 126 can be deposited on the substrate 104 to form a base for the DSA template. The organic polymer 126 can include, but is not limited to, polystyrene, which can crosslink when baked above room temperature. Cross-linking can increase the strength of organic polymer 126 to resist structural changes caused by subsequent processing of substrate 104. For example, cross-linked organic polymer 126 may be less likely to be removed or deformed by subsequent layer deposition, patterning, and / or cleaning substrate 104. In one embodiment, baking the organic polymer 126 can increase the density of the organic polymer 126 by using a baking temperature between 200 ° C and 310 ° C.

堆積される有機ポリマー126は、基板104の表面全体にわたって連続となりうる比較的均一な厚さで堆積することができる。しかし、DSAテンプレートは、基板104上にサブ30nmの特徴を可能とするために、有機ポリマー126の離散的な部分を用いて実施することができる。したがって、有機ポリマー126はフォトリソグラフィ技術を用いてパターン化することができる。   The deposited organic polymer 126 can be deposited in a relatively uniform thickness that can be continuous across the surface of the substrate 104. However, the DSA template can be implemented with discrete portions of organic polymer 126 to allow sub-30 nm features on substrate 104. Therefore, the organic polymer 126 can be patterned using photolithography techniques.

ブロック108において、均一および/または共形的な方法で有機層126上にフォトレジスト層128を堆積することができる。フォトレジスト層128は、フォトリソグラフィプロセスにおいて現在一般に使用される放射線の種類である可視光、深紫外(DUV)光、極紫外(EUV)光、電子ビーム、およびX線放射エネルギーに露光すると化学反応する任意の放射線感受性組成物であってよい。放射線に露光すると、フォトレジスト層128中の分子の結合が破壊されたり溶解性が高くなったりすることがあり、それによって露光部分は、フォトレジスト現像化合物を用いて溶解させることができる。フォトレジスト層128はポジ型フォトレジストであってよい。ポジ型フォトレジストは放射線に露光すると溶解性が高くなることができ、ネガ型フォトレジストは放射線に露光すると溶解性が低くなることができる。一実施形態において、ポジ型フォトレジストまたはネガ型フォトレジストは、保護されたもしくは保護されていないメタクリル酸コポリマー、保護されたもしくは保護されていないアクリルコモノマー、スチレン、ヒドロキシスチレン、または保護されたもしくは保護されていないヒドロキシスチレンコモノマーの1つ以上を含むことができるが、これらに限定されるものではない。放射線の露光は、周知のフォトリソグラフィ装置および技術を用いてフォトレジスト層128の離散的部分を放射線に露光することができるパターン化プロセスによって制御することができる。別の一実施形態においては、フォトレジスト層128は、別の種類の現像液中でポジ型フォトレジストよりも溶解性が低くなりうるネガ型フォトレジストであってよく、それによってネガ型フォトレジスト層の露光部分は無傷のままとなり、一方、未露光部分は除去することができる。   At block 108, a photoresist layer 128 may be deposited on the organic layer 126 in a uniform and / or conformal manner. The photoresist layer 128 chemically reacts when exposed to the types of radiation currently commonly used in photolithography processes: visible light, deep ultraviolet (DUV) light, extreme ultraviolet (EUV) light, electron beam, and X-ray radiant energy. Radiation sensitive composition. Exposure to radiation can break the bonds of molecules in the photoresist layer 128 or increase its solubility, which allows the exposed portions to be dissolved using a photoresist developing compound. The photoresist layer 128 may be a positive photoresist. Positive photoresists can be more soluble when exposed to radiation, and negative photoresists can be less soluble when exposed to radiation. In one embodiment, the positive photoresist or negative photoresist comprises a protected or unprotected methacrylic acid copolymer, a protected or unprotected acrylic comonomer, styrene, hydroxystyrene, or a protected or protected. It may include, but is not limited to, one or more unmodified hydroxystyrene comonomers. Exposure of radiation can be controlled by a patterning process that can expose discrete portions of photoresist layer 128 to radiation using well-known photolithographic equipment and techniques. In another embodiment, the photoresist layer 128 may be a negative photoresist, which may be less soluble in another type of developer than a positive photoresist, thereby providing a negative photoresist layer. The exposed parts of the are left intact, while the unexposed parts can be removed.

ブロック110において、フォトレジスト層128は、離散的部分を放射線(たとえば、光)に露光して、それらの露光領域が、一実施形態において、溶解性がより高くなるように、またはより容易に除去できるようにして、有機ポリマー層126の部分を露出させることで、パターン化することができる。有機ポリマー126の露出部分130は、エッチングまたは洗浄などの後の処理を行うことができる。DSAテンプレートパターンは、図1Aに示されるようなフォトレジスト層128の実質的に正方形または長方形の断面プロファイルを形成する直線を含むことができる。有機ポリマー126の露出部分130は、図1A〜1B中の方法100の流れ図には示されていない化学処理および/またはプラズマ処理中に除去されている場合があるフォトレジスト層128の部分とは反対側にある。   At block 110, the photoresist layer 128 exposes the discrete portions to radiation (eg, light) to remove those exposed areas to be more soluble, or easier, in one embodiment. It is possible to pattern by exposing portions of the organic polymer layer 126. The exposed portion 130 of the organic polymer 126 can be subjected to subsequent processing such as etching or cleaning. The DSA template pattern can include straight lines forming a substantially square or rectangular cross-sectional profile of the photoresist layer 128 as shown in FIG. 1A. The exposed portion 130 of the organic polymer 126 is opposite to the portion of the photoresist layer 128 that may have been removed during the chemical and / or plasma treatments that are not shown in the flow chart of the method 100 in FIGS. On the side.

ブロック112において、フォトレジスト層128のパターンが有機ポリマー126に転写される方法で、化学的プロセスを使用して有機ポリマー126の露出部分130の除去またはエッチングを行うことができる。このような化学的プロセスは、除去プロセス中に有機ポリマー126およびフォトレジスト層128の少なくとも1つの側壁上に残存ポリマー132を形成するガスを含むことができるが、これに限定されるものでない。たとえば、ガス(たとえば、CF)を使用して有機ポリマー126が除去されるときに、除去プロセスの副生成物として不動態化ポリマー(たとえば、残存ポリマー132)が形成されうる。残念ながら、残存ポリマー132は、基板104中にサブ30nmの特徴を形成するためのDSAテンプレートの実装に干渉しうる。たとえば、有機ポリマー126およびフォトレジスト層128は、より狭い幅にトリミングして(図示せず)、前のパターン化プロセス(たとえば、ブロック110)で得られたパターンよりも小さい特徴を可能とするDSAテンプレートを形成することができる。このトリミングは、有機ポリマー126の幅を狭める両層のさらなるパターン化ステップおよびエッチングステップを含むことができる。残存ポリマー132は、トリミングプロセスと干渉しうる。したがって、残存ポリマー132は、DSA技術の性能または能力を改善するために除去することができる。フォトレジスト層128のエッチングによって、露光面が硬化する場合があり、そのため硬化部分はフォトレジスト層128の未露光部分よりも耐薬品性が高くなりうる。たとえば、フォトレジスト層128の露光部分(たとえば、残存ポリマー132)は、未露光部分よりも緻密化されることがあり、そのためフォトレジスト層128は、プラズマエッチング処理または湿式化学エッチング処理を用いた除去がより困難になりうる。したがって、除去をより容易にするために残存ポリマー132を処理することが望ましい場合がある。そのような処理は後述の同一または類似のUV処理ステップを含むことができる。 At block 112, a chemical process may be used to remove or etch exposed portions 130 of organic polymer 126 in a manner that the pattern of photoresist layer 128 is transferred to organic polymer 126. Such chemical processes can include, but are not limited to, a gas that forms a residual polymer 132 on the sidewalls of the organic polymer 126 and the photoresist layer 128 during the removal process. For example, a passivating polymer (eg, residual polymer 132) may be formed as a by-product of the removal process when organic polymer 126 is removed using a gas (eg, CF 4 ). Unfortunately, the residual polymer 132 can interfere with the implementation of the DSA template to form sub-30 nm features in the substrate 104. For example, the organic polymer 126 and photoresist layer 128 may be trimmed to a narrower width (not shown) to allow smaller features than the pattern obtained in the previous patterning process (eg, block 110). A template can be formed. This trimming can include the additional patterning and etching steps of both layers that narrow the width of the organic polymer 126. Residual polymer 132 can interfere with the trimming process. Therefore, the residual polymer 132 can be removed to improve the performance or capability of the DSA technology. The exposed surface may be cured by the etching of the photoresist layer 128, so that the cured portion may be more chemically resistant than the unexposed portion of the photoresist layer 128. For example, exposed portions of photoresist layer 128 (eg, residual polymer 132) may be more densified than unexposed portions, so that photoresist layer 128 is removed using a plasma etch process or a wet chemical etch process. Can be more difficult. Therefore, it may be desirable to treat the residual polymer 132 for easier removal. Such processing can include the same or similar UV processing steps described below.

図1B中のブロック114において、残存ポリマー132を有機ポリマー126およびフォトレジスト層128から除去するために使用できる任意の化学作用の選択性を改善するために、基板104を紫外(UV)光126に露光することができる。UV光126は、少なくとも100nmの波長を有する電磁放射線を含むことができる。一実施形態において、UV光126は、同時に、または連続して基板に露光できる電磁放射線の1つ以上の波長を含むことができる。一実施形態においては、UV光126の単一波長を基板104の処理に使用することができる。UV光126によって、フォトレジスト層128および残存層126の種々の機構による除去をより容易にすることができる。   At block 114 in FIG. 1B, substrate 104 is exposed to ultraviolet (UV) light 126 to improve the selectivity of any chemistries that can be used to remove residual polymer 132 from organic polymer 126 and photoresist layer 128. It can be exposed. The UV light 126 can include electromagnetic radiation having a wavelength of at least 100 nm. In one embodiment, the UV light 126 can include one or more wavelengths of electromagnetic radiation that can expose the substrate simultaneously or sequentially. In one embodiment, a single wavelength of UV light 126 can be used to process the substrate 104. The UV light 126 can facilitate the removal of the photoresist layer 128 and the residual layer 126 by various mechanisms.

別の一実施形態においては、UV光126の2つの異なる波長を使用して基板104を処理することができる。光の第1の波長は200nm未満であってよく、光の第2の波長は200nmを超えてよい。UV光126は最大150J/cmの線量を有することができる。別の一実施形態において、UV光126の第1の波長は約185nmであってよく、UV光126の第2の波長は約254nmであってよい。 In another embodiment, two different wavelengths of UV light 126 can be used to process the substrate 104. The first wavelength of light may be less than 200 nm and the second wavelength of light may be greater than 200 nm. The UV light 126 can have a dose of up to 150 J / cm 2 . In another embodiment, the first wavelength of UV light 126 may be about 185 nm and the second wavelength of UV light 126 may be about 254 nm.

別の一実施形態において、UV光126の波長の分布は、ブロック114における露光プロセス中に変化させることもできる。たとえば、UV光126は少なくとも10%の第1の波長を含むことができ、残りが第2の波長のUV光126を含むことができる。特定の一実施形態において、UV光126の少なくとも10%は約185nmの波長を含むことができ、UV光126の残りは約254nmの波長を有することができる。   In another embodiment, the distribution of wavelengths of UV light 126 may be changed during the exposure process at block 114. For example, the UV light 126 can include at least 10% of the first wavelength and the balance can include the second wavelength of UV light 126. In a particular embodiment, at least 10% of the UV light 126 can include a wavelength of about 185 nm and the rest of the UV light 126 can have a wavelength of about 254 nm.

UV光126に加えて、露光プロセスは、残存層132とフォトレジスト層128の露光面とを脆弱化し、両方の層をより除去しやすく(たとえば、改善された選択性)することができる反応ガス134を含むこともできる。反応ガスとしては、単原子酸素、二原子酸素、および/またはオゾンを挙げることができるが、これらに限定されるものではない。   In addition to UV light 126, the exposure process weakens the residual layer 132 and the exposed surface of the photoresist layer 128, and a reactive gas that allows both layers to be more easily removed (eg, improved selectivity). 134 may also be included. Reactive gases can include, but are not limited to, monatomic oxygen, diatomic oxygen, and / or ozone.

ブロック116において、UV光126と反応ガス134との組合せによって、残存ポリマー層136およびフォトレジスト層128の表面138の酸化および/または脆弱化が可能となる。反応ガス134としては、反応ガス134がUV光126またはあらゆる他のエネルギー源に曝露したときにオゾンを発生可能な二原子元素を挙げることができる。少なくとも、UV光126および/または反応ガス134の組合せを使用して、残存ポリマー136の表面状態を変化させることができる。たとえば、残存ポリマー136および/または脆弱化したフォトレジスト層138は、UV光126の少なくとも一部に対して高吸収性となることができ、電子の励起が誘発され、それによって脆弱化した残存ポリマー136および/またはフォトレジスト層128の脆弱化した表面138の中の結合の破壊を促進することができる。一実施形態において、フォトレジスト層128は、185nmの波長のUV光126に対してより高い吸収性となることができ、フォトレジスト層128中の結合が破壊の可能性がより高くなりうる。他方、254nmのUV光126は、下にある層に対して吸収性とならない場合があるが、下にある層中の結合を破壊するのに十分なエネルギーを有する254nmフォトンのより高いフルエンスが存在しうる。UV光126のより長い波長は、より短い波長のUV光126よりも、下にある層中の炭素鎖を破壊する可能性が高くなりうる。   At block 116, the combination of UV light 126 and reactive gas 134 enables oxidation and / or weakening of surface 138 of residual polymer layer 136 and photoresist layer 128. The reaction gas 134 can include a diatomic element capable of generating ozone when the reaction gas 134 is exposed to UV light 126 or any other energy source. At a minimum, a combination of UV light 126 and / or reactive gas 134 can be used to change the surface state of residual polymer 136. For example, the residual polymer 136 and / or the weakened photoresist layer 138 can be highly absorbing for at least a portion of the UV light 126, inducing electron excitation, thereby weakening the residual polymer. Breakage of bonds in the weakened surface 138 of 136 and / or photoresist layer 128 may be facilitated. In one embodiment, the photoresist layer 128 can be more absorptive of the 185 nm wavelength UV light 126, and the bonds in the photoresist layer 128 can be more likely to break. On the other hand, 254 nm UV light 126 may not be absorptive to the underlying layers, but there is a higher fluence of 254 nm photons with sufficient energy to break the bonds in the underlying layers. You can. Longer wavelengths of UV light 126 may be more likely to destroy carbon chains in the underlying layers than shorter wavelength UV light 126.

反応ガス134は脆弱化した残存ポリマー136の親水性を高めることもでき、それによって脆弱化した残存ポリマー136の後の湿式化学プロセスにおける除去がより容易になりうる。   The reaction gas 134 may also increase the hydrophilicity of the weakened residual polymer 136, which may be easier to remove in a wet chemical process after the weakened residual polymer 136.

ブロック118において、フォトレジスト層128および脆弱化した残存ポリマー136を除去して有機ポリマー126を露出させるために、湿式化学プロセスを使用することができる。一実施形態において、湿式化学プロセスは、水酸化アンモニウム、過酸化水素、水、水性、半水性、非水性の化学溶液、またはそれらのあらゆる組み合わせの化学物質の1つ以上を含むことができる1つ以上のステップを含むことができる。したがって、フォトレジスト層128および残存ポリマー136、138を除去した後に、有機ポリマー126は基板104上にパターンを形成することができる。ある場合では、パターンは、基板104全体に有機ポリマー126の平行または実質的に平行の線を含むことができる。   At block 118, a wet chemical process may be used to remove the photoresist layer 128 and the weakened residual polymer 136 to expose the organic polymer 126. In one embodiment, the wet chemical process may include one or more of chemicals of ammonium hydroxide, hydrogen peroxide, water, aqueous, semi-aqueous, non-aqueous chemical solutions, or any combination thereof. The above steps can be included. Therefore, after removing the photoresist layer 128 and the residual polymers 136, 138, the organic polymer 126 can form a pattern on the substrate 104. In some cases, the pattern may include parallel or substantially parallel lines of organic polymer 126 throughout substrate 104.

一実施形態において、湿式化学プロセスは、水酸化アンモニウム、過酸化水素、および水の組合せを1:1:5の比率で含むことができ、27重量%の水酸化アンモニウムおよび30%の過酸化水素を使用することができる。ある場合では、上記組合せの温度は50℃であってよく、この組合せに基板104を最長2分間曝露することができる。   In one embodiment, the wet chemical process can include a combination of ammonium hydroxide, hydrogen peroxide, and water in a ratio of 1: 1: 5, with 27 wt% ammonium hydroxide and 30% hydrogen peroxide. Can be used. In some cases, the temperature of the combination may be 50 ° C. and the substrate 104 may be exposed to the combination for up to 2 minutes.

別の一実施形態において、湿式化学プロセスは、化学物質のテトラメチルアンモニウム、N−メチルピロリドンおよび/またはジメチルスルホキシドの1つ以上を含むことができるが、これらに限定されるものではない。上記の湿式化学の組合せは45℃〜65℃の間に加熱し、基板104に最長2分間曝露することができる。特定の一実施形態において、基板104の曝露時間は1分であってよい。   In another embodiment, the wet chemistry process can include, but is not limited to, one or more of the chemicals tetramethylammonium, N-methylpyrrolidone and / or dimethylsulfoxide. The wet chemistry combination described above can be heated between 45 ° C. and 65 ° C. and exposed to the substrate 104 for up to 2 minutes. In one particular embodiment, the exposure time of the substrate 104 may be 1 minute.

ブロック120において、中性コポリマー層140を基板104上に堆積することができ、有機層126と有機層126の部分の間の空間とを覆うことができる。一実施形態において、中性コポリマー層は、基板104にグラフトしてよく、有機層126にはグラフトしなくてよい。このグラフトプロセスは、300℃未満でベークするステップを含むことができる。一般に、中性コポリマー層140は、基板104上に堆積された有機層126または後のBCP層(図示せず)に対する化学親和力を有さなくてよい。中性コポリマー層140としては、非整列コポリマーブロックを挙げることができるが、これに限定されるものではない。   At block 120, the neutral copolymer layer 140 may be deposited on the substrate 104 and may cover the organic layer 126 and the space between portions of the organic layer 126. In one embodiment, the neutral copolymer layer may be grafted on the substrate 104 and not the organic layer 126. The grafting process can include baking below 300 ° C. In general, the neutral copolymer layer 140 may not have a chemical affinity for the organic layer 126 deposited on the substrate 104 or a subsequent BCP layer (not shown). The neutral copolymer layer 140 may include, but is not limited to, a non-aligned copolymer block.

図1Cに示されるように、ブロック122において、有機層126と中性コポリマー層140との間のステップ高さの差を最小限にするリンスまたは化学的プロセスを用いて、中性コポリマー層140の非グラフト部分を基板104から除去することができる。ステップ高さは、基板104と、有機層126および中性コポリマー層140の反対側の表面との間の厚さの差である。たとえば、ステップ高さの差が最小限となるように、基板104とは反対側の有機層126および中性コポリマー層140の表面は、互いに同一平面または実質的に同一平面であってよい。   As shown in FIG. 1C, at block 122, a neutral copolymer layer 140 is rinsed using a rinse or chemical process that minimizes the step height difference between the organic layer 126 and the neutral copolymer layer 140. The non-grafted portion can be removed from the substrate 104. The step height is the difference in thickness between the substrate 104 and the opposite surface of the organic layer 126 and the neutral copolymer layer 140. For example, the surfaces of the organic layer 126 and the neutral copolymer layer 140 opposite the substrate 104 may be coplanar or substantially coplanar with each other so that the step height difference is minimized.

ブロック124において、中性コポリマー層140および有機ポリマー126の上に、非整列またはランダムコポリマー層142を堆積することができる。ランダムコポリマー層142は、基板104の後の処理中に予測可能な方法で自己組織化(self-organize)または自己集合(self-assemble)が可能な少なくとも2つのポリマーブロックを含むことができる。たとえば、これらのポリマーブロックは、非混和性であってよく、適切な条件下で分離して1つのブロック種、またはそれぞれ種の交互パターンを主として含有するドメイン(図示せず)を形成することができる。ランダムコポリマー層142は、限定するものではないがスピンオンコーティング、スピンキャスティング、刷毛塗り、または気相堆積などの種々の方法によって堆積することができる。たとえば、ランダムコポリマー層142は、有機溶媒、たとえばトルエンなどのキャリア溶媒中の溶液として供給することができる。この溶液を基板104に塗布することができ、続いて中性コポリマー層140およびキャリア溶媒を除去してランダムコポリマー層142を得ることができる。ランダムコポリマー層142は、ポリスチレンおよびポリメチルアクリレート(PMMA)を含むことができる2つ以上のポリマー種を含むことができるが、これらに限定されるものではない。   At block 124, a misaligned or random copolymer layer 142 may be deposited over the neutral copolymer layer 140 and the organic polymer 126. The random copolymer layer 142 can include at least two polymer blocks that can self-organize or self-assemble in a predictable manner during subsequent processing of the substrate 104. For example, these polymer blocks may be immiscible and may separate under suitable conditions to form one block species, or domains (not shown) predominantly containing alternating patterns of each species. it can. Random copolymer layer 142 can be deposited by a variety of methods including, but not limited to, spin-on coating, spin casting, brushing, or vapor deposition. For example, the random copolymer layer 142 can be provided as a solution in an organic solvent, for example a carrier solvent such as toluene. This solution can be applied to the substrate 104, followed by removal of the neutral copolymer layer 140 and the carrier solvent to obtain the random copolymer layer 142. Random copolymer layer 142 can include, but is not limited to, two or more polymer species that can include polystyrene and polymethyl acrylate (PMMA).

材料の相分離と類似のプロセスで熱力学的考察により、異なる種が自己集合すると理解されることは認識されよう。自己組織化は、有機層126と中性コポリマー層140との間の物理的界面、および互いの化学親和力によって誘導される。したがって、ブロックコポリマーの構成ブロック自体は、基板104のパターン化された部分の長さに沿って配向しうる。自己組織化は、ブロック124の隣に示される層状構造をアニールすることによって促進し加速させることができる。アニールプロセスの温度は、ブロックコポリマーまたは層状構造に対する悪影響を防止するために十分低温から選択することができる。アニールは、ある実施形態では約350℃未満、約300℃未満、約250℃未満、約200℃未満、または約180℃の温度で行うことができる。別の一実施形態によると、アニールプロセスは、アニール温度が一般に低下する溶媒アニールを含むことができる。   It will be appreciated that thermodynamic considerations in a process similar to phase separation of materials are understood to allow different species to self-assemble. Self-assembly is induced by the physical interface between the organic layer 126 and the neutral copolymer layer 140, and the chemical affinity of each other. Thus, the building blocks of the block copolymer itself may be oriented along the length of the patterned portion of the substrate 104. Self-assembly can be promoted and accelerated by annealing the layered structure shown next to block 124. The temperature of the annealing process can be chosen low enough to prevent adverse effects on the block copolymer or layered structure. Annealing may be performed at a temperature of less than about 350 ° C, less than about 300 ° C, less than about 250 ° C, less than about 200 ° C, or about 180 ° C in some embodiments. According to another embodiment, the anneal process can include a solvent anneal with generally lower anneal temperatures.

アニール時間は約数時間から約1分の範囲であってよい。たとえば、250℃を超える温度でのアニール時間は約1時間〜約2分、約30分〜約2分、または約5分〜約2分の範囲であってよい。   Annealing times may range from a few hours to a minute. For example, annealing times at temperatures above 250 ° C. may range from about 1 hour to about 2 minutes, about 30 minutes to about 2 minutes, or about 5 minutes to about 2 minutes.

一実施形態によると、アニール温度は約260℃〜約350℃の範囲内であってよく、低酸素雰囲気は約40ppm未満の酸素を含む。たとえば、ブロックコポリマー層380は、310℃で低酸素環境(たとえば、300ppm以下)中のアニール条件に約2分〜約5分曝露することができる。   According to one embodiment, the anneal temperature may be in the range of about 260 ° C to about 350 ° C and the low oxygen atmosphere comprises less than about 40 ppm oxygen. For example, the block copolymer layer 380 can be exposed to annealing conditions in a low oxygen environment (eg, 300 ppm or less) at 310 ° C. for about 2 minutes to about 5 minutes.

ランダムコポリマー層142のアニールによって、図2に示される実施形態に示されるような、隣り合って配列した複数の交互に配列した種のドメインへの自己組織化が促進されうる。   Annealing the random copolymer layer 142 may facilitate self-assembly into domains of alternating side-by-side species, as shown in the embodiment shown in FIG.

別の実施形態において、DSAテンプレートは、図1A〜1Cに示されるプロセスフローとは異なる種々のプロセスフローを用いて形成することができる。本開示の範囲は、ガイドストライプ(たとえば、有機ポリマー126)を堆積してパターン化し、中性層(たとえば、中性コポリマー層140)を埋め戻す図1A〜1Cのフローに限定しなくてよい。特定の一実施形態においては、中性層を堆積してトレンチラインでパターン化することができ、ガイド材料をトレンチ中に埋め戻すことができる。このようにして、堆積された第1の層は、図1A〜1Cの実施形態のPS層に対して中性となることができ、埋め戻し層は中性となる代わりにガイド層として機能することができる。中性層のパターン化は、図1A〜1C中のプロセスと類似していてよいエッチングおよび有機ストリップを含むことができる。たとえば、基板104は中性層をコーティングすることができ、これをパターン化しエッチングすることができ、ちょうど図1Cのブロック122の隣の図に示されるように、トレンチは、ポリ(スチレン)系ブラシ材料を埋め戻し、ベークし、リンスすることができる。このような処理によって、ブロックコポリマーの自己組織化の誘導に使用される同じ化学テンプレートが得られるが(すなわち、図1C、ブロック122)、異なる処理ステップの組によって得られる。残存層は、エッチングプロセス中にPS層上に形成されうる。したがって、残存層は前述のUV処理を用いて除去することができる。   In another embodiment, the DSA template can be formed using a variety of process flows different from the process flows shown in Figures 1A-1C. The scope of the present disclosure need not be limited to the flow of FIGS. 1A-1C in which guide stripes (eg, organic polymer 126) are deposited and patterned to backfill the neutral layer (eg, neutral copolymer layer 140). In one particular embodiment, a neutral layer can be deposited and patterned with trench lines, and the guide material can be backfilled into the trench. In this way, the deposited first layer can be neutral to the PS layer of the embodiment of FIGS. 1A-1C and the backfill layer acts as a guide layer instead of being neutral. be able to. The patterning of the neutral layer can include etching and organic strips, which can be similar to the process in Figures 1A-1C. For example, the substrate 104 can be coated with a neutral layer, which can be patterned and etched, and the trenches can be poly (styrene) based brushes, as shown in the figure just next to block 122 in FIG. 1C. The material can be backfilled, baked and rinsed. Such treatment results in the same chemical template used to induce self-assembly of the block copolymer (ie, FIG. 1C, block 122), but with a different set of processing steps. The residual layer may be formed on the PS layer during the etching process. Therefore, the residual layer can be removed using the UV treatment described above.

図2は、ランダムコポリマー層142のアニールによって少なくとも部分的には実施可能なブロックコポリマー層の1倍(1X)頻度の実施形態200およびブロックコポリマー層の3倍(3X)頻度の実施形態202を示している。しかし、交互に配列する化学種の頻度は、1倍および3倍に限定されなくてもよく、1倍〜10倍以上の間の任意の頻度を含むことができる。アニールによって、並列し、有機層126および中性コポリマー層140とは反対側にある交互の化学種(たとえば、ポリスチレン206およびPMMA208)の自己組織化が生じうる。ドメインサイズ(Lo)210は、互いに隣接しうる各化学種の幅の1回の繰り返しで表すことができる。Loパターンは、基板全体にわたって繰り返して、互いに平行となりうる線および空間の配列を形成することができる。同様に、下にある有機層126および中性コポリマー層140は、図2で示されるように2つの化学種が交互に配列する周期距離212内で変動しうる。ポリスチレンドメイン206およびPMMAドメイン208の位置は、下にある層の構造によって変化させることができ、有機層126および中性コポリマー層140との間で特定の頻度で繰り返すことができる。図2は単に、異なるパターン頻度を使用する2つの代表的な実施形態を含んでいる。   FIG. 2 illustrates an embodiment 200 with a 1 × (1X) frequency of block copolymer layers and an embodiment 202 with a 3 × (3X) frequency of block copolymer layers that can be performed at least in part by annealing of the random copolymer layer 142. ing. However, the frequency of alternating chemical species need not be limited to 1 and 3 times and can include any frequency between 1 and 10 or more times. Annealing may result in self-assembly of alternating species (eg, polystyrene 206 and PMMA 208) that are juxtaposed and opposite the organic layer 126 and the neutral copolymer layer 140. The domain size (Lo) 210 can be represented by one repetition of the width of each chemical species that can be adjacent to each other. The Lo pattern can be repeated across the substrate to form an array of lines and spaces that can be parallel to each other. Similarly, the underlying organic layer 126 and neutral copolymer layer 140 can vary within a periodic distance 212 of two alternating chemical species as shown in FIG. The location of the polystyrene domains 206 and PMMA domains 208 can be varied depending on the structure of the underlying layers and can be repeated with organic layer 126 and neutral copolymer layer 140 at a particular frequency. FIG. 2 simply includes two exemplary embodiments that use different pattern frequencies.

1倍頻度の実施形態200において、ポリスチレンブロック206が中性コポリマー層140のブロックの1つと向かい合うことができるように、交互に配列される化学種は対応する下にある層と1対1の関係で関連することができる。ポリスチレンブロック206およびPMMAブロック208は、互いに平行または実質的に平行となることができる。DSAテンプレートの形成の品質の程度は、表面領域にわたって平行な線および/または空間がどのように存在しうるかに基づいて測定することができる。   In the 1 × frequency embodiment 200, the alternating species are in a one-to-one relationship with the corresponding underlying layers so that the polystyrene block 206 can face one of the blocks of the neutral copolymer layer 140. Can be related in. The polystyrene block 206 and the PMMA block 208 can be parallel or substantially parallel to each other. The degree of quality of formation of the DSA template can be measured based on how parallel lines and / or spaces can exist over the surface area.

一実施形態において、平行な線/空間は、少なくとも1μmの表面積を有する領域にわたって少なくとも95%が平行または実質的に平行であってよい。特定の一実施形態において、表面積は1.2656μmであってよい。別の一実施形態においては、平行な線/空間の品質は、ある表面積にわたって少なくとも95%が平行であってよく、これはある表面積にわたって少なくとも40の線/空間の配列を含むことができ、これはその配列の過半数を超えうる。たとえば、配列の表面積は、その配列の端部または周囲の10Loの範囲内であってよい表面積を除いた全部の配列を含むことができる。 In one embodiment, the parallel lines / spaces may be at least 95% parallel or substantially parallel over a region having a surface area of at least 1 μm 2 . In a particular embodiment, the surface area may be 1.2656 μm 2 . In another embodiment, the quality of the parallel lines / spaces may be at least 95% parallel over a surface area, which may include an array of at least 40 lines / spaces over a surface area, which Can exceed the majority of the sequences. For example, the surface area of an array can include the entire array except for surface areas that may be within 10 Lo at the edges or perimeter of the array.

ある場合には、配列の品質は、品質制御の目的で配列の分離を可能にする2つ以上の種類に分離することができる。たとえば、配列は、95%〜100%、60%〜94%、および59%未満の3つの種類に入りうる。ある場合には、合格基準は、DSA処理方式の制御または限定のために95%〜100%であってよい。別の場合には、合格基準は60%〜100%であってよく、その場合59%未満の構造はいずれの実施形態でも合格とならない。   In some cases, the quality of the sequences can be separated into two or more types that allow the separation of the sequences for quality control purposes. For example, sequences can fall into three types: 95% -100%, 60% -94%, and less than 59%. In some cases, the acceptance criteria may be 95% -100% due to control or limitation of the DSA processing scheme. In other cases, the acceptance criteria may be 60% to 100%, where less than 59% of the structures do not pass in any embodiment.

別の実施形態において、ドメインサイズ210および周期距離212は、1倍頻度の実施形態200に示されるような1:1の比から変動することができる。したがって、異なる化学種の重なり合いを使用して、1倍頻度の実施形態200を使用する場合とは異なるパターンを基板104または下にある層の中に選択的にエッチングすることができる。   In another embodiment, the domain size 210 and the periodic distance 212 can vary from a 1: 1 ratio as shown in the 1 × frequency embodiment 200. Thus, overlapping of different species can be used to selectively etch different patterns into the substrate 104 or underlying layers than when using the 1 × frequency embodiment 200.

3倍頻度の実施形態202において、ドメインサイズ(Lo)210は、周期距離214の範囲内でより高い頻度で交互に配列することができ、それによってドメインサイズ210は特定の周期距離214の範囲内で数回交互に配列することができる。この実施形態において、周期距離214は、継続マーカー216で示されるように図2中に示されるよりもさらに延長されうる。この場合、上にある層と下にある層との比は3:1となりうる。しかし、別の実施形態において、この比は最大10:1となりうる。さらに、1倍頻度の実施形態200の説明で前述した品質基準は、3倍頻度の実施形態202における上にある層中の線/空間の評価および/または分類に使用することもできる。   In the triple frequency embodiment 202, the domain sizes (Lo) 210 can be interleaved more frequently within the periodic distance 214, whereby the domain sizes 210 are within the specific periodic distance 214. Can be arranged alternately several times. In this embodiment, the periodic distance 214 may be further extended than shown in FIG. 2 as indicated by the continuation marker 216. In this case, the ratio of the upper layer to the lower layer can be 3: 1. However, in another embodiment, the ratio can be up to 10: 1. Further, the quality criteria described above in the description of the 1x frequency embodiment 200 may also be used to evaluate and / or classify the lines / spaces in the overlying layers in the 3x frequency embodiment 202.

図3は、基板104上に形成された第1の有機膜、下にある第2の有機膜を選択的に除去するための方法の対応する図302を有する流れ図300を含んでいる。除去選択性によって、下にある有機膜の厚さまたは表面状態の変化を最小限にすることができる。   FIG. 3 includes a flow chart 300 having a first organic film formed on a substrate 104, a corresponding view 302 of a method for selectively removing an underlying second organic film. The removal selectivity can minimize changes in the thickness or surface state of the underlying organic film.

ブロック304において、限定するものではないが化学気相堆積またはスピンオンコーティングなどであってよいあらゆる周知の堆積技術を用いて、第1の有機材料312を基板104上に堆積することができる。一実施形態において、第1の有機層312は、フォトリソグラフィプロセスにおいて現在一般に使用される放射線の種類である可視光、深紫外(DUV)光、極紫外(EUV)光、電子ビーム、およびX線放射エネルギーに露光すると化学反応する任意の放射線感受性組成物であってよい。放射線に露光すると、フォトレジスト層128中の分子の結合が破壊されたり溶解性が高くなったりすることがあり、それによって露光部分は、フォトレジスト現像化合物を用いて溶解させることができる。フォトレジストは、保護されたもしくは保護されていないメタクリル酸コポリマー、保護されたもしくは保護されていないアクリルコモノマー、スチレン、ヒドロキシスチレン、または保護されたもしくは保護されていないヒドロキシスチレンコモノマーの1つ以上を含むことができるが、これらに限定されるものではない。   At block 304, the first organic material 312 can be deposited on the substrate 104 using any known deposition technique, such as, but not limited to, chemical vapor deposition or spin-on coating. In one embodiment, the first organic layer 312 includes visible light, deep ultraviolet (DUV) light, extreme ultraviolet (EUV) light, electron beam, and x-ray, which are the types of radiation currently commonly used in photolithography processes. It may be any radiation sensitive composition that chemically reacts when exposed to radiant energy. Exposure to radiation can break the bonds of molecules in the photoresist layer 128 or increase its solubility, which allows the exposed portions to be dissolved using a photoresist developing compound. The photoresist comprises one or more of protected or unprotected methacrylic acid copolymer, protected or unprotected acrylic comonomer, styrene, hydroxystyrene, or protected or unprotected hydroxystyrene comonomer. However, the present invention is not limited to these.

ブロック306において、第1の有機層312の上に第2の有機膜314を堆積することができ、このような有機膜は、互いに物理的に結合し、2つの膜のあらゆる類似の有機の性質にもかかわらず、これらは互いに相対的に区別可能である。一実施形態において、第2の有機膜314は、第2の有機膜314中への光の透過を可能にするが、第2の有機層314から反射できる光の量は制限される反射防止材料であってよい。   At block 306, a second organic film 314 can be deposited over the first organic layer 312, such organic films being physically bonded to each other and any similar organic properties of the two films. Nevertheless, they are relatively distinguishable from each other. In one embodiment, the second organic film 314 enables transmission of light into the second organic film 314, but limits the amount of light that can be reflected from the second organic layer 314. May be

ブロック308において、UV光126および酸素126の組合せを用いて、第2の有機層314の第1の部分を除去することができる。図3に示される、より薄い第2の有機層316および第2の有機層314の間の厚さの違いで示されるように、第1の部分は、第2の有機層314の厚さの少なくとも40%を含むことができる。   At block 308, the combination of UV light 126 and oxygen 126 may be used to remove the first portion of the second organic layer 314. The first portion of the thickness of the second organic layer 314 is shown by the difference in thickness between the thinner second organic layer 316 and the second organic layer 314 shown in FIG. It can include at least 40%.

一実施形態において、基板104を紫外(UV)光126に露光して、第2の有機層314の第1の部分を除去することができ、および/または第2の有機層314の残部、すなわち第2の部分に使用できる任意の化学作用の選択性を改善することができる。UV光126は、少なくとも100nmの波長を有する電磁放射線を含むことができる。一実施形態において、UV光126は、基板に同時または逐次露光することができる電磁放射線の1つ以上の波長を含むことができる。一実施形態においては、UV光126の1つの波長を用いて基板104を処理することができる。   In one embodiment, the substrate 104 can be exposed to ultraviolet (UV) light 126 to remove a first portion of the second organic layer 314 and / or the remainder of the second organic layer 314, ie The selectivity of any chemistry that can be used for the second part can be improved. The UV light 126 can include electromagnetic radiation having a wavelength of at least 100 nm. In one embodiment, the UV light 126 can include one or more wavelengths of electromagnetic radiation that can simultaneously or sequentially expose the substrate. In one embodiment, one wavelength of UV light 126 can be used to process the substrate 104.

別の一実施形態において、UV光126の2つの異なる波長を用いて第2の有機層314を処理することができる。光の第1の波長は200nm未満であってよく、光の第2の波長は200nmを超えてよい。UV光126は最大150J/cmの線量を有することができる。別の一実施形態において、UV光126の第1の波長は約185nmであってよく、UV光126の第2の波長は約254nmであってよい。 In another embodiment, two different wavelengths of UV light 126 can be used to treat the second organic layer 314. The first wavelength of light may be less than 200 nm and the second wavelength of light may be greater than 200 nm. The UV light 126 can have a dose of up to 150 J / cm 2 . In another embodiment, the first wavelength of UV light 126 may be about 185 nm and the second wavelength of UV light 126 may be about 254 nm.

別の一実施形態において、UV光126の波長の分布は、ブロック308における露光プロセス中に変化させることもできる。たとえば、UV光126は、少なくとも10%の第1の波長を含むことができ、残りは第2の波長のUV光126を含むことができる。特定の一実施形態において、UV光126の少なくとも10%は約185nmの波長を含むことができ、UV光126の残りは約254nmの波長を有することができる。   In another embodiment, the distribution of wavelengths of UV light 126 can also be changed during the exposure process at block 308. For example, UV light 126 may include at least 10% of the first wavelength of UV light and the remainder may include second wavelength of UV light 126. In a particular embodiment, at least 10% of the UV light 126 can include a wavelength of about 185 nm and the rest of the UV light 126 can have a wavelength of about 254 nm.

UV光126に加えて、露光プロセスは、第2の有機層314の脆弱化が可能な反応ガス134を含むこともできる。反応ガスとしては、単原子酸素、二原子酸素、および/またはオゾンを挙げることができるが、これらに限定されるものではない。   In addition to the UV light 126, the exposure process can also include a reactive gas 134 that can weaken the second organic layer 314. Reactive gases can include, but are not limited to, monatomic oxygen, diatomic oxygen, and / or ozone.

UV光126と反応ガス134との組合せによって、第2の有機層314の酸化および/または脆弱化を行うことができる。反応ガス134は、反応ガス134がUV光126またはあらゆる他のエネルギー源に曝露したときにオゾンを発生させるために使用できる二原子酸素を含むことができる。少なくとも、UV光126および/または反応ガス134の組合せを使用して第2の有機層314の表面状態を変化させることができる。反応ガス134は脆弱化した第2の有機層314の親水性を高めることもでき、それによって脆弱化した第2の有機層316は、後の湿式化学プロセス中のより容易な除去が可能となる。   The combination of the UV light 126 and the reaction gas 134 can oxidize and / or weaken the second organic layer 314. The reaction gas 134 can include diatomic oxygen that can be used to generate ozone when the reaction gas 134 is exposed to UV light 126 or any other energy source. At least a combination of UV light 126 and / or reactive gas 134 can be used to change the surface state of the second organic layer 314. The reaction gas 134 can also increase the hydrophilicity of the weakened second organic layer 314, which allows the weakened second organic layer 316 to be more easily removed during a subsequent wet chemical process. .

ブロック310において、第2の有機層316の第2の部分を除去するために湿式化学処理を使用することができ、それによって第1の有機層312の厚さおよび/または表面状態の変化を最小限にすることができる。湿式化学処理としては、ブロック118の説明に記載の湿式化学処理を挙げることができるが、それらに限定されるものではない。   At block 310, a wet chemical process can be used to remove a second portion of the second organic layer 316, thereby minimizing changes in the thickness and / or surface conditions of the first organic layer 312. Can be limited. Wet chemical treatments can include, but are not limited to, wet chemical treatments described in block 118.

請求項の説明のために使用が意図されるのは、詳細な説明の項であって、要約書の項ではないことを理解されたい。要約書の項には、本開示の1つ以上であるがすべてではない代表的な実施形態が記載されうるが、本開示および添付の請求項の限定を意図するものでは決してない。   It should be understood that it is the detailed description section, and not the summary section, that is intended for use in describing the claims. Although the summary section may describe one or more, but not all, representative embodiments of the disclosure, it is in no way intended to limit the disclosure or the appended claims.

本開示は、本開示の1つ以上の実施形態の説明によって示され、それらの実施形態は相当に詳細に説明してきたが、それらによって、添付の特許請求の範囲がそのような詳細に制限されることを意図されるものではなく、限定されることを意図されるものでは決してない。さらなる利点および修正は、当業者には容易に明らかとなるであろう。したがって、より広い態様による本発明は、示され記載される特定の詳細、代表的な装置および方法、ならびに説明的な例に限定されるものではない。したがって、一般的な本発明の概念の範囲からは逸脱することなく、そのような詳細から逸脱することが可能である。   The present disclosure is illustrated by the description of one or more embodiments of the disclosure, and those embodiments have been described in considerable detail, which limits the scope of the appended claims to such details. It is not intended to be limiting, and is not intended to be limiting in any way. Further advantages and modifications will be readily apparent to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details shown, described, representative apparatus and methods, and illustrative examples. Accordingly, departures may be made from such details without departing from the scope of the general inventive concept.

300 流れ図、
304、306、308、310 ブロック、
104 基板、
134 反応ガス134、
312 第1の有機材料、
314 第2の有機膜、
316 第2の有機層、
126 UV光。
300 flow chart,
304, 306, 308, 310 blocks,
104 substrate,
134 Reaction gas 134,
312 first organic material,
314 second organic film,
316 second organic layer,
126 UV light.

Claims (7)

基板から第1の有機層を除去する方法であって:
第2の有機層を含む前記基板の上に前記第1の有機層を堆積するステップと;
前記第1の有機層を紫外光および酸素に曝露することによって、前記第1の有機層の上方部分を除去するステップと;
湿式化学プロセスを用いて前記第1の有機層の下方部分を除去するステップと、
を含み、ここで、前記第1の有機層が有機反射防止材料を含み、前記第2の有機層がフォトレジストを含み、前記基板がシリコンを含む、方法。
A method of removing a first organic layer from a substrate comprising:
Depositing the first organic layer on the substrate including a second organic layer;
Removing the upper portion of the first organic layer by exposing the first organic layer to ultraviolet light and oxygen;
Removing a lower portion of the first organic layer using a wet chemical process;
Only including, wherein said first organic layer comprises an organic antireflective material, wherein the second organic layer is a photoresist, the substrate comprises silicon, methods.
前記上方部分が前記第1の有機層の厚さの少なくとも40%を含み、前記下方部分が前記第1の有機層の厚さの残部を含む、請求項1に記載の方法。 The method of claim 1, wherein the upper portion comprises at least 40% of the thickness of the first organic layer and the lower portion comprises the remainder of the thickness of the first organic layer. 前記紫外光が200nm未満の波長の第1の分布と、200nmを超える波長の第2の分布とを含む、請求項1に記載の方法。   The method of claim 1, wherein the ultraviolet light comprises a first distribution of wavelengths below 200 nm and a second distribution of wavelengths above 200 nm. 200nm未満である前記波長が185nm以下の波長を含む、請求項に記載の方法。 4. The method of claim 3 , wherein the wavelength that is less than 200 nm comprises a wavelength of 185 nm or less. 前記紫外光が約185nmの波長を含む、請求項1に記載の方法。   The method of claim 1, wherein the ultraviolet light comprises a wavelength of about 185 nm. 200nmを超える前記波長が、約254nmの波長を含む、請求項に記載の方法。 6. The method of claim 5 , wherein the wavelength above 200 nm comprises a wavelength of about 254 nm. 前記紫外光が100nmを超える波長を含む、請求項1に記載の方法。
The method of claim 1, wherein the ultraviolet light comprises wavelengths above 100 nm.
JP2018228204A 2013-09-04 2018-12-05 UV-assisted stripping of cured photoresist to form a chemical template for guided self-assembly Active JP6691195B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361873515P 2013-09-04 2013-09-04
US61/873,515 2013-09-04

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016540906A Division JP6452136B2 (en) 2013-09-04 2014-08-22 UV-assisted stripping of cured photoresist to form chemical templates for guided self-assembly

Publications (2)

Publication Number Publication Date
JP2019062219A JP2019062219A (en) 2019-04-18
JP6691195B2 true JP6691195B2 (en) 2020-04-28

Family

ID=52583832

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016540906A Active JP6452136B2 (en) 2013-09-04 2014-08-22 UV-assisted stripping of cured photoresist to form chemical templates for guided self-assembly
JP2018228204A Active JP6691195B2 (en) 2013-09-04 2018-12-05 UV-assisted stripping of cured photoresist to form a chemical template for guided self-assembly

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016540906A Active JP6452136B2 (en) 2013-09-04 2014-08-22 UV-assisted stripping of cured photoresist to form chemical templates for guided self-assembly

Country Status (5)

Country Link
US (2) US10490402B2 (en)
JP (2) JP6452136B2 (en)
KR (3) KR102394998B1 (en)
TW (2) TWI631434B (en)
WO (1) WO2015034690A1 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478429B2 (en) * 2012-03-13 2016-10-25 Massachusetts Institute Of Technology Removable templates for directed self assembly
JP6494446B2 (en) * 2015-06-23 2019-04-03 東京エレクトロン株式会社 Substrate processing method, program, and computer storage medium
JP6346132B2 (en) * 2015-09-11 2018-06-20 株式会社東芝 Pattern formation method
US20180323060A1 (en) * 2015-10-28 2018-11-08 Tokyo Electron Limited Substrate processing method, substrate processing apparatus, substrate processing system and recording medium
US10021773B2 (en) 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element
JP6266680B2 (en) * 2016-03-28 2018-01-24 株式会社トクヤマ Cleaning method and cleaning liquid
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
FR3051964B1 (en) * 2016-05-27 2018-11-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD FOR FORMING A FUNCTIONALIZED GUIDING PATTERN FOR A GRAPHO-EPITAXY PROCESS
KR102614850B1 (en) * 2016-10-05 2023-12-18 삼성전자주식회사 Method of manufacuturing semiconductor device
US9632408B1 (en) * 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102325779B1 (en) 2017-08-22 2021-11-12 에스케이이노베이션 주식회사 Random copolymer for forming neutral layer and laminate for forming pattern comprising the same, method for patterning using the same
FR3075775B1 (en) * 2017-12-21 2020-01-17 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD FOR FORMING A CHEMICAL GUIDING STRUCTURE ON A SUBSTRATE AND CHEMO-EPITAXY METHOD
JP6458174B1 (en) * 2018-01-12 2019-01-23 デクセリアルズ株式会社 Pattern forming method and manufacturing method of polarizing plate
EP3528045A1 (en) 2018-02-16 2019-08-21 IMEC vzw Method for forming a cross-linked layer
WO2020069346A1 (en) * 2018-09-28 2020-04-02 Tokyo Electron Limited Method for globally adjusting spacer critical dimension using photo-active self-assembled monolayer

Family Cites Families (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2768760B2 (en) * 1989-10-19 1998-06-25 株式会社東芝 Resist ashing device
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
JPH03154330A (en) * 1989-11-13 1991-07-02 Matsushita Electron Corp Manufacture of semiconductor device
JPH03224212A (en) * 1990-01-30 1991-10-03 Oki Electric Ind Co Ltd Optical ashing device
JP3407289B2 (en) 1991-05-13 2003-05-19 セイコーエプソン株式会社 Electron emission device and driving method thereof
US5482803A (en) * 1992-02-07 1996-01-09 Canon Kabushiki Kaisha Process for preparing filter
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
IL112826A (en) * 1995-02-28 1998-09-24 Chip Express Israel Ltd Method for depositing a plasma deposited polymer
US5709754A (en) 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
JP3708688B2 (en) * 1996-09-13 2005-10-19 株式会社東芝 Resist pattern forming method
JPH1130711A (en) 1997-07-11 1999-02-02 Canon Inc Diffraction optical element and its manufacture, and optical equipment
AU7094400A (en) 1999-08-31 2001-03-26 E-Ink Corporation A solvent annealing process for forming a thin semiconductor film with advantageous properties
DE50010125D1 (en) 1999-12-24 2005-05-25 Ivoclar Vivadent Ag ATRAUMATIC APPROXIMUM ROOM ADDITIONAL
CA2404296A1 (en) 2000-03-22 2001-09-27 University Of Massachusetts Nanocylinder arrays
KR100522845B1 (en) * 2000-09-01 2005-10-20 가부시끼가이샤 도꾸야마 Cleaning solution for removing residue
JP2002231696A (en) * 2001-01-31 2002-08-16 Mitsubishi Electric Corp Method and apparatus for removing resist
JP3623173B2 (en) 2001-03-06 2005-02-23 独立行政法人科学技術振興機構 Method for producing microphase-separated polymer structure using pressure jump
US6303477B1 (en) 2001-04-04 2001-10-16 Chartered Semiconductor Manufacturing Ltd Removal of organic anti-reflection coatings in integrated circuits
KR20030029053A (en) 2001-04-05 2003-04-11 아치 스페셜티 케미칼즈, 인코포레이티드 Perfluoroalkylsulfonic acid compounds for photoresists
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (en) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate cleaning apparatus
JP4077241B2 (en) 2002-05-14 2008-04-16 富士通株式会社 Manufacturing method of semiconductor device
JP2003345026A (en) * 2002-05-24 2003-12-03 Tokyo Ohka Kogyo Co Ltd Coating liquid composition for formation of antireflection film, photoresist laminate by using the same, and method for forming photoresist pattern
US6852619B2 (en) * 2002-05-31 2005-02-08 Sharp Kabushiki Kaisha Dual damascene semiconductor devices
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (en) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 Substrate processing equipment
US7875419B2 (en) * 2002-10-29 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Method for removing resist pattern and method for manufacturing semiconductor device
JP4364601B2 (en) * 2002-10-29 2009-11-18 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP4560507B2 (en) 2003-02-19 2010-10-13 チバ ホールディング インコーポレーテッド Halogenated oxime derivatives and their use as potential acids
US6846748B2 (en) 2003-05-01 2005-01-25 United Microeletronics Corp. Method for removing photoresist
US7060637B2 (en) * 2003-05-12 2006-06-13 Micron Technology, Inc. Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JPWO2005059976A1 (en) * 2003-12-18 2007-07-12 東京エレクトロン株式会社 Substrate processing method, substrate processing apparatus, and computer-readable recording medium
JP4074593B2 (en) 2004-02-26 2008-04-09 東京エレクトロン株式会社 Vacuum drying apparatus and vacuum drying method
US20050215713A1 (en) 2004-03-26 2005-09-29 Hessell Edward T Method of producing a crosslinked coating in the manufacture of integrated circuits
WO2005101468A1 (en) 2004-04-13 2005-10-27 Tokyo Electron Limited Rinse treatment method and development process method
JP4343018B2 (en) 2004-04-20 2009-10-14 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
CN1989455B (en) 2004-07-20 2011-12-21 西巴特殊化学品控股有限公司 Oxime derivatives and the use therof as latent acids
US20070184656A1 (en) 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
JP2007100191A (en) 2005-10-06 2007-04-19 Horiba Ltd Apparatus and method for forming monolayer
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
US8687274B2 (en) * 2005-10-31 2014-04-01 Kabushiki Kaisha Toshiba Short-wavelength polarizing elements and the manufacture and use thereof
EP1957282B1 (en) 2005-12-02 2013-04-10 Canon Kabushiki Kaisha Liquid discharge head producing method
FR2894715B1 (en) 2005-12-09 2008-02-22 Xbybus Soc Par Actions Simplif METHOD OF MANUFACTURING SILICON AND / OR GERMANIUM COMPONENT ON INSULATION
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
JP2007279493A (en) 2006-04-10 2007-10-25 Tokyo Ohka Kogyo Co Ltd Negative resist composition and resist pattern forming method
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP4673266B2 (en) 2006-08-03 2011-04-20 日本電信電話株式会社 Pattern forming method and mold
US7416834B2 (en) * 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7741015B2 (en) 2007-02-16 2010-06-22 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR101428820B1 (en) 2007-05-14 2014-08-08 마이크론 테크놀로지, 인크. Topography directed patterning
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR100876836B1 (en) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 Method for fabricating semiconductor device
JP2009038360A (en) * 2007-07-10 2009-02-19 Toshiba Corp Method of forming pattern
KR101291223B1 (en) 2007-08-09 2013-07-31 한국과학기술원 Method of forming fine pattern using block copolymer
JP2009051017A (en) * 2007-08-23 2009-03-12 Fujifilm Corp Photocurable composition for photo-nanoimprint lithography and manufacturing method of substrate with pattern
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8215074B2 (en) 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
WO2009099769A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Double mask self-aligned double patterning technology (sadpt) process
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
CN102015843B (en) 2008-05-09 2014-02-12 巴斯夫欧洲公司 Polymer encapsulated colourants by spray drying
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP5336283B2 (en) 2008-09-03 2013-11-06 信越化学工業株式会社 Pattern formation method
EP2166564B1 (en) 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP2010115832A (en) 2008-11-12 2010-05-27 Panasonic Corp Method for promoting self-formation of block copolymer and method for forming self-formation pattern of block copolymer using the method for promoting self-formation
JP5281386B2 (en) * 2008-12-22 2013-09-04 株式会社日立製作所 Polymer thin film, patterned medium, and production method thereof
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US20100193898A1 (en) 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
US20100200774A1 (en) 2009-02-09 2010-08-12 Tel Epion Inc. Multi-sequence film deposition and growth using gas cluster ion beam processing
JP5413081B2 (en) * 2009-02-18 2014-02-12 セイコーエプソン株式会社 Image display method and image display apparatus
JP5329265B2 (en) 2009-03-09 2013-10-30 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
JP5448536B2 (en) 2009-04-08 2014-03-19 東京エレクトロン株式会社 Resist coating and developing apparatus, resist coating and developing method, resist film processing apparatus and resist film processing method
JP5222805B2 (en) 2009-07-09 2013-06-26 パナソニック株式会社 Self-organized pattern forming method
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
EP2464300B1 (en) 2009-08-13 2014-08-27 Cork Institute Of Technology Intramedullary nails for long bone fracture setting
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
WO2011036778A1 (en) 2009-09-25 2011-03-31 株式会社 東芝 Pattern formation process
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US20110206905A1 (en) 2010-02-05 2011-08-25 The Governors Of The University Of Alberta Method for forming a block copolymer pattern
JP5003773B2 (en) 2010-02-15 2012-08-15 東京エレクトロン株式会社 Developing device, developing method, and storage medium
US9011978B2 (en) 2010-02-26 2015-04-21 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8338806B2 (en) 2010-05-05 2012-12-25 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
JP5296022B2 (en) 2010-08-09 2013-09-25 東京エレクトロン株式会社 Heat treatment method, recording medium recording program for executing heat treatment method, and heat treatment apparatus
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5171909B2 (en) 2010-09-16 2013-03-27 株式会社東芝 Method for forming fine pattern
JP5820676B2 (en) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Lower layer composition and method for imaging lower layer
JP2014505119A (en) 2010-11-24 2014-02-27 ダウ コーニング コーポレーション Control of block copolymer morphology
US10538859B2 (en) 2010-12-23 2020-01-21 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
JP5484373B2 (en) 2011-02-14 2014-05-07 東京エレクトロン株式会社 Pattern formation method
KR101891987B1 (en) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 Organic Light Emitting Device and Method for manufacturing the same
KR101964761B1 (en) 2011-06-23 2019-04-02 에이에스엠엘 네델란즈 비.브이. Self-assemblable polymer and method for use in lithography
NL2008951A (en) 2011-06-23 2013-01-02 Asml Netherlands Bv Self -assemblable polymer and methods for use in lithography.
KR101890425B1 (en) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 Composition for stripping a photoresist and method of manufacturing a display substrate using the same
NL2009002A (en) 2011-07-18 2013-01-21 Asml Netherlands Bv Method for providing a template for a self-assemblable polymer for use in device lithography.
JP2013041958A (en) 2011-08-15 2013-02-28 Hitachi Cable Ltd Printed wiring board and method for manufacturing the same
KR101999870B1 (en) 2011-09-15 2019-10-02 위스콘신 얼럼나이 리서어치 화운데이션 Directed assembly of block copolymer films between a chemically patterned surface and a second surface
US8691925B2 (en) * 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
KR20130034778A (en) 2011-09-29 2013-04-08 주식회사 동진쎄미켐 Method of forming fine pattern of semiconductor device using directed self assembly process
US8734662B2 (en) 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
CN103187245B (en) 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 Method of photoetching of block copolymer through directed self-assembly
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
JP5979660B2 (en) 2012-02-09 2016-08-24 東京応化工業株式会社 Contact hole pattern formation method
US9249013B2 (en) 2012-04-16 2016-02-02 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
US8900941B2 (en) 2012-05-02 2014-12-02 Globalfoundries Inc. Methods of forming spacers on FinFETs and other semiconductor devices
KR20130124861A (en) 2012-05-07 2013-11-15 삼성전자주식회사 Method of forming patterns
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
DE102012105384A1 (en) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off method useful in semiconductors and microsystems comprises providing semiconductor substrate, where structured photoresist layer is applied on semiconductor substrate and metal layer is applied on photoresist layer
JP5835123B2 (en) * 2012-06-21 2015-12-24 Jsr株式会社 Self-assembling composition for pattern formation and pattern forming method
KR102003334B1 (en) 2012-09-04 2019-07-24 삼성전자주식회사 Method of forming patterns
JP5764102B2 (en) 2012-09-05 2015-08-12 株式会社東芝 Pattern formation method
US9034197B2 (en) 2012-09-13 2015-05-19 HGST Netherlands B.V. Method for separately processing regions on a patterned medium
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
JP6088800B2 (en) 2012-11-07 2017-03-01 株式会社東芝 Pattern formation method
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials
US8790522B1 (en) 2013-02-11 2014-07-29 Globalfoundries Inc. Chemical and physical templates for forming patterns using directed self-assembly materials
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9377683B2 (en) 2013-03-22 2016-06-28 HGST Netherlands B.V. Imprint template with optically-detectable alignment marks and method for making using block copolymers
US8853085B1 (en) 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US8900467B1 (en) 2013-05-25 2014-12-02 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
JP2015023063A (en) 2013-07-16 2015-02-02 株式会社東芝 Pattern formation method and mask pattern data
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9053923B2 (en) 2013-11-05 2015-06-09 GlobalFoundries, Inc. Methods for fabricating integrated circuits including topographical features for directed self-assembly

Also Published As

Publication number Publication date
JP2016532311A (en) 2016-10-13
US10490402B2 (en) 2019-11-26
TWI631434B (en) 2018-08-01
KR20210040168A (en) 2021-04-12
KR20210040167A (en) 2021-04-12
TWI570516B (en) 2017-02-11
TW201523159A (en) 2015-06-16
WO2015034690A1 (en) 2015-03-12
KR102394998B1 (en) 2022-05-04
US20200066509A1 (en) 2020-02-27
KR102399752B1 (en) 2022-05-20
TW201708981A (en) 2017-03-01
JP2019062219A (en) 2019-04-18
US20150064917A1 (en) 2015-03-05
KR20160052616A (en) 2016-05-12
US11538684B2 (en) 2022-12-27
KR102394994B1 (en) 2022-05-04
JP6452136B2 (en) 2019-01-16

Similar Documents

Publication Publication Date Title
JP6691195B2 (en) UV-assisted stripping of cured photoresist to form a chemical template for guided self-assembly
US9005877B2 (en) Method of forming patterns using block copolymers and articles thereof
JP5542766B2 (en) Pattern formation method
JP6139011B2 (en) Minimization of neutral layer overcoat topography in guided self-organization applications
KR101350072B1 (en) Methods using block copolymer self-assembly for sub-lithographic patterning
TWI451478B (en) Methods of forming patterns on substrates
JP6045746B2 (en) Track processing to remove organic films in guided self-organized chemoepitaxy applications
JP6346115B2 (en) Pattern formation method
TW201044439A (en) Method for reducing tip-to-tip spacing between lines
US9991115B2 (en) Directed self-assembly using trench assisted chemoepitaxy
JP2014135435A (en) Semiconductor device manufacturing method
KR102160791B1 (en) Block copolymer and method of forming the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181205

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190924

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200310

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200409

R150 Certificate of patent or registration of utility model

Ref document number: 6691195

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250