JP4469791B2 - Optical element protection method and device manufacturing method - Google Patents

Optical element protection method and device manufacturing method Download PDF

Info

Publication number
JP4469791B2
JP4469791B2 JP2005376978A JP2005376978A JP4469791B2 JP 4469791 B2 JP4469791 B2 JP 4469791B2 JP 2005376978 A JP2005376978 A JP 2005376978A JP 2005376978 A JP2005376978 A JP 2005376978A JP 4469791 B2 JP4469791 B2 JP 4469791B2
Authority
JP
Japan
Prior art keywords
optical element
radiation
source
radiation source
particles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005376978A
Other languages
Japanese (ja)
Other versions
JP2006191082A (en
Inventor
ピーター バッケル レヴィヌス
ジェローン ピーター シュールマンス フランク
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2006191082A publication Critical patent/JP2006191082A/en
Application granted granted Critical
Publication of JP4469791B2 publication Critical patent/JP4469791B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、光学素子の保護方法、リソグラフィ装置、およびデバイス製造方法に関する。   The present invention relates to an optical element protection method, a lithographic apparatus, and a device manufacturing method.

リソグラフィ装置は、基板上に、通常は基板の目標部分上に、所望のパターンを付ける機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使うことができる。その場合、マスクまたはレチクルとも呼ぶ、パターニング装置を使ってこのICの個々の層上に作るべき回路パターンを創成してもよい。このパターンを基板(例えば、シリコンウエハ)上の目標部分(例えば、一つまたは幾つかのダイの一部を含む)に転写することができる。このパターンの転写は、典型的には基板上に設けた放射線感応材料(レジスト)の層への結像による。一般的に、単一基板が、順次パターン化する隣接目標部分のネットワークを含む。既知のリソグラフィ装置には、全パターンをこの目標部分上に一度に露光することによって各目標部分を照射する、ステッパと、このパターンを放射線ビームによって、与えられた方向(“走査”方向)に走査することによって各目標部分を照射し、一方、この基板をこの方向と平行または逆平行に同期して走査する、スキャナがある。このパターンを基板上に印写することによってこのパターンをパターニング装置から基板へ転写することも可能である。   A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that case, a patterning device, also referred to as a mask or reticle, may be used to create a circuit pattern to be created on an individual layer of the IC. This pattern can be transferred onto a target portion (eg including part of, one, or several dies) on a substrate (eg a silicon wafer). The transfer of this pattern is typically by imaging onto a layer of radiation sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. In known lithographic apparatus, a stepper irradiates each target portion by exposing the entire pattern onto the target portion at once, and the pattern is scanned by the radiation beam in a given direction ("scanning" direction). There are scanners that irradiate each target portion by scanning while scanning the substrate in parallel or antiparallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by printing the pattern on the substrate.

リソグラフィ装置で、基板上に結像できる形態のサイズは、投影放射線の波長によって制限される。高密度デバイスの集積回路を作り、従って高動作速度が必要な場合は、小さい形態を結像できることが望ましい。大抵の現代のリソグラフィ投影装置は、水銀灯またはエキシマレーザによって発生した紫外光線を使うが、例えば、13nm位の短い波長の放射線を使うことが提案されている。そのような放射線を超紫外線(EUV)または軟X線と呼び、可能性ある発生源には、例えば、レーザ励起プラズマ源、放電プラズマ源、または電子貯蔵リングからのシンクロトロン照射がある。   The size of features that can be imaged on a substrate in a lithographic apparatus is limited by the wavelength of the projection radiation. It is desirable to be able to image small features when making high density device integrated circuits and thus requiring high operating speeds. Most modern lithographic projection apparatus use ultraviolet light generated by mercury lamps or excimer lasers, but it has been proposed to use radiation with wavelengths as short as 13 nm, for example. Such radiation is referred to as extreme ultraviolet (EUV) or soft x-ray, and possible sources include, for example, laser-excited plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings.

EUV放射線源は、典型的にはプラズマ源、例えば、レーザ励起プラズマまたは放電源である。あらゆるプラズマ源の共通の特徴は、プラズマから全方向に放出される、高速イオンおよび原子である。これらの粒子は、表面が脆い、一般的に多層ミラーである、コレクタおよびコンデンサミラーに有害なことがある。この表面は、プラズマから放出された粒子の衝撃、またはスパッタリングのために次第に劣化しおよび従ってこれらのミラーの寿命が減少する。このスパッタリング効果は、特にコレクタミラーに問題が多い。このミラーの目的は、プラズマ源が全方向に放出した放射線を収集し、それを照明システムの他のミラーの方へ向けることである。このコレクタミラーは、プラズマ源の非常に近くに、且つそれと見通し内にあり、従ってこのプラズマから高速粒子の大きい流束を受ける。このシステムの他のミラーは、一般的に或る程度遮蔽してもよいので、一般的にこのプラズマから放出された粒子のスパッタリングによってそれ程損傷されない。   The EUV radiation source is typically a plasma source, such as a laser excited plasma or a discharge source. A common feature of all plasma sources is fast ions and atoms that are emitted from the plasma in all directions. These particles can be detrimental to collector and condenser mirrors, which are brittle in surface and are generally multilayer mirrors. This surface progressively deteriorates due to the impact of particles emitted from the plasma, or sputtering, and thus reduces the lifetime of these mirrors. This sputtering effect is particularly problematic for collector mirrors. The purpose of this mirror is to collect the radiation emitted by the plasma source in all directions and direct it towards other mirrors in the illumination system. This collector mirror is very close to and in line with the plasma source and thus receives a large flux of fast particles from this plasma. Other mirrors in this system may generally be shielded to some extent, so they are generally not significantly damaged by sputtering of particles emitted from this plasma.

残骸粒子によるコレクタミラーの損傷を防ぐため、例えば、特許文献1および特許文献2に記載してあるように、ガス障壁が提案されている。そのようなガス障壁の欠点は、高速粒子がこの障壁構造体のチャンネルを通過するだけでなく、粒子の一部がこのガス障壁と衝突し、それによって2次粒子を生じ、それが光学素子に堆積するかも知れないことである。この堆積は、光学素子を損傷し、それらの光学特性を低下するかも知れない。更に、そのような堆積は、通常除去が困難である。従って、この理由でこの問題に対応するために代替方法が必要である。
米国特許第6,756,912号明細書 米国特許第6,359,960号明細書
In order to prevent damage to the collector mirror due to debris particles, for example, as described in Patent Document 1 and Patent Document 2, a gas barrier has been proposed. The disadvantage of such a gas barrier is that not only the high speed particles pass through the channel of the barrier structure, but also some of the particles collide with the gas barrier, thereby producing secondary particles, which are in the optical element. It may be deposited. This deposition may damage the optical elements and degrade their optical properties. Moreover, such deposits are usually difficult to remove. Therefore, alternative methods are needed to address this problem for this reason.
US Pat. No. 6,756,912 US Pat. No. 6,359,960

本発明の一態様は、光学素子を保護するための方法を提供することである。本発明の更なる態様は、デバイス製造方法を提供することである。この発明のその上更なる態様は、リソグラフィ装置を提供することである。   One aspect of the present invention is to provide a method for protecting an optical element. A further aspect of the present invention is to provide a device manufacturing method. Yet a further aspect of the invention is to provide a lithographic apparatus.

本発明の第1実施例によれば、光学素子および放射線源を含むリソグラフィ装置の光学素子の保護方法であって、B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料を用意する工程、および、この放射線源に、使用中、この材料の少なくとも一部を除去させ、それによって堆積可能材料を設けるように、およびこの堆積可能材料の少なくとも一部がこの光学素子上に堆積するように、この材料を配置する工程を含む。   According to a first embodiment of the present invention, there is provided a method for protecting an optical element of a lithographic apparatus including an optical element and a radiation source, wherein one or more elements selected from B, C, Si, Ge and / or Sn are included. Providing a material comprising, and causing the radiation source to remove at least a portion of the material during use, thereby providing a depositable material, and at least a portion of the depositable material to the optical element Disposing the material to deposit thereon.

本発明の別の実施例によれば、放射線源、並びにガス障壁、支持体、装置壁、この放射線源の室の壁、および開口の縁から選択した一つ以上の部品を含むリソグラフィ装置用の光学素子の保護方法であって;この放射線源が、使用中、Snを含むプラズマを提供し、この方法がa)Snを含むプラズマを提供し、それによってこの一つ以上の部品上にSn堆積物を設ける工程;b)この装置に光学素子を設ける工程;および、c)Snを含むプラズマを提供し、それによってこの光学素子にSn堆積物を設ける工程を含む。   According to another embodiment of the invention, there is provided a radiation source and a lithographic apparatus comprising one or more parts selected from a gas barrier, a support, an apparatus wall, a chamber wall of the radiation source, and an edge of the opening. A method of protecting an optical element; wherein the radiation source provides a plasma containing Sn in use, the method providing a) a plasma containing Sn, thereby depositing Sn on the one or more components Providing an object; b) providing an optical element in the apparatus; and c) providing a plasma containing Sn thereby providing an Sn deposit on the optical element.

本発明の更に別の実施例によれば、デバイス製造方法が光学素子および放射線源を含むリソグラフィ装置を用意する工程;この放射線源が生成した放射線から放射線ビームを形成する工程;この放射線ビームをパターン化する工程;このパターン化した放射線ビームを基板の目標部分上に投影する工程;B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料を用意する工程;および、この線源に、使用中、この材料の少なくとも一部を除去させ、それによって堆積可能材料を設けるように、そして、この堆積可能材料の少なくとも一部がこの光学素子上に堆積するように、この材料を配置する工程を含む。   According to yet another embodiment of the invention, a device manufacturing method provides a lithographic apparatus including an optical element and a radiation source; forming a radiation beam from radiation generated by the radiation source; patterning the radiation beam Projecting the patterned beam of radiation onto a target portion of the substrate; providing a material comprising one or more elements selected from B, C, Si, Ge and / or Sn; and The source is allowed to remove at least a portion of the material during use, thereby providing a depositable material, and so that at least a portion of the depositable material is deposited on the optical element. Placing the material.

本発明の更に別の実施例によれば、リソグラフィ装置が放射線ビームを調整するように構成した照明システム;パターン化した放射線ビームを作るためにこの放射線ビームの断面にパターを与えるように構成したパターニング装置を支持するように構成した支持体;基板を保持するように構成した基板テーブル;このパターン化した放射線ビームをこの基板の目標部分上に投影するように構成し投影システム;光学素子;放射線源;ガス障壁、この支持体、装置壁、この放射線源の室の壁、電極、および開口の縁を含む、このリソグラフィ装置の一つ以上の部品で、B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む堆積物を有し、この堆積物の層厚が5〜200μmである部品を含む。   According to yet another embodiment of the invention, an illumination system in which the lithographic apparatus is configured to condition a radiation beam; patterning configured to provide a pattern in a section of the radiation beam to produce a patterned radiation beam. A support configured to support the apparatus; a substrate table configured to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate; an optical element; a radiation source One or more parts of the lithographic apparatus, including the gas barrier, the support, the apparatus wall, the walls of the radiation source chamber, the electrodes, and the edges of the opening, B, C, Si, Ge and / or Sn And a component having a deposit containing one or more elements selected from the above, wherein the deposit has a layer thickness of 5 to 200 μm.

次に、本発明の実施例を、例としてだけ、添付の概略図を参照して説明し、それらの図面で対応する参照記号は対応する部品を指す。   Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which corresponding reference symbols indicate corresponding parts, and in which:

本発明の一実施例では、この材料をリソグラフィ装置に配置したガス障壁の少なくとも一部へ供給する。別の実施例では、この材料を支持体、装置壁、放射線源の室の壁、および/またはリソグラフィ装置に配置した装置の縁の少なくとも一部へ供給する方法を提供する。その上更なる実施例では、この材料を放射線源の一つ以上の電極の少なくとも一部へ供給する方法を提供する。   In an embodiment of the invention, this material is supplied to at least a part of a gas barrier arranged in the lithographic apparatus. In another embodiment, a method is provided for supplying this material to a support, an apparatus wall, a wall of a radiation source chamber, and / or an edge of an apparatus disposed in a lithographic apparatus. In yet a further embodiment, a method is provided for supplying this material to at least a portion of one or more electrodes of a radiation source.

一実施例で、この放射線源は、Xe放射線源、Li放射線源、またはSn放射線源を含むプラズマ源である。そのような線源は、他の既知の線源も、放射線に加えて、粒子、例えば、イオン、電子、クラスタ、粒子、液体粒子、電極腐食等を生じる。その上更なる実施例では、この線源がレーザ励起プラズマまたは放電源である。更なる実施例では、この材料の少なくとも一部をリソグラフィ装置の部品、例えば、支持体、熱シールド、装置壁、放射線源の室の壁、装置の縁、ガス障壁等から放射線源からの粒子によって除去し、その場合これらの粒子は、約0.1keVと10keVの間の範囲内、例えば、1keV以上のエネルギーを有する。   In one embodiment, the radiation source is a plasma source including a Xe radiation source, a Li radiation source, or a Sn radiation source. Such sources, as well as other known sources, produce particles, such as ions, electrons, clusters, particles, liquid particles, electrode erosion, etc., in addition to radiation. In yet a further embodiment, the source is a laser excited plasma or a discharge source. In a further embodiment, at least a part of this material is transferred by particles from the radiation source from parts of the lithographic apparatus, for example from the support, heat shield, device wall, radiation source chamber wall, device edge, gas barrier, etc. Removing, in which case these particles have an energy in the range between about 0.1 keV and 10 keV, for example 1 keV or more.

この線源からの粒子は、リソグラフィ装置の部品の表面に当り、それによって他の粒子(即ち、2次粒子)を作る。しかし、本発明の材料に当ると、堆積可能材料(やはり2次粒子)を生じ、それが光学素子に堆積するかも知れず、それは、リソグラフィ装置の部品に粒子が当るために、このリソグラフィ装置に使う材料の種類に依って、Fe、Al、Cu、Mo、W、Ni、および/またはTiのような2次粒子が放出され、それが光学素子に堆積し、この光学素子からの除去が容易でない従来技術の方法と異なる。従って、その上更なる実施例では、この装置の一つ以上の部品に与えた材料の少なくとも一部を、放射線源からの粒子のこの材料との衝突、この材料の照射(例えば、レーザ融除に匹敵するまたは類似の)および/または熱エネルギーのこの材料への伝達を含む、一つ以上の方法によって除去する。この様にして、この材料の少なくとも一部の除去をこの装置の使用中に行う。例えば、Sn材料を電極に設けるとき、熱のために、Sn材料がこの電極から飛散し、それによって堆積可能な材料を作るかも知れない。これが光学素子および/またはこのリソグラフィ装置の他の部品に堆積するかも知れない。このリソグラフィ装置の他の部品に堆積すると、またはこの材料がこのリソグラフィ装置のそのような他の部品、例えば、ガス障壁に与えられると、例えば、線源からの粒子および/または2次粒子が次にこの堆積または材料の少なくとも一部を除去し、それによって光学素子への堆積を可能にするかも知れない。また、一つの方法または照射、熱エネルギー伝達等のような上に述べた他の方法の一つがこのリソグラフィ装置の部品の材料から堆積可能な材料を作るかも知れない。従って、以下の表に示すように、多数の堆積および再堆積の仕組みが考えられる:   Particles from this source strike the surface of the parts of the lithographic apparatus, thereby creating other particles (ie secondary particles). However, hitting the material of the present invention results in a depositable material (also secondary particles) that may be deposited on the optical element, which causes the lithographic apparatus to be exposed to particles hitting parts of the lithographic apparatus. Depending on the type of material used, secondary particles such as Fe, Al, Cu, Mo, W, Ni, and / or Ti are emitted, which deposit on the optical element and can be easily removed from this optical element Not different from the prior art methods. Thus, in yet a further embodiment, at least a portion of the material applied to one or more parts of the device may cause collisions of particles from a radiation source with the material, irradiation of the material (eg, laser ablation). Or similar) and / or transfer of thermal energy to this material. In this way, removal of at least a portion of this material occurs during use of the device. For example, when Sn material is applied to an electrode, due to heat, Sn material may scatter from this electrode, thereby creating a depositable material. This may deposit on the optical element and / or other parts of the lithographic apparatus. When deposited on other parts of the lithographic apparatus or when the material is applied to such other parts of the lithographic apparatus, for example a gas barrier, for example, particles from the source and / or secondary particles May remove at least a portion of this deposit or material, thereby allowing deposition on the optical element. Also, one method or one of the other methods described above, such as irradiation, thermal energy transfer, etc., may produce a depositable material from the material of the part of the lithographic apparatus. Thus, a number of deposition and redeposition mechanisms are possible, as shown in the following table:

Figure 0004469791
Figure 0004469791

Figure 0004469791
Figure 0004469791

リソグラフィ装置の部分というのは、ここでは例えば、ガス障壁、支持体、熱シールド、装置壁、放射線源の室の壁、および装置の縁であるが、電極ではない。電極上の材料が、もしあれば、最左欄に示す。 The parts * of the lithographic apparatus here are for example gas barriers, supports, heat shields, apparatus walls, radiation source chamber walls and apparatus edges, but not electrodes. The material on the electrode, if any, is shown in the leftmost column.

方法または仕組み(a1)は、線源がSn線源であり、Snを光学素子、例えば、コレクタミラーに堆積物として与える方法である。これは、1次プロセスである(ステップ(1)で示す)。例えば、線源からのSn材料が最初(1)リソグラフィ装置の一部分上に堆積し、この堆積物を次にこの線源からのSnおよび/または他の粒子によって少なくとも部分的に除去し(ステップ(2))、それによって光学素子上にSn堆積物をもたらすとき、高次プロセスも含まれる。この後者のプロセスは、この表に方法または仕組み(a2)として示す。これは、高次も含んでよいが、材料が最終的に光学素子に堆積する前にそれを数回堆積および除去してもよいので、2次プロセスである。   The method or mechanism (a1) is a method in which the radiation source is an Sn radiation source, and Sn is provided as a deposit on an optical element, for example, a collector mirror. This is a primary process (indicated by step (1)). For example, Sn material from a source is first deposited on a portion of (1) a lithographic apparatus, and this deposit is then at least partially removed by Sn and / or other particles from the source (step ( 2)), higher order processes are also included when it results in Sn deposits on the optical element. This latter process is shown in this table as method or mechanism (a2). This is a secondary process because it may include higher orders, but the material may be deposited and removed several times before finally depositing on the optical element.

その一変形で、この材料をリソグラフィ装置の一部分に設けることができる。例えば、Sn線源からの粒子またはその他の粒子(ステップ(1))によって、この材料の少なくとも一部を除去し(ステップ(2))、それによって光学素子上に堆積物をもたらす。これは、(a2b)として示す、2次プロセスである。この光学素子上に出来た堆積物は、この装置の部分上の材料に由来する材料(B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料)を含んでもよいが、線源からのSn((a1)および/または(a2)の仕組みによる)も含んでよい。   In one variant thereof, this material can be provided in a part of the lithographic apparatus. For example, particles from the Sn source or other particles (step (1)) remove at least a portion of this material (step (2)), thereby resulting in deposits on the optical element. This is a secondary process shown as (a2b). Deposits made on the optical element may include materials derived from materials on parts of the device (materials containing one or more elements selected from B, C, Si, Ge and / or Sn). May also include Sn from the source (according to the mechanism of (a1) and / or (a2)).

同じ三つの方法/仕組みが非Sn線源、例えば、XeまたはLi放電源に当てはまり、それらは電極上にSnを有してもよい(方法(b1)、(b2)および(b2b))。方法/仕組み(b1)は、線源が非Sn線源で、電極上にSnがあり、Snを堆積物として光学素子、例えば、コレクタミラーにもたらす方法/仕組みである。これは、1次プロセスである(ステップ(1)で示す)。例えば、電極からのSn材料(ステップ(1))がリソグラフィ装置の部分上に堆積し、この堆積物を次にこの線源からの粒子および/またはSnによって少なくとも部分的に除去し(ステップ(2))、それによって光学素子上にSn堆積物をもたらすとき、高次プロセスも含まれる。この後者のプロセスは、この表に方法(b2)として示す。これは、高次も含んでよいが、材料が最終的に光学素子に堆積する前にそれを数回堆積および除去してもよいので、2次プロセスである。   The same three methods / mechanisms apply to non-Sn sources, for example Xe or Li discharge sources, which may have Sn on the electrodes (methods (b1), (b2) and (b2b)). The method / mechanism (b1) is a method / mechanism in which the radiation source is a non-Sn radiation source, Sn is present on the electrode, and Sn is deposited on an optical element, for example, a collector mirror. This is a primary process (indicated by step (1)). For example, Sn material from the electrode (step (1)) is deposited on a portion of the lithographic apparatus, and this deposit is then at least partially removed by particles and / or Sn from the source (step (2). )), Higher order processes are also included when it results in Sn deposits on the optical element. This latter process is shown as method (b2) in this table. This is a secondary process because it may include higher orders, but the material may be deposited and removed several times before finally depositing on the optical element.

その一変形で、本発明による材料をリソグラフィ装置の部分に設けることができる。線源からの粒子(ステップ(1))によって、この材料の少なくとも一部を除去し(ステップ(2))、それによって光学素子上に堆積物をもたらす。これは、(b2b)として示す、2次プロセスである。方法(b2b)の結果としての光学素子上の堆積物は、この装置の部分上の材料に由来する材料(B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料)を含んでもよいが、線源の電極上の堆積物からのSn((b1)および/または(b2)の仕組みによる)も含んでよい。   In one variant, the material according to the invention can be provided in a part of the lithographic apparatus. Particles from the source (step (1)) remove at least a portion of this material (step (2)), thereby creating a deposit on the optical element. This is a secondary process shown as (b2b). The deposit on the optical element as a result of method (b2b) is a material derived from the material on the part of the device (a material comprising one or more elements selected from B, C, Si, Ge and / or Sn) ), But also Sn (according to the mechanism of (b1) and / or (b2)) from deposits on the source electrode.

更に、同じ三つの方法/仕組みが電極上の非Sn材料を含んでもよい、非Sn線源にも当てはまる。方法(c1)は、線源が非Sn線源で、電極上に非Sn(即ち、B、C、Siおよび/またはGe)があり、この材料を堆積物として光学素子、例えば、コレクタミラーにもたらす方法である。これは、1次プロセスである(ステップ(1)で示す)。例えば、電極からの非Sn材料(ステップ(1))がリソグラフィ装置の一部分上に堆積し、この堆積物を次にこの線源からの粒子および/または線源の電極からの非Snによって少なくとも部分的に除去し(ステップ(2))、それによって光学素子上にB、C、Siおよび/またはGeを含む非Sn堆積物をもたらすとき、高次プロセスも含まれる。この後者のプロセスは、この表に方法(c2)として示す。これは、高次も含んでよいが、材料が最終的に光学素子に堆積する前にそれを数回堆積および除去してもよいので、2次プロセスである。   Furthermore, the same three methods / mechanisms also apply to non-Sn sources, which may include non-Sn materials on the electrodes. In the method (c1), the source is a non-Sn source, and there is non-Sn (ie, B, C, Si and / or Ge) on the electrode, and this material is deposited as an optical element, for example, a collector mirror. Is a way to bring. This is a primary process (indicated by step (1)). For example, non-Sn material from the electrode (step (1)) is deposited on a portion of the lithographic apparatus, and this deposit is then at least partially by particles from the source and / or non-Sn from the source electrode. Higher order processes are also included when they are removed (step (2)), thereby resulting in a non-Sn deposit comprising B, C, Si and / or Ge on the optical element. This latter process is shown as method (c2) in this table. This is a secondary process because it may include higher orders, but the material may be deposited and removed several times before finally depositing on the optical element.

その一変形で、本発明による材料をリソグラフィ装置の部分に設けることができる。線源からの粒子(ステップ(1))によって、この材料の少なくとも一部を除去し(ステップ(2))、それによって光学素子上に堆積物をもたらす。これは、(c2b)として示す、2次プロセスである。方法(c2b)の結果としての光学素子上の堆積物は、この装置の部分上の材料に由来する材料(B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料)を含んでもよいが、線源からの非Sn材料(方法(c2)による)も含んでよい。   In one variant, the material according to the invention can be provided in a part of the lithographic apparatus. Particles from the source (step (1)) remove at least a portion of this material (step (2)), thereby creating a deposit on the optical element. This is a secondary process shown as (c2b). The deposit on the optical element as a result of method (c2b) is a material derived from the material on the part of the device (a material comprising one or more elements selected from B, C, Si, Ge and / or Sn) ), But also non-Sn materials from the source (according to method (c2)).

もう一つの方法または仕組みは、(d)で、線源が非Sn線源、例えば、LiまたはXe線源で、電極上には本発明による材料が何もないが、この装置の一部分上にはこの材料がある。線源からの粒子が材料の少なくとも一部分を除去し、それによって光学素子に2次プロセスで材料をもたらす。一実施例では、この方法をレーザ励起プラズマ源と組合わせて使う。   Another method or arrangement is (d) where the source is a non-Sn source, for example a Li or Xe source and there is no material according to the invention on the electrode, but on a part of this device. Has this material. Particles from the source remove at least a portion of the material, thereby providing the optical element with a secondary process. In one embodiment, this method is used in combination with a laser excited plasma source.

方法(a2)、(b2)および(c2)は、再堆積法を含み、方法(a2b)、(b2b)および(c2b)は、再堆積を含んでもよい。更に、方法(d)は、再堆積を含んでもよい(この装置の一部分上の材料の一部が最初にこの装置の他の部分上に堆積するとき)。望ましい方法/仕組みには、(a2)、(a2b)、(b1)、(b2)、(b2b)、(c1)、(c2)、(c2b)および(d)がある。更に望ましい方法には、(a2)、(a2b)、(b2)、(b2b)、(c2)、(c2b)および(d)がある。更に一層望ましい方法には、(a2b)、(b2b)、(c2b)および(d)があり、それらは、リソグラフィ装置を使う前に材料をこのリソグラフィ装置の一部に設け且つ2次方法を含む方法である。この材料を含むリソグラフィ装置の部分は、ガス障壁を含むのが望ましい。   Methods (a2), (b2), and (c2) include redeposition methods, and methods (a2b), (b2b), and (c2b) may include redeposition. Further, method (d) may include redeposition (when some of the material on one part of the device is first deposited on the other part of the device). Preferred methods / structures include (a2), (a2b), (b1), (b2), (b2b), (c1), (c2), (c2b) and (d). Further desirable methods include (a2), (a2b), (b2), (b2b), (c2), (c2b) and (d). Even more desirable methods include (a2b), (b2b), (c2b), and (d), which provide material to a portion of the lithographic apparatus prior to use of the lithographic apparatus and includes secondary methods Is the method. The portion of the lithographic apparatus that includes this material preferably includes a gas barrier.

仕組み(a1)、(a2)、(a2b)、(b1)、(b2)、(b2b)の一つ以上が、SnをSn線源に設ける、次の実施例、例えば、Snを一つ以上のSn電極に設ける実施例の方法に当てはまること、および仕組み(a1)、(a2)、(a2b)、(c1)、(c2)、(c2b)の一つ以上が、非Sn材料をSn線源に設ける方法、例えば、B、C、Siおよび/またはGeを一つ以上のSn電極に設ける実施例の方法に当てはまることを理解すべきである。   One or more of the mechanisms (a1), (a2), (a2b), (b1), (b2), (b2b) provide Sn in the Sn source, for example, one or more Sn One or more of the mechanisms (a1), (a2), (a2b), (c1), (c2), (c2b) are applied to the method of the embodiment provided on the Sn electrode of the non-Sn material. It should be understood that this applies to the method of providing the source, for example the method of providing B, C, Si and / or Ge on one or more Sn electrodes.

一実施例では、この材料を濡らし、被覆および/または含浸によって設ける。例えば、ガス障壁のような、装置の部分をSnを含む溶液または懸濁液、例えば、硝酸第二錫または塩化第二錫のようなSn塩を含む溶液で塗被し、それによってこの装置の部分上にSnを含む膜または被覆を設けてもよい。その上更なる実施例では、Snをこの装置の部分(例えば、熱シールド、ガス障壁、支持体、装置壁、放射線源の室の壁、電極、および/または装置の縁)に、この部分、またはこの部分の一つ以上の部品を溶融Snの中に浸漬することによって設けてもよい。本発明の更にもう一つの実施例によれば、B、C、Si、Geおよび/またはSnをこの装置の部分に化学蒸着によって設ける。これは、この装置の組立前または組立後に行うことができ、参考までにここに援用する、米国特許出願第10/956,344号に記載してあるように、使用中に行うことさえできる。   In one embodiment, the material is wetted and provided by coating and / or impregnation. For example, a part of the device, such as a gas barrier, is coated with a solution or suspension containing Sn, for example a solution containing a Sn salt such as stannic nitrate or stannic chloride, thereby A film or coating containing Sn may be provided on the portion. In still further embodiments, Sn is applied to a portion of the device (eg, heat shield, gas barrier, support, device wall, radiation source chamber wall, electrode, and / or device edge). Alternatively, one or more parts of this part may be provided by immersing in molten Sn. According to yet another embodiment of the invention, B, C, Si, Ge and / or Sn are provided by chemical vapor deposition on the part of the apparatus. This can be done before or after assembly of the device, and even during use, as described in US patent application Ser. No. 10 / 956,344, incorporated herein by reference.

更に、この装置の部分は、少なくとも一部が多孔質である表面、例えば、多孔質W層または多孔質Mo層を含む表面層を備えるガス障壁を有してもよい。そのような多孔質構造体は、濡らし、塗被および/または含浸のような方法に望ましいかも知れない。別の実施例では、この材料を金属、例えば、Snによる濡らしによって設ける。しかし、1)光学素子への堆積後、この光学素子から水素またはハロゲンによって少なくとも部分的に除去でき、および望ましくは2)濡らし得る任意の他の材料も使ってよい。従って、一実施例では、使用する材料が濡らし得る材料を含む。別の実施例では、この材料を設けるこのリソグラフィ装置の部分を濡らしを可能にする温度で使用する。別の実施例では、このリソグラフィ装置の部品の表面または光学素子の表面を濡らし得る元素または化合物がこの表面全体に亘って濡れるように加熱する。別の実施例では、このリソグラフィ装置の部品の表面または光学素子の表面を、例えば、冷却素子および/または加熱素子によって制御する。   Furthermore, the part of the device may have a gas barrier comprising a surface that is at least partially porous, for example a surface layer comprising a porous W layer or a porous Mo layer. Such a porous structure may be desirable for methods such as wetting, coating and / or impregnation. In another embodiment, the material is provided by wetting with a metal, for example Sn. However, any other material that can be removed 1) at least partially by hydrogen or halogen after deposition on the optical element, and desirably 2) wettable may be used. Thus, in one embodiment, the material used includes a wettable material. In another embodiment, the portion of the lithographic apparatus that provides the material is used at a temperature that allows wetting. In another embodiment, the element or compound that can wet the surface of the part of the lithographic apparatus or the surface of the optical element is heated so that it wets over the entire surface. In another embodiment, the surface of the part of the lithographic apparatus or the surface of the optical element is controlled, for example, by a cooling element and / or a heating element.

別の実施例では、この材料をリソグラフィ装置の一つ以上の部品(例えば、ガス障壁)または電極に設ける前に、密着性および/または湿潤性改善材料を含む層を設ける。例えば、ガス障壁上のSn層の形成を改善するために、このガス障壁は、その表面に少なくとも部分的にCu層を設けてもよい。   In another embodiment, a layer comprising an adhesion and / or wettability improving material is provided before applying this material to one or more parts (eg, gas barrier) or electrodes of the lithographic apparatus. For example, in order to improve the formation of the Sn layer on the gas barrier, the gas barrier may be at least partially provided with a Cu layer on its surface.

本発明の別の実施例によれば、この光学素子には、ミラー、格子、レチクルおよび/またはセンサ、例えば、コレクタミラーがある。一実施例では、例えば、Snを使うとき、この光学素子、例えば、コレクタミラーの温度をこの材料の濡らしが可能になるように調整することが出来る(上記も参照)。   According to another embodiment of the invention, the optical element includes a mirror, a grating, a reticle and / or a sensor, such as a collector mirror. In one embodiment, for example, when using Sn, the temperature of the optical element, eg, the collector mirror, can be adjusted to allow wetting of the material (see also above).

別の実施例によれば、放射線源、ガス障壁を含む部分、支持体、装置壁、放射線源の室の壁、および/または装置の縁を含むリソグラフィ装置用の光学素子を保護するための方法で;この放射線源が、使用中ならば、Snを含むプラズマを出し;この方法がa)Snを含むプラズマを出し、それによってこのリソグラフィ装置の一つ以上の部品上にSn堆積物を設ける工程;b)この光学素子をこの装置に提供する工程;c)Snを含むプラズマを提供し、それによってこの光学素子上にSn堆積物を設ける工程を含む。   According to another embodiment, a method for protecting an optical element for a lithographic apparatus comprising a radiation source, a part comprising a gas barrier, a support, an apparatus wall, a wall of a radiation source chamber, and / or an edge of the apparatus If the radiation source is in use, emit a plasma containing Sn; the method a) emitting a plasma containing Sn, thereby providing an Sn deposit on one or more parts of the lithographic apparatus B) providing the optical element to the apparatus; c) providing a plasma containing Sn, thereby providing a Sn deposit on the optical element.

ここで、(a)ミラー、格子、レチクルおよびセンサのような、光学素子がないときに、この線源は、最初にSnをリソグラフィ装置の一つ以上の部品に設ける。これによって、Sn堆積物(上に説明したような材料)がこのリソグラフィ装置の一つ以上の部品に設けられる。その後、(b)この光学素子をこのリソグラフィ装置に提供する。次に、(c)この堆積物または材料を使用中にこのリソグラフィ装置の部品から少なくとも部分的に除去し且つ光学素子上に堆積する。従って、手順a)中に得たリソグラフィ装置の一つ以上の部品上のSn堆積物の少なくとも一部を手順c)中に放射線源からの粒子とリソグラフィ装置の一つ以上の部品上のSn堆積物との衝突によって、または上に述べた他の仕組みの一つ、例えば、照射、加熱等によって、除去する。この方法は、方法(a2)、(a2b)、(b2)および(b2b)で使ってもよい。そのような実施例では、最初にSn線源は、光学素子がないときにリソグラフィ装置の部分に材料を提供し(1次プロセスだけを行う)、次に(Snの十分な層が出来てから)、光学素子を提供し、するとそれが、実質的に2次プロセスにあり、堆積物を受ける。しかし、直接堆積を排除できないので、1次プロセスも受けるかも知れない。   Here, (a) when there are no optical elements, such as mirrors, gratings, reticles and sensors, this source initially provides Sn in one or more parts of the lithographic apparatus. This provides Sn deposits (materials as described above) on one or more parts of the lithographic apparatus. Then, (b) providing the optical element to the lithographic apparatus. (C) The deposit or material is then at least partially removed from the parts of the lithographic apparatus during use and deposited on the optical element. Thus, at least part of the Sn deposit on one or more parts of the lithographic apparatus obtained during step a) is deposited on the one or more parts of the lithographic apparatus with particles from the radiation source during step c). It is removed by collision with an object or by one of the other mechanisms described above, such as irradiation, heating or the like. This method may be used in methods (a2), (a2b), (b2) and (b2b). In such an embodiment, the Sn source first provides material to the part of the lithographic apparatus in the absence of optical elements (only the primary process is performed) and then (after a sufficient layer of Sn has been created). ) Providing an optical element, which is substantially in a secondary process and receiving deposits. However, since direct deposition cannot be excluded, it may also undergo a primary process.

更に別の実施例によれば、リソグラフィ装置の上述のような部品の一つ以上に、放射線ビームがこれらの部品の一つ以上の表面に入射していて、堆積層(即ち、材料)が少なくとも設けられる。この層の材料を含む、この材料は、BN、SiC、Si、GeC、Geを含む層およびSi、Geおよび/またはSnの酸化物および/または窒化物を含む層、並びにB、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む化合物を含む合金を含む層のような、B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む化合物または多数の化合物を含んでもよい。一実施例で、この層は、一つ以上の元素を少なくとも約20wt%、例えば、20〜100wt%、望ましくはこの層の少なくとも約35wt%、例えば、35〜100wt%含む。単元素層または窒化物層は、酸素不純物を含むかも知れない。その上更なる実施例では、B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料を備えるリソグラフィ装置の部分が線源から直接放射線を受けるその表面の約20〜100%、望ましくはその表面の約40〜100%にこの材料を含む。別の実施例で、この層の厚さは、約5〜200μmの間、例えば、約10〜100μmの間である。別の実施例では、本発明による材料を備え、この線源から直接放射線を受ける(即ち、このプラズマ源と通視線内の)その表面の20〜100%、望ましくはその表面の約40〜100%を含む、この装置のこの部分は、この線源から直接放射線を受けるその表面の20〜100%、望ましくは約40〜100%に亘って約5〜200μmの間の上述の層厚を有する。 According to yet another embodiment, one or more of the above-described components of the lithographic apparatus has a radiation beam incident on one or more surfaces of these components and the deposited layer (ie, material) is at least Provided. The material comprising the material of this layer comprises a layer comprising BN, SiC, Si 3 N 4 , GeC, Ge 3 N 4 and a layer comprising an oxide and / or nitride of Si, Ge and / or Sn, and One or more selected from B, C, Si, Ge and / or Sn, such as a layer comprising an alloy comprising a compound comprising one or more elements selected from B, C, Si, Ge and / or Sn A compound containing an element or a number of compounds may be included. In one example, the layer includes at least about 20 wt%, such as 20-100 wt%, desirably at least about 35 wt% of the layer, such as 35-100 wt%, of one or more elements. The single element layer or nitride layer may contain oxygen impurities. In yet a further embodiment, the portion of the lithographic apparatus comprising a material comprising one or more elements selected from B, C, Si, Ge and / or Sn is approximately 20 to 100%, preferably about 40-100% of its surface, contains this material. In another example, the thickness of this layer is between about 5-200 μm, for example, between about 10-100 μm. In another embodiment, comprising a material according to the invention and receiving radiation directly from the source (ie within the line of sight with the plasma source), preferably 20-100% of the surface, preferably about 40-100 of the surface. This part of the device, which comprises%, has the above-mentioned layer thickness of between about 5 and 200 μm over 20 to 100%, preferably about 40 to 100% of its surface receiving radiation directly from the source .

一実施例で、線源の一つ以上の電極に材料が与えられる場合、この材料は、プラズマの材料を含まない。別の実施例で、この材料が電極に与えられる場合、この材料は、Snを含まない(即ち、非Snが電極に与えられ、その場合、この電極およびこの電極を使う装置は、非Snプラズマ、例えば、XeまたはLiプラズマを提供するように設計してある)。
この発明のその上更なる実施例では、光学素子が、装置で使う前に、保護層、例えば、Snを含む保護層を含む。これは、約1nm〜100nmの層でもよい。その上更なる実施例で、この層は、約2〜20nmである。別の実施例で、この層は、層の厚さが約5〜20nm、例えば、5〜10nmである。
本発明のもう一つの実施例では、リソグラフィ装置が放射線ビームを調節するように構成した照明システム;パターン化した放射線ビームを作るためにこの放射線のビームの断面にパターンを与えるように構成したパターニング装置を支持するように構成した支持体;基板を保持するように構成した基板テーブル;このパターン化したビームを基板の目標部分上に投影するためように構成した投影システム;光学素子;放射線源;B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む堆積物を有する、ガス障壁、この支持体、装置壁、この放射線源の室の壁、および/または装置の縁から選択したこのリソグラフィ装置の一部を含み;この堆積物は、層厚が5〜200μmである。その上、電極がそのような堆積層を含んでもよい。
In one embodiment, if material is provided to one or more electrodes of the source, this material does not include plasma material. In another embodiment, when this material is applied to an electrode, the material does not contain Sn (ie, non-Sn is applied to the electrode, in which case the electrode and the device using this electrode are non-Sn plasmas). Designed to provide, for example, Xe or Li plasma).
In yet a further embodiment of the invention, the optical element includes a protective layer, eg, a protective layer comprising Sn, prior to use in the device. This may be a layer of about 1 nm to 100 nm. In yet a further embodiment, this layer is about 2-20 nm. In another embodiment, the layer has a layer thickness of about 5-20 nm, such as 5-10 nm.
In another embodiment of the invention, an illumination system in which the lithographic apparatus is configured to condition a radiation beam; a patterning device configured to pattern a cross section of the beam of radiation to produce a patterned radiation beam A substrate table configured to hold a substrate; a projection system configured to project the patterned beam onto a target portion of the substrate; an optical element; a radiation source; From a gas barrier, the support, the device wall, the wall of the radiation source chamber, and / or the edge of the device having a deposit comprising one or more elements selected from C, Si, Ge and / or Sn Including a portion of the selected lithographic apparatus; the deposit has a layer thickness of 5 to 200 μm. In addition, the electrode may include such a deposited layer.

一実施例で、この光学素子は、ミラー、格子、レチクルおよび/またはセンサ、例えば、コレクタミラーである。別の実施例によれば、この装置は、EUVリソグラフィ用リソグラフィ装置である。   In one example, the optical element is a mirror, grating, reticle and / or sensor, eg, a collector mirror. According to another embodiment, the apparatus is a lithographic apparatus for EUV lithography.

この光学素子上の保護層は、参考までにここに援用する、米国特許出願第10/956,344号に記載してあるように、原子水素またはハロゲンガスまたはその組合せを用意することによって除去できる。従って、その上更なる実施例では、使用する材料を、光学素子上への堆積後、水素またはハロゲンによってこの光学素子から少なくとも部分的に除去することが出来る。   The protective layer on this optical element can be removed by providing atomic hydrogen or halogen gas or combinations thereof, as described in US patent application Ser. No. 10 / 956,344, incorporated herein by reference. . Thus, in yet further embodiments, the material used can be at least partially removed from the optical element by hydrogen or halogen after deposition on the optical element.

本発明の文脈で、“光学素子”は、光学フィルタ、光学格子、ミラー、例えば多層ミラー、斜入射ミラー、直角入射ミラー、コレクタミラー(例えば、参考までにここに援用する、米国特許出願公開第2004/0094724A1号に記載してあり、且つ図3および図4に示すような)等、レンズ、レチクル、ダイオード、センサ、例えば強度測定センサ、エネルギーセンサ、CCDセンサ、光学整列センサのような整列センサ、ガス障壁(例えば、参考までにここに援用する、米国特許第6,614,505号、同第6,359,969号および同第6,576,912号に記載してあるような)等から選択した一つ以上の素子を含む。ガス障壁は、汚染物質フィルタ、残骸フィルタ、残骸抑制手段、箔トラップ等とも呼ぶ。フィルタ、格子、ミラーまたはレンズのような、光学素子は、平坦でも湾曲していてもよく、また層、箔、デバイス等として存在してもよい。本発明の一実施例で、光学フィルタ、光学格子、ミラー、例えば多層ミラー、斜入射ミラー、直角入射ミラー、コレクタミラー、レンズ等は、例えば、所定の波長λ(5〜20nm、即ち、EUV放射線、例えば、約13.5nm;248nm;193nm;157nm;または126nm等のような)の放射線に対して、ブレーズまたは最適化してもよい。それらは、また例えば、レンズの場合、波長λの放射線に対して透過性であってもよく、または、例えば、ミラーの場合、反射性であってもよく、または、例えば、格子の場合、回折性であってもよい。ある光学素子は、これらの光学効果の一つ以上を備えるかも知れない、例えば、参考までにここに援用する、ヨーロッパ特許出願第03077850号参照。ここで使用する“放射線”および“ビーム”という用語は、紫外(UV)放射線(例えば、365、248、193、157または126nmの波長λを有する)および超紫外(EUVまたは軟X線)放射線(例えば、5〜20nmの範囲の波長を有する)、並びにイオンビームまたは電子ビームのような、粒子ビームを含むあらゆる種類の電磁放射線を包含する。一般的に、約780〜3000nm(またはそれ以上)の間の波長を有する放射線は、IR放射線と考えられる。UVは、大体100〜400nmの波長を有する放射線を指す。リソグラフィでは、それを通常水銀放電灯によって作れる波長:G線436nm;H線405nm;I線365nmにも適用する。VUVは、真空UV(即ち、空気によって吸収されたUV)で、大体100〜200nmの波長を指す。DUVは、深UVで、通常リソグラフィでは、126nm〜248nmのような、エキシマレーザによって作る波長用に使う。例えば、5〜20nmの範囲内の波長を有する放射線は、その少なくとも一部が5〜20nmの範囲内にある、或る波長帯域幅を有する放射線を指すことを理解すべきである。   In the context of the present invention, an “optical element” is an optical filter, an optical grating, a mirror such as a multilayer mirror, a grazing incidence mirror, a normal incidence mirror, a collector mirror (eg, US Pat. Alignment sensors such as lenses, reticles, diodes, sensors, eg intensity measuring sensors, energy sensors, CCD sensors, optical alignment sensors, etc., as described in 2004 / 0094724A1 and as shown in FIGS. Gas barriers (eg, as described in US Pat. Nos. 6,614,505, 6,359,969, and 6,576,912, incorporated herein by reference), etc. One or more elements selected from: The gas barrier is also called a contaminant filter, a debris filter, a debris suppression means, a foil trap, or the like. Optical elements, such as filters, gratings, mirrors or lenses, may be flat or curved and may exist as layers, foils, devices, and the like. In one embodiment of the present invention, the optical filter, optical grating, mirror, such as multilayer mirror, grazing incidence mirror, normal incidence mirror, collector mirror, lens, etc. may have a predetermined wavelength λ (5-20 nm, ie EUV radiation). For example, about 13.5 nm; 248 nm; 193 nm; 157 nm; or 126 nm, etc.). They may also be transparent to radiation of wavelength λ, for example in the case of lenses, or reflective, for example in the case of mirrors, or diffracted, for example in the case of gratings. May be sex. Certain optical elements may have one or more of these optical effects, see, for example, European Patent Application No. 03077850, incorporated herein by reference. As used herein, the terms “radiation” and “beam” refer to ultraviolet (UV) radiation (eg, having a wavelength λ of 365, 248, 193, 157 or 126 nm) and extreme ultraviolet (EUV or soft x-ray) radiation ( Including all types of electromagnetic radiation including particle beams, such as ion beams or electron beams. In general, radiation having a wavelength between about 780 and 3000 nm (or greater) is considered IR radiation. UV refers to radiation having a wavelength of approximately 100-400 nm. In lithography, it is also applied to the wavelengths that can usually be produced by mercury discharge lamps: G-line 436 nm; H-line 405 nm; I-line 365 nm. VUV is vacuum UV (i.e., UV absorbed by air) and refers to wavelengths of approximately 100-200 nm. DUV is used for wavelengths produced by excimer lasers, such as 126 nm to 248 nm in deep UV, usually in lithography. For example, it should be understood that radiation having a wavelength in the range of 5-20 nm refers to radiation having a certain wavelength bandwidth, at least a portion of which is in the range of 5-20 nm.

ここで使用する“層”という用語は、理解すべきである通り、他の層および/または真空(使用中)のような他の媒体との一つ以上の境界面を有する層を記述するかも知れない。しかし、“層”が構造体の一部も意味するかも知れないことを理解すべきである。この“層”という用語は、多数の層も指すかも知れない。これらの層は、例えば、互いに隣合いまたは互いに重なり合い等することが出来る。それらは、一つの材料または材料の組合せも含むかも知れない。ここで使用する“層”という用語は、連続または不連続層を記述するかも知れないことも注意すべきである。本発明で、“材料”という用語も材料の組合せと解釈してよい。材料は、装置壁に提供した材料を含む堆積物のように、既存の表面上への追加の材料を指す。動作状態中、この材料は、固体(例えば、Si被覆)または液体(例えば、Sn濡れ可能材料)である。“堆積物”という用語は、ここでは、当業者が知っているように、表面(例えば、光学素子の表面)に化学的または物理的に付けた材料を指す。そのような堆積物は、層でもよいが、多層構造体も含むかも知れない。この堆積物は、再堆積生成物または蒸発生成物も含んでよい。材料は、堆積物として設けることができる。“B、C、Si、Geおよび/またはSnから選択した一つ以上の元素”という語句の中の“元素”という用語は、ここでは当業者が知っているように、これらの元素を含む、若しくはそのような元素を含む分子を含む、若しくはこれらの元素を含む化合物(Si酸化物、Si炭化物、Sn塩、Sn酸化物等)を含む、材料、堆積物または再堆積物、またはそれらの組合せを指す。“B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む堆積物”という句は、原子B、C、Si、GeおよびSnおよびその組合せを含む単層または多層、例えば、金属Snを含む単層または多層を指すかも知れない。   As used herein, the term “layer” may describe a layer that has one or more interfaces with other layers and / or other media, such as a vacuum (in use), as should be understood. I don't know. However, it should be understood that “layer” may also mean part of a structure. The term “layer” may also refer to a number of layers. These layers can be, for example, next to each other or overlap each other. They may also include a single material or combination of materials. It should also be noted that the term “layer” as used herein may describe a continuous or discontinuous layer. In the present invention, the term “material” may also be interpreted as a combination of materials. Material refers to additional material on an existing surface, such as a deposit containing material provided to the device wall. During the operating state, this material is solid (eg, Si coating) or liquid (eg, Sn wettable material). The term “deposit” herein refers to a material that is chemically or physically attached to a surface (eg, the surface of an optical element), as is known to those skilled in the art. Such deposits may be layers, but may also include multilayer structures. This deposit may also include redeposited products or evaporated products. The material can be provided as a deposit. The term “element” in the phrase “one or more elements selected from B, C, Si, Ge and / or Sn” as used herein, as known to those skilled in the art, includes these elements, Or a material, deposit or redeposit, or a combination thereof, containing molecules containing such elements, or containing compounds containing these elements (Si oxide, Si carbide, Sn salt, Sn oxide, etc.) Point to. The phrase “a deposit comprising one or more elements selected from B, C, Si, Ge and / or Sn” refers to a single layer or multiple layers comprising atoms B, C, Si, Ge and Sn and combinations thereof, for example May refer to a single layer or multiple layers containing metal Sn.

“堆積可能材料”という用語は、B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料で、(i)電極から放出されたか、および/または(ii)プラズマから来たかおよび/または(iii)リソグラフィ装置の一部上の材料から、例えば、電極からの粒子によるか、または熱照射、または線源による照射(融除)のような別の仕組みによって除去され、且つ光学素子上に堆積できるものを指す。一般的に、それは、本発明に従って、堆積物を作り得る材料であり、表面から粒子として放出されるもので、それによって堆積可能材料(粒子、元素粒子)、即ち、光学素子上に堆積できる材料を作る。“B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料”という句は、化合物(例えば、Si酸化物、Sn酸化物)、炭化物、金属およびB、C、Si、Geおよび/またはSnの元素を指すが、これらの元素の一つ以上の合金、例えば、Cu−Sn合金も指すかも知れない。ここで“Sn材料”は、リソグラフィ装置で使うためのSnプラズマを用意するために使う電極の短縮表記法として使う。ここで“Sn材料”は、Snを含む材料の定義として使う。そのような材料は、例えば、Si、B、Geおよび/またはCを含む、他の元素も含むかも知れないが、少なくともSnを含む。“非Sn電極”という用語は、非Snプラズマ、例えば、LiまたはXeプラズマを創出するために使う電極を指す。それにも拘らず、そのような電極は、このプラズマも、例えば、この電極からのSn材料の熱誘発放出のために、Sn粒子を含むように、Sn含有材料で被覆、濡らしまたは含浸してもよい。“非Sn材料”という用語は、実質的にSnを含まない(<1wt%)材料を指す。   The term “depositable material” is a material that includes one or more elements selected from B, C, Si, Ge and / or Sn and is (i) emitted from an electrode and / or (ii) from a plasma. And / or (iii) removed from the material on the part of the lithographic apparatus, for example by particles from the electrodes or by another mechanism such as thermal irradiation or irradiation (ablation) by a radiation source, And what can be deposited on an optical element. In general, it is a material that can form a deposit according to the present invention, that is emitted as particles from the surface, thereby depositable material (particles, elemental particles), ie a material that can be deposited on an optical element make. The phrase “material comprising one or more elements selected from B, C, Si, Ge and / or Sn” refers to compounds (eg, Si oxide, Sn oxide), carbides, metals and B, C, Si , Ge and / or Sn elements, but may also refer to one or more alloys of these elements, such as Cu-Sn alloys. Here, “Sn material” is used as a shorthand notation for electrodes used to prepare Sn plasma for use in a lithographic apparatus. Here, “Sn material” is used as a definition of a material containing Sn. Such a material includes at least Sn, although it may also include other elements including, for example, Si, B, Ge and / or C. The term “non-Sn electrode” refers to an electrode used to create a non-Sn plasma, eg, a Li or Xe plasma. Nevertheless, such an electrode can be coated, wetted or impregnated with a Sn-containing material so that the plasma also contains Sn particles, eg, for thermally induced release of Sn material from the electrode. Good. The term “non-Sn material” refers to a material that is substantially free of Sn (<1 wt%).

“1次”プロセスまたは仕組みという用語は、電極からまたはプラズマから放出された材料が、他の場所に堆積せずに、光学素子の上に堆積するプロセスを指す。リソグラフィ装置の部品上に堆積し、線源からの粒子によって解放され、次に光学素子上に堆積する全ての材料は、2次または高次プロセス(再堆積ステップ数によって)で堆積する。   The term “primary” process or mechanism refers to a process in which material emitted from an electrode or from a plasma is deposited on an optical element without being deposited elsewhere. All materials deposited on the parts of the lithographic apparatus, released by particles from the source, and then deposited on the optical element are deposited in a secondary or higher order process (depending on the number of redeposition steps).

次に幾つかの実施例を更に詳しく説明する。
図1は、本発明の一実施例によるリソグラフィ装置を概略的に描く。この装置1は、放射線ビームPB(例えば、UV放射線またはEUV放射線)を調整するように構成した照明システム(照明器)ILを含む。支持体(例えば、マスクテーブル)MTがパターニング装置(例えば、マスク)MAを支持するように構成してあり、且つあるパラメータに従ってこのパターニング装置を正確に位置決めするように構成した第1位置決め装置PMに結合してある。基板テーブル(例えば、ウエハテーブル)WTが基板(例えば、レジストを被覆したウエハ)Wを保持するように構築してあり、且つこの基板をあるパラメータに従って正確に位置決めするように構成した第2位置決め装置PWに結合してある。投影システム(例えば、屈折性投影レンズシステム)PLがパターニング装置MAによってこの放射線ビームPBに与えたパターンを基板Wの目標部分C(例えば、一つ以上のダイを含む)上に投影するように構成してある。
Some examples will now be described in more detail.
FIG. 1 schematically depicts a lithographic apparatus according to one embodiment of the invention. The apparatus 1 includes an illumination system (illuminator) IL configured to condition a radiation beam PB (eg, UV radiation or EUV radiation). A first positioning device PM configured to support a patterning device (eg mask) MA by a support (eg mask table) MT and to accurately position the patterning device according to certain parameters. It is connected. A second positioning device constructed such that a substrate table (for example, a wafer table) WT holds a substrate (for example, a wafer coated with a resist) W and is configured to accurately position the substrate in accordance with certain parameters. Bonded to PW. A projection system (eg, a refractive projection lens system) PL is configured to project the pattern imparted to this radiation beam PB by the patterning device MA onto a target portion C (eg, including one or more dies) of the substrate W. It is.

この照明システムは、放射線を指向し、成形し、または制御するための、屈折式、反射式、磁気式、電磁式、静電式若しくはその他の種類の光学部品、またはその任意の組合せのような、種々の型式の光学部品も包含してよい。   The illumination system may be a refractive, reflective, magnetic, electromagnetic, electrostatic or other type of optical component, or any combination thereof, for directing, shaping or controlling radiation Various types of optical components may also be included.

この支持体は、パターニング装置を支持し、例えば、その重量を坦持する。それは、パターニング装置を、その向き、リソグラフィ装置の設計、および、例えば、パターニング装置が真空環境に保持されているかどうかのような、その他の条件に依る方法で保持する。この支持体は、機械、真空、静電またはその他のクランプ手法を使ってパターニング装置を保持することができる。この支持体は、例えば、フレームまたはテーブルでもよく、それらは必要に応じて固定または可動でもよい。この支持体は、パターニング装置が、例えば投影システムに関して、所望の位置にあることを保証してもよい。ここで使う“レチクル”または“マスク”という用語のどれも、より一般的な用語“パターニング装置”と同義と考えてもよい。   This support supports the patterning device and carries, for example, its weight. It holds the patterning device in a manner that depends on its orientation, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support can hold the patterning device using mechanical, vacuum, electrostatic or other clamping techniques. This support may be a frame or a table, for example, which may be fixed or movable as required. This support may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.”

ここで使う“パターニング装置”という用語は、放射線ビームの断面に、この基板の目標部分に創るようなパターンを与えるために使うことができる手段を指すと広く解釈すべきである。この放射線ビームに与えたパターンは、例えば、もしこのパターンが位相シフト形態または所謂補助形態を含むならば、基板の目標部分の所望のパターンと厳密には対応しないかも知れないことに注目すべきである。一般的に、放射線ビームに与えたパターンは、集積回路のような、この目標部分に創るデバイスの特別の機能層に対応するだろう。   As used herein, the term “patterning device” should be interpreted broadly to refer to a means that can be used to provide a cross-section of a radiation beam to a pattern that is created on a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not correspond exactly to the desired pattern of the target portion of the substrate, for example, if the pattern includes a phase shift configuration or so-called auxiliary configuration. is there. In general, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in this target portion, such as an integrated circuit.

このパターニング装置は、透過性でも反射性でもよい。パターニング装置の例には、マスク、プログラム可能ミラーアレイ、およびプログラム可能LCDパネルがある。マスクは、リソグラフィでよく知られ、二値、交互位相シフト、および減衰位相シフトのようなマスク型、並びに種々のハイブリッドマスク型がある。プログラム可能ミラーアレイの一例は、小型ミラーのマトリックス配置を使用し、入射放射線ビームを異なる方向に反射するようにその各々を個々に傾斜することができる。傾斜したミラーは、このミラーマトリックスによって反射した放射線ビームにパターンを与える。   The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography and include mask types such as binary, alternating phase shift, and attenuated phase shift, as well as various hybrid mask types. An example of a programmable mirror array uses a matrix arrangement of small mirrors, each of which can be individually tilted to reflect the incident radiation beam in different directions. The tilted mirror imparts a pattern to the radiation beam reflected by this mirror matrix.

ここで使う“投影システム”という用語は、使用する露光放射線に対して、または浸漬液の使用または真空の使用のような他の要因に対して適宜、屈折式、反射式、反射屈折式、磁気式、電磁式および静電式光学システム、またはその任意の組合せを含む、あらゆる型式の投影システムを包含するように広く解釈すべきである。ここで使う“投影レンズ”という用語のどれも、より一般的な用語“投影システム”と同義と考えてもよい。   As used herein, the term “projection system” refers to refractive, reflective, catadioptric, magnetic, as appropriate for the exposure radiation used or for other factors such as the use of immersion liquid or the use of vacuum. It should be construed broadly to encompass any type of projection system, including formula, electromagnetic and electrostatic optical systems, or any combination thereof. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.

ここに描くように、この装置は、反射型(例えば、反射性マスクを使用する)である。その代りに、この装置は、透過型(例えば、透過性のマスクを使用する)でもよい。
このリソグラフィ装置は、二つ(二段)以上の基板テーブル(および/または二つ以上のマスクテーブル)を有する型式でもよい。そのような“多段”機械では、追加のテーブルを並列に使ってもよく、または準備工程を一つ以上のテーブルで行い、一方他の一つ以上のテーブルを露光用に使ってもよい。
As depicted here, the apparatus is of a reflective type (eg, using a reflective mask). Alternatively, the apparatus may be transmissive (eg, using a transmissive mask).
The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and / or two or more mask tables). In such “multi-stage” machines, additional tables may be used in parallel, or the preparatory process may be performed on one or more tables, while one or more other tables may be used for exposure.

このリソグラフィ装置は、投影システムと基板の間のスペースを埋めるように、この基板の少なくとも一部を比較的屈折率の高い液体、例えば水によって覆う型式でもよい。浸漬液をこのリソグラフィ装置の他のスペース、例えば、マスクと投影システムの間にも加えてよい。浸漬法は、投影システムの開口数を増すためにこの技術でよく知られている。ここで使う“浸漬”という用語は、基板のような、構造体を液体の中に沈めなければならないことを意味するのではなく、それどころか露光中に投影システムと基板の間に液体があることを意味するだけである。   The lithographic apparatus may be of a type wherein at least a portion of the substrate is covered with a relatively high refractive liquid, such as water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be added to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in a liquid, but rather that there is a liquid between the projection system and the substrate during exposure. It just means.

図1を参照して、照明器ILは、放射線源SOから放射線ビームを受ける。この線源とリソグラフィ装置1は、例えば、線源がエキシマレーザであるとき、別々の存在であってもよい。そのような場合、この線源がリソグラフィ装置の一部を形成するとは考えられず、放射線は、線源SOから、例えば適当な指向ミラーおよび/またはビーム拡大器を含むビーム送出システムBDを使って、照明器ILへ送られる。他の場合、例えば、線源が水銀灯であるとき、線源がこの装置の一部分であってもよい。この線源SOと照明器ILは、もし必要ならビーム送出システムBDと共に、放射線システムと呼んでもよい。   Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus 1 may be separate entities, for example when the source is an excimer laser. In such a case, the source is not considered to form part of the lithographic apparatus, and radiation is emitted from the source SO using, for example, a beam delivery system BD including a suitable directing mirror and / or beam expander. To the illuminator IL. In other cases, for example when the source is a mercury lamp, the source may be part of the apparatus. This source SO and illuminator IL may be referred to as a radiation system, together with a beam delivery system BD if necessary.

照明器ILは、放射線ビームの角強度分布を調整するように構成した調整装置を含んでもよい。一般的に、この照明器の瞳面での強度分布の少なくとも外側および/または内側半径方向範囲(普通、それぞれ、σ外側およびσ内側と呼ぶ)を調整できる。その上、照明器ILは、インテグレータおよびコンデンサのような、種々の他の部品を含んでもよい。この照明器は、その断面に所望の均一性および強度分布を有するように、この放射線ビームを調節するために使ってもよい。   The illuminator IL may include an adjusting device configured to adjust the angular intensity distribution of the radiation beam. In general, at least the outer and / or inner radial extent (commonly referred to as σ outer and σ inner, respectively) of the intensity distribution at the pupil plane of the illuminator can be adjusted. Moreover, the illuminator IL may include various other components such as integrators and capacitors. The illuminator may be used to adjust the radiation beam to have a desired uniformity and intensity distribution in its cross section.

放射線ビームPBは、支持体(例えば、マスクテーブルMT)上に保持されたパターニング装置(例えば、マスクMA)に入射し、このパターニング装置によってパターン化される。マスクMAを横断してから、放射線ビームPBは、投影システムPSを通過し、それがこのビームを基板Wの目標部分C上に集束する。第2位置決め装置PWおよび位置センサIF2(例えば、干渉計測装置、線形エンコーダまたは容量式センサ)を使って、基板テーブルWTを、例えば、異なる目標部分CをビームPBの経路に配置するように、正確に動かすことができる。同様に、例えば、マスクライブラリから機械的に検索してから、または走査中に、第1位置決め装置PMおよびもう一つの位置センサIF1(例えば、干渉計測装置、線形エンコーダまたは容量式センサ)を使ってマスクMAを放射線ビームPBの経路に関して正確に配置することができる。一般的に、マスクテーブルMTの移動は、第1位置決め装置PMの一部を形成する、長ストロークモジュール(粗位置決め)および短ストロークモジュール(微細位置決め)を使って実現してもよい。同様に、基板テーブルWTの移動は、第2位置決め装置PWの一部を形成する、長ストロークモジュールおよび短ストロークモジュールを使って実現してもよい。ステッパの場合は、スキャナと違って、マスクテーブルMTを短ストロークアクチュエータに結合するだけでもよく、または固定してもよい。マスクMAおよび基板Wは、マスク整列マークM1、M2および基板整列マークP1、P2を使って整列してもよい。図示する基板整列マークは、専用の目標部分を占めるが、それらは目標部分の間のスペースにあってもよい(それらは、スクライブレーン整列マークとして知られる)。同様に、マスクMA上に二つ以上のダイが設けてある場合は、マスク整列マークがダイ間にあってもよい。   The radiation beam PB is incident on the patterning device (eg, mask MA) held on the support (eg, mask table MT), and is patterned by the patterning device. After traversing the mask MA, the radiation beam PB passes through the projection system PS, which focuses this beam onto the target portion C of the substrate W. Using the second positioning device PW and the position sensor IF2 (e.g. interference measuring device, linear encoder or capacitive sensor), the substrate table WT is accurately adjusted, e.g. to place different target portions C in the path of the beam PB. Can be moved to. Similarly, using a first positioner PM and another position sensor IF1 (eg, an interferometer, linear encoder or capacitive sensor), eg, after mechanical retrieval from a mask library or during a scan The mask MA can be accurately positioned with respect to the path of the radiation beam PB. In general, the movement of the mask table MT may be realized using a long stroke module (coarse positioning) and a short stroke module (fine positioning) that form part of the first positioning device PM. Similarly, the movement of the substrate table WT may be realized using a long stroke module and a short stroke module which form part of the second positioning device PW. In the case of a stepper, unlike the scanner, the mask table MT may only be coupled to a short stroke actuator, or may be fixed. Mask MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. The illustrated substrate alignment marks occupy dedicated target portions, but they may be in the spaces between the target portions (they are known as scribe lane alignment marks). Similarly, if more than one die is provided on the mask MA, the mask alignment mark may be between the dies.

図示する装置は、以下のモードの少なくとも一つで使うことができる:
1.ステップモードでは、放射線ビームに与えた全パターンを目標部分C上に一度に(即ち、単一静的露光で)投影しながら、マスクテーブルMTおよび基板テーブルWTを本質的に固定して保持する。次に基板テーブルWTをXおよび/またはY方向に移動して異なる目標部分Cを露光できるようにする。ステップモードでは、露光領域の最大サイズが単一静的露光で結像する目標部分Cのサイズを制限する。
2.走査モードでは、放射線ビームの与えたパターンを目標部分C上に投影(即ち、単一動的露光)しながら、マスクテーブルMTおよび基板テーブルWTを同期して走査する。マスクテーブルMTに対する基板テーブルWTの速度および方向は、投影システムPLの(縮)倍率および像反転特性によって決る。走査モードでは、露光領域の最大サイズが単一動的露光での目標部分の幅(非走査方向の)を制限し、一方走査運動の長さが目標部分の高さ(走査方向の)を決める。
3.もう一つのモードでは、プログラム可能パターニング装置を保持するマスクテーブルMTを本質的に固定し、放射線ビームに与えたパターンを目標部分C上に投影しながら、基板テーブルWTを動かしまたは走査する。このモードでは、一般的にパルス化した放射線源を使用し、プログラム可能パターニング装置を基板テーブルWTの各運動後または走査中の連続する放射線パルスの間に必要に応じて更新する。この作動モードは、上に言及した型式のプログラム可能ミラーアレイのような、プログラム可能パターニング装置を利用するマスクレス・リソグラフィに容易に適用できる。
上に説明した使用モードの組合せおよび/または一変形または全く異なった使用モードも使ってよい。
The illustrated apparatus can be used in at least one of the following modes:
1. In step mode, the mask table MT and the substrate table WT are held essentially fixed while the entire pattern imparted to the radiation beam is projected onto the target portion C at once (ie, with a single static exposure). The substrate table WT is then moved in the X and / or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure area limits the size of the target portion C imaged in a single static exposure.
2. In the scanning mode, the mask table MT and the substrate table WT are scanned synchronously while a pattern given by the radiation beam is projected onto the target portion C (ie, single dynamic exposure). The speed and direction of the substrate table WT relative to the mask table MT depends on the (reduction) magnification and image reversal characteristics of the projection system PL. In the scanning mode, the maximum size of the exposure area limits the width of the target portion (in the non-scanning direction) in a single dynamic exposure, while the length of the scanning motion determines the height of the target portion (in the scanning direction).
3. In another mode, the mask table MT holding the programmable patterning device is essentially fixed and the substrate table WT is moved or scanned while the pattern imparted to the radiation beam is projected onto the target portion C. In this mode, a pulsed radiation source is typically used and the programmable patterning device is updated as needed after each movement of the substrate table WT or during successive radiation pulses during the scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
Combinations and / or variations on the above described modes of use or entirely different modes of use may also be used.

“レンズ”という用語は、事情が許せば、屈折式、反射式、磁気式、電磁式および静電式光学要素を含む、種々の型式の光学要素の何れか一つまたは組合せを指してもよい。   The term “lens” may refer to any one or combination of various types of optical elements, including refractive, reflective, magnetic, electromagnetic and electrostatic optical elements, if circumstances permit. .

図2は、放射線システム42、照明光学素子ユニット44、および投影システムPLを含む、投影装置1を更に詳しく示す。放射線システム42は、放射線源SOを含み、それは放電プラズマEUVによって形成してもよい。EUV放射線は、Xeガス、Li蒸気またはSn蒸気のように、中に非常に熱いプラズマを創って電磁スペクトルのEUV範囲の放射線を出す、ガスまたは蒸気によって作ることができる。この非常に熱いプラズマは、放電の部分イオン化したプラズマを光軸O上に潰すことによって創る。この放射線を効率的に生成するためには、Xe、Li、Sn蒸気またはその他の適当なガスまたは蒸気の10Paの分圧が必要かも知れない。放射線源SOが出す放射線は、線源室47からガス障壁または汚染物質トラップ49(それは、線源室47の開口の中または後に配置してある)を経てコレクタ室48の中へ送る。このガス障壁49は、例えば、参考までにここに援用する、米国特許第6,614,505号、第6,359,969号および第6,576,912号に記載してあるような、チャンネル構造を含む。   FIG. 2 shows the projection apparatus 1 in more detail, including a radiation system 42, an illumination optics unit 44, and a projection system PL. The radiation system 42 includes a radiation source SO, which may be formed by a discharge plasma EUV. EUV radiation can be produced by a gas or vapor that creates a very hot plasma in it and emits radiation in the EUV range of the electromagnetic spectrum, such as Xe gas, Li vapor or Sn vapor. This very hot plasma is created by crushing the partially ionized plasma of the discharge onto the optical axis O. To generate this radiation efficiently, a partial pressure of 10 Pa of Xe, Li, Sn vapor or other suitable gas or vapor may be required. Radiation emitted by the radiation source SO is sent from the source chamber 47 into the collector chamber 48 via a gas barrier or contaminant trap 49 (which is disposed in or behind the source chamber 47 opening). This gas barrier 49 is a channel, as described, for example, in US Pat. Nos. 6,614,505, 6,359,969, and 6,576,912, incorporated herein by reference. Includes structure.

コレクタ室48は、斜入射コレクタによって形成してもよい、放射線コレクタ50を含む。コレクタ50が通した放射線は、格子スペクトルフィルタ51から反射してコレクタ室48の開口52の仮想線原点に集束する。コレクタ室48から、放射線ビーム56が照明光学素子ユニット44の中で直角入射反射器53、54を経て、レチクルまたはマスクテーブルMT上に位置するレチクルまたはマスク上へ反射される。パターン化したビーム57が作られ、それが投影光学システムPLの中で反射性素子58、59を介してウエハステージまたは基板テーブルWT上に結像される。図示したよりは多くの素子が一般的に照明光学素子ユニット44および投影システムPLの中に存在するかも知れない。   The collector chamber 48 includes a radiation collector 50 that may be formed by a grazing incidence collector. The radiation that has passed through the collector 50 is reflected from the grating spectral filter 51 and focused on the imaginary line origin of the opening 52 of the collector chamber 48. From the collector chamber 48, the radiation beam 56 is reflected in the illumination optical element unit 44 through the normal incidence reflectors 53 and 54 onto the reticle or mask located on the reticle or mask table MT. A patterned beam 57 is produced and imaged on the wafer stage or substrate table WT via the reflective elements 58, 59 in the projection optical system PL. More elements than shown may generally be present in the illumination optics unit 44 and the projection system PL.

放射線コレクタ50は、例えば、参考までにここ援用する、米国特許出願公開第2004/0094724A1号に記載してある放射線コレクタでもよい。   The radiation collector 50 may be, for example, the radiation collector described in US Patent Application Publication No. 2004/0094724 A1, incorporated herein by reference.

図2に示す全ての光学素子(およびこの実施例の概略図に示さない光学素子)は、線源に依って、例えば、Snの堆積および/または他の高速原子および分子、例えばLiによる損傷を受けやすい。これは、特に放射線コレクタ50および格子スペクトルフィルタ51またはスペクトル純度フィルタに対してそうである。従って、この発明の方法をこれらの光学素子に、並びに直角入射反射器53、54および反射性素子58、59にも適用できる。   All of the optical elements shown in FIG. 2 (and optical elements not shown in the schematic of this example), depending on the source, may, for example, deposit Sn and / or damage by other fast atoms and molecules such as Li. Easy to receive. This is especially true for the radiation collector 50 and the grating spectral filter 51 or spectral purity filter. Therefore, the method of the present invention can be applied to these optical elements as well as to the normal incidence reflectors 53 and 54 and the reflective elements 58 and 59.

実施例1
図2および図3を参照して、B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料を用意し、それを、線源が、もし使用中であれば、この材料の少なくとも一部を除去するように配置し、それによって堆積可能材料を用意する。それで、この材料を線源の電極147の少なくとも一部に、線源室47の壁47aの一部に、ガス障壁49のチャンネルの板49aのような、ガス障壁49の表面の一部に、これらの板の縁、特に線源SOに向いた縁に、開口52の縁に、コリメータミラー50の縁に、ガス障壁49、コリメータミラー50、ミラー51、ミラー53および54の支持体に、放射線システム42または照明システム44の壁の少なくとも一部等に設けてもよい。更に、この材料をこの堆積可能材料の少なくとも一部が光学素子上に堆積するように設ける。この線源を使うと、この材料の一部が除去され、それによって堆積可能材料を作り、それがこの開口の一部または何処か他のところに再堆積し、およびこの堆積可能材料の少なくとも一部が光学素子上に堆積するだろう。それ故、この材料を線源SOの電極147上か、および/または線源SOと光学素子の間のこのリソグラフィ装置の部品上に設ける。この発明の方法によって保護される光学素子には、例えば、ミラー、50、51、53および54がある。
Example 1
With reference to FIGS. 2 and 3, a material comprising one or more elements selected from B, C, Si, Ge and / or Sn is provided and if the source is in use, Arrange to remove at least a portion of this material, thereby providing a depositable material. This material is then applied to at least part of the source electrode 147, part of the wall 47a of the source chamber 47, part of the surface of the gas barrier 49, such as the channel plate 49a of the gas barrier 49, Radiation is applied to the edges of these plates, particularly to the source SO, to the edge of the opening 52, to the edge of the collimator mirror 50, to the support of the gas barrier 49, collimator mirror 50, mirror 51, mirrors 53 and 54. It may be provided on at least a part of the wall of the system 42 or the lighting system 44. Further, the material is provided such that at least a portion of the depositable material is deposited on the optical element. With this source, a portion of this material is removed, thereby creating a depositable material, which is redeposited in part of the opening or elsewhere, and at least one of the depositable materials. Part will be deposited on the optical element. This material is therefore provided on the electrode 147 of the source SO and / or on the parts of the lithographic apparatus between the source SO and the optical element. Optical elements protected by the method of the present invention include, for example, mirrors 50, 51, 53 and 54.

この様にして、堆積を避ける代りに、除去できる光学素子に堆積を故意に設ける。例えば、線源からの粒子とリソグラフィ装置の部品との衝突のために、この材料を電極またはリソグラフィ装置の一部に設けずに、他の堆積物、例えば、水素、ハロゲン、またはその組合せによって容易に除去できない、Al、Fe、Mo、W、等を光学素子50上に堆積する。本発明による材料は、参考までにここに援用する、米国特許出願第10/956,344号に記載してあるような、水素ラジカルを用意することによってまたはハロゲンによって、またはその組合せによって比較的容易に除去できる。水素化物またはハロゲン化物が出来、それをゲッターによりおよび/または排気により除去できる。その上、水素も設けてよく、それはこの堆積物の化合物を還元でき、例えば、シリコン酸化物をSiに還元し、次のそれを後で水素ラジカルおよび/またはハロゲンによって除去できる。   In this way, instead of avoiding deposition, deposition is deliberately provided on the removable optical element. For example, due to collisions between particles from the source and parts of the lithographic apparatus, this material may be facilitated by other deposits such as hydrogen, halogen, or combinations thereof without providing the electrode or part of the lithographic apparatus. Al, Fe, Mo, W, etc. that cannot be removed are deposited on the optical element 50. The material according to the present invention is relatively easy by providing hydrogen radicals or by halogens, or combinations thereof, as described in US patent application Ser. No. 10 / 956,344, incorporated herein by reference. Can be removed. A hydride or halide is produced, which can be removed by getter and / or exhaust. In addition, hydrogen may also be provided, which can reduce compounds in this deposit, for example, reducing silicon oxide to Si, which can then be removed later by hydrogen radicals and / or halogens.

一変形では、濡れやすい材料、例えばSnを使う。これは、この材料を設ける装置の部分に滑らかな層を設けるが、それは光学素子50を濡らすことによって滑らかな層を設けてもよい。このため、光学素子50を、例えば、参考までにここに援用する、EP−A−03078316.1および米国特許出願第10/956,344号に記載してあるように、外部加熱によるか、またはこの線源の放射線によるか、または両方によって、濡れ温度(例えば、Snに対し230〜500°C)に加熱してもよい。Snの融点は、約232°Cである。この濡れ温度は、圧力を含む、表面およびその他のパラメータに依る。   In one variant, a wettable material such as Sn is used. This provides a smooth layer on the part of the device where this material is provided, but it may also provide a smooth layer by wetting the optical element 50. To this end, the optical element 50 may be subjected to external heating, for example as described in EP-A-0307836.1 and US patent application Ser. No. 10 / 956,344, incorporated herein by reference, or It may be heated to a wetting temperature (eg, 230-500 ° C. for Sn) by radiation from this source or both. The melting point of Sn is about 232 ° C. This wetting temperature depends on the surface and other parameters, including pressure.

一変形で、このプラズマ源と見通し内に且つこの線源と光学素子の間にある、このリソグラフィ装置の全ての部品は、このプラズマ源と見通し内にあるこれらの部品の表面(この線源から直接放射線を受ける表面)の約20〜100%にこの材料が設けられる。この層の厚さは、約5〜200μmの間である。   In one variant, all parts of the lithographic apparatus that are in line of sight with the plasma source and between the line source and the optical elements are the surfaces of these parts in line with the plasma source and line of sight (from the line source). About 20-100% of the surface that receives direct radiation is provided with this material. The thickness of this layer is between about 5 and 200 μm.

実施例2(例えば、方法(d)、(a2b)、(b2b)および(c2b))
図2および図3を参照して、この実施例では、光学素子50、即ち、コレクタミラーを、B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料をガス障壁49のグループから選択した一つ以上に(並列素子49aの表面49bおよび/または縁40cに)、線源室47の壁47aの少なくとも一部にまたはこれらの一つの支持体(この概略図には示してないが、当業者に走られている、コレクタ用の支持体のような)に設け、それによって、この線源が、もし使用中であれば、この材料の少なくとも一部を除去するようにこの材料を配置し、それによって堆積可能材料を用意することによって、保護層で保護する。使用するとき、この堆積可能材料の少なくとも一部が光学素子50上に堆積する。それによって、光学素子50上に堆積物が出来、それは、原子水素、ハロゲン化物ガス、または両方で比較的容易に除去できる(以下参照)。
Example 2 (eg, methods (d), (a2b), (b2b) and (c2b))
2 and 3, in this embodiment, the optical element 50, that is, the collector mirror, is made of a material containing one or more elements selected from B, C, Si, Ge and / or Sn as a gas barrier. 49 to one or more selected from the group 49 (on the surface 49b and / or the edge 40c of the parallel element 49a), to at least part of the wall 47a of the source chamber 47 or one of these supports (in this schematic view (Not shown, but provided to a person skilled in the art, such as a support for a collector), so that the source removes at least a portion of this material if it is in use. This material is placed in a protective layer, thereby providing a depositable material. In use, at least a portion of this depositable material is deposited on the optical element 50. This creates a deposit on the optical element 50 that can be removed relatively easily with atomic hydrogen, halide gas, or both (see below).

一変形では、この材料を、米国特許出願第10/956,344号に記載してある方法に従って、リソグラフィ装置の一部に現場で設け且つ光学素子から現場で除去する。   In one variant, this material is applied in situ to a part of the lithographic apparatus and removed from the optical element in situ according to the method described in US patent application Ser. No. 10 / 956,344.

実施例3(例えば、方法(a2)、(b2)および(c2))
図2および図3を参照して、この実施例では、光学素子50、即ち、コレクタミラーを、B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料を一つ以上の電極147に設けることによって、保護層で保護する。使用するとき、この材料の少なくとも一部を電極から除去する。この材料の少なくとも一部の除去は、堆積可能材料を含む粒子の解放に繋がる、この線源の高温の結果である。この堆積可能材料の少なくとも一部が、壁47a、ガス障壁49(並列板49a)等のような、この装置の他の部品上に堆積する。電極147からか、または電極147上の材料からかの、線源SOからの粒子の衝突のために、この堆積物は、これらの部品から少なくとも部分的に後に除去され、光学素子50上に堆積物を作る。これらの仕組の後に、1次堆積も起るかも知れない(以下に説明する)。
Example 3 (eg, methods (a2), (b2) and (c2))
2 and 3, in this embodiment, the optical element 50, that is, the collector mirror, is made of one material containing one or more elements selected from B, C, Si, Ge and / or Sn. By providing the electrode 147, the protective layer protects it. In use, at least a portion of this material is removed from the electrode. The removal of at least a portion of this material is a result of the high temperature of this source leading to the release of particles containing depositable material. At least a portion of the depositable material is deposited on other parts of the apparatus, such as walls 47a, gas barriers 49 (parallel plates 49a), and the like. Due to the impact of the particles from the source SO, either from the electrode 147 or from the material on the electrode 147, this deposit is at least partially removed from these parts and deposited on the optical element 50. Make things. Primary deposition may also occur after these mechanisms (described below).

実施例4(例えば、方法(b1)および(c1))
図2および図3を参照して、この実施例では、光学素子50、即ち、コレクタミラーを、B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料を一つ以上の電極147に設け、(i)線源が、もし使用中であれば、この材料の少なくとも一部を除去し、それによって堆積可能材料を作るようにすることによって、保護層で保護する。この材料の少なくとも一部の除去は、堆積可能材料を含む粒子の解放に繋がる、この線源の高温の結果である。使用するとき、この堆積可能材料の少なくとも一部が光学素子50上に堆積する。これらの方法を使うとき、通常方法(a2)、(b2)および(c2)も起るだろう。
Example 4 (eg, methods (b1) and (c1))
2 and 3, in this embodiment, the optical element 50, that is, the collector mirror, is made of one material containing one or more elements selected from B, C, Si, Ge and / or Sn. Provided on the above electrode 147, (i) If the source is in use, it is protected with a protective layer by removing at least a portion of this material, thereby creating a depositable material. The removal of at least a portion of this material is a result of the high temperature of this source leading to the release of particles containing depositable material. In use, at least a portion of this depositable material is deposited on the optical element 50. When using these methods, the normal methods (a2), (b2) and (c2) will also occur.

実施例5(方法(a1))
図2および図3を参照して、この実施例では、光学素子50、即ち、コレクタミラーを、Sn線源を使い、(i)この線源が、もし使用中であれば、堆積可能材料を作るようにすることによって、Snを含む保護層で保護する。この材料の少なくとも一部の除去は、堆積可能材料を含む粒子の解放に繋がる、この線源の高温の結果である。使用するとき、この堆積可能材料の少なくとも一部が光学素子50上に堆積する。
Example 5 (Method (a1))
With reference to FIGS. 2 and 3, in this embodiment, the optical element 50, i.e. the collector mirror, uses a Sn source, and (i) if the source is in use, depositable material is used. By making it, it protects with the protective layer containing Sn. The removal of at least a portion of this material is a result of the high temperature of this source leading to the release of particles containing depositable material. In use, at least a portion of this depositable material is deposited on the optical element 50.

実施例6(方法(a1)、および方法(b1)および(c1))
この実施例では、線源SOによってSnを含むプラズマ(Sn電極によるか(例えば、実施例5;方法(a1)参照)、または非Sn線源上にSnが存在するため(例えば、実施例4、方法(b1)))がもたらされ、光学素子50のような、光学素子がないとき、Snを含む堆積物をリソグラフィ装置の一つ以上の部品に作るか;または電極上の非Sn材料のために(実施例4、方法(c1))、リソグラフィ装置の一つ以上の部品に堆積物を作る結果となる。
Example 6 (Method (a1) and Methods (b1) and (c1))
In this example, a plasma containing Sn by the source SO (either by an Sn electrode (eg, Example 5; see method (a1)) or because Sn is present on a non-Sn source (eg, Example 4). , Method (b1))) is provided and in the absence of an optical element, such as optical element 50, a deposit containing Sn is made on one or more parts of the lithographic apparatus; or a non-Sn material on the electrode (Example 4, method (c1)) results in deposits on one or more parts of the lithographic apparatus.

その後、この光学素子を設ける(ステップb)。次に、Snを含むプラズマを用意することによってこの方法を続け、それによって仕組み(a1)または(b1)によるだけでなく、仕組み(a2)または(b2)によっても、光学素子にSn堆積物を作り、それは、リソグラフィ装置の部品上の堆積物の一部が、線源からの高速粒子および/または線源からのSnにもよって除去され;または線源が、非Sn粒子またはその他の高速粒子のような、粒子を提供し、それによって仕組み(c1)だけでなく、仕組み(c2)によっても、それぞれ、非Sn堆積物を作るからである。   Thereafter, this optical element is provided (step b). Next, the method is continued by preparing a plasma containing Sn, whereby Sn deposits are deposited on the optical element not only by mechanism (a1) or (b1) but also by mechanism (a2) or (b2). Making it that part of the deposit on the parts of the lithographic apparatus is also removed by fast particles from the source and / or Sn from the source; or the source is non-Sn particles or other fast particles This is because the non-Sn deposit is produced not only by the mechanism (c1) but also by the mechanism (c2).

この光学素子を設ける(ステップb)前に、人は、光学素子の位置に“証拠サンプル”(当業者に知られているように、例えば、この光学素子と実質的に同じ寸法であるが、例えば、Siを含むデバイス)を設けることによって、このリソグラフィ装置の性能をテストしたいかも知れない。線源SOを使っていないとき、堆積物は、この証拠サンプルに出来るだろう。現場または現場外で、この証拠サンプル上に堆積した材料の組成を測定することによって、リソグラフィ装置の一つ以上の部品へのSnを含む堆積物が十分であるときを決めてもよい。例えば、Sn堆積物の場合、この堆積物が50wt%以上、例えば、80〜100wt%のSnを含むとき、このリソグラフィ装置の一つ以上の部品へのSnを含む堆積物は、この光学素子をこの装置に提供できるためには十分かも知れない。この値に達していない場合、このリソグラフィ装置の一つ以上の部品に、現場または現場外のスパッタリング、浸漬コーティング、濡らし等のような他の仕組みによってSnを与えてもよい。Snの線源によるスパッタリングまたは他の方法での提供は、本発明の材料を含む層の出来た厚さが約5〜200μm、例えば、約10〜100μmの間の層厚を有するとき、典型的には十分である。   Prior to providing this optical element (step b), one has to place an “evidence sample” at the position of the optical element (for example, substantially the same dimensions as this optical element as known to those skilled in the art, For example, it may be desirable to test the performance of this lithographic apparatus by providing a device comprising Si). When not using the source SO, deposits could be this evidence sample. By measuring the composition of the material deposited on this evidence sample, in situ or off-site, it may be determined when the deposit containing Sn on one or more parts of the lithographic apparatus is sufficient. For example, in the case of Sn deposits, when the deposit contains 50 wt% or more, for example, 80-100 wt% Sn, the deposit containing Sn on one or more parts of the lithographic apparatus causes the optical element to It may be enough to be able to provide this device. If this value is not reached, Sn may be applied to one or more parts of the lithographic apparatus by other mechanisms such as in-situ or off-site sputtering, dip coating, wetting, and the like. Sputtering by Sn sources or other methods provided is typical when the resulting thickness of the layer comprising the material of the present invention has a layer thickness between about 5-200 μm, for example between about 10-100 μm. Is enough.

実施例7
B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む材料、例えば、Si酸化物、Sn酸化物、炭化物、金属のような化合物およびB、C、Si、GeおよびSnの元素、または一つ以上のこれらの元素の合金、例えば、Cu−Sn合金を含む材料を一つ以上のガス障壁49に、線源室47の壁47aの少なくとも一部に、電極147の支持体にまたはその一つ以上等に濡らし、被覆および/または含浸という以下の方法の一つ以上によって設ける。
Example 7
Materials containing one or more elements selected from B, C, Si, Ge and / or Sn, eg, compounds such as Si oxide, Sn oxide, carbide, metal and B, C, Si, Ge and Sn Or an alloy of one or more of these elements, for example, a material containing a Cu-Sn alloy, to one or more gas barriers 49, to at least part of the wall 47a of the source chamber 47, and to support the electrode 147 Wet the body or one or more thereof and provide it by one or more of the following methods: coating and / or impregnation.

濡らしは、例えば、スパッタリング、CVDまたはPVD等によって、B、C、Si、Geおよび/またはSnの濡れやすい化合物をガス障壁、壁、電極等の表面に、この表面を同時におよび/または後に加熱しながら付けることによって行う。この方法は、Snに使ってもよい。濡れを改善するために、例えば、壁またはガス障壁は、Cu層(例えば、10〜100nm)を含み、その上にこの濡れやすい化合物を設けてもよい。濡らしのこの方法は、現場でのスパッタリング、CVDまたはPVDも、参考までにここに援用する、米国特許出願第10/956,344号によって可能であるが、リソグラフィ装置を組立てる前に、このリソグラフィ装置の部分に適用することができる。   Wetting is performed by heating a wettable compound of B, C, Si, Ge and / or Sn to the surface of a gas barrier, wall, electrode, etc. simultaneously and / or after, for example, by sputtering, CVD or PVD. Do it by attaching. This method may be used for Sn. To improve wetting, for example, the wall or gas barrier may include a Cu layer (eg, 10-100 nm) on which this wettable compound is provided. This method of wetting is possible according to US patent application Ser. No. 10 / 956,344, which is also incorporated herein by reference, such as in situ sputtering, CVD or PVD. Can be applied to any part.

被覆は、スパッタリング、CVD、PVD、浸漬コーティング(例えば、液体Snに)、噴霧等によって、例えば、窒化物、酸化窒化物、酸化物のような、または塩(塩化物、硝酸塩等)のような化合物を、溶液でまたはスラリーとして、ガス障壁、壁、電極等の表面に設けることによって行える。塗被のこの方法は、リソグラフィ装置を組立てる前に、このリソグラフィ装置の部分に適用することができる。   The coating can be by sputtering, CVD, PVD, dip coating (eg, in liquid Sn), spraying, etc., eg, nitrides, oxynitrides, oxides, or salts (chlorides, nitrates, etc.) The compound may be provided on the surface of a gas barrier, wall, electrode or the like as a solution or as a slurry. This method of coating can be applied to parts of the lithographic apparatus before the lithographic apparatus is assembled.

含浸は、浸漬コーティング、噴霧等によって、例えば、窒化物、酸化窒化物、酸化物のような、または塩(塩化物、硝酸塩等)のような化合物を、溶液でまたはスラリーとして、ガス障壁、壁、電極等の表面に、当業者に周知のように、その表面が多孔性(例えば、この材料の10〜50vol%が細孔容積である)であるとき、設けることによって行える。含浸のこの方法は、リソグラフィ装置を組立てる前に、このリソグラフィ装置の部分に適用することができる。   Impregnation may be accomplished by dip coating, spraying, etc., for example, compounds such as nitrides, oxynitrides, oxides, or salts (chlorides, nitrates, etc.), in solutions or as slurries, gas barriers, walls As is well known to those skilled in the art, when the surface is porous (for example, 10 to 50 vol% of the material is the pore volume), it can be performed by providing it on the surface of the electrode or the like. This method of impregnation can be applied to parts of the lithographic apparatus before the lithographic apparatus is assembled.

実施例8
この実施例では、ガス障壁49を、Sn線源か、または一つ以上の電極147にSnを付けた電極147を備える線源を有するリソグラフィ装置に使う前に、最初に薄いCu層、例えば、10〜100nmで被覆する。この内面、即ち、板49aの表面は、この表面の全面積の少なくとも20〜50%にCuが付けてある。
Example 8
In this example, before using the gas barrier 49 in a lithographic apparatus having a Sn source or a source comprising an electrode 147 with Sn attached to one or more electrodes 147, first a thin Cu layer, eg, Cover with 10-100 nm. The inner surface, that is, the surface of the plate 49a has Cu attached to at least 20 to 50% of the total area of the surface.

この実施例の一変形では、ガス障壁がCuを備え、後にSnを備える。加熱によって、Snがこのガス障壁の表面全体に亘って濡れる。このガス障壁にSnを設けることは、この装置に使う前に行ってもよい。   In a variant of this embodiment, the gas barrier comprises Cu and later comprises Sn. By heating, Sn gets wet over the entire surface of the gas barrier. The provision of Sn in the gas barrier may be performed before use in the apparatus.

実施例9
例えば、実施例7または実施例8を参照して、ガス障壁、支持体、装置壁、放射線源の
室の壁、電極および/または装置の縁のような、この装置の一つ以上の部品を溶融Snの浴に浸ける。例えば、この部品は、MoまたはWの電極、例えば、MoまたはWの被膜を有するガス障壁等でもよい。約5〜200μmの層を設けてから、装置の部品をこの装置に付ける。
Example 9
For example, with reference to Example 7 or Example 8, one or more parts of this device, such as gas barriers, supports, device walls, radiation source chamber walls, electrodes and / or device edges Immerse in a bath of molten Sn. For example, the component may be a Mo or W electrode, such as a gas barrier having a Mo or W coating. A layer of about 5 to 200 μm is provided before the device parts are attached to the device.

一変形では、プラズマ源の通視線内にあり且つこの線源と光学素子の間にある、このリソグラフィ装置の全ての部品がこのプラズマ源の見通し内のこれらの部品の表面(この線源から直接放射線を受ける表面)の約20〜100%にこの材料を備える。この層の厚さは、約5〜200μmの間である。   In one variant, all parts of the lithographic apparatus that are within the line of sight of the plasma source and between the source and the optical elements are the surfaces of these parts within the line of sight of the plasma source (directly from the source). About 20-100% of the surface receiving radiation is provided with this material. The thickness of this layer is between about 5 and 200 μm.

この装置の部分にSn被膜を設けるこのプロセスは、この装置の組立中または組立前に行うのが好ましい。   This process of applying the Sn coating to the part of the device is preferably performed during or prior to assembly of the device.

実施例10
この装置の線源は、Snプラズマを供給す線源である。
Example 10
The radiation source of this apparatus is a radiation source that supplies Sn plasma.

実施例11
この実施例では、線源がXe線源であり、この材料がガス障壁49に設けてある。
Example 11
In this embodiment, the radiation source is an Xe radiation source, and this material is provided in the gas barrier 49.

実施例12
この実施例は、コレクタミラー50への堆積を説明する。参考までに援用する、米国特許出願公報第2004/0094724A1号の図3で分るように、この斜入射コレクタ10は、多数の入れ子式反射器素子を含む。この種の斜入射コレクタは、例えば、ドイツ特許出願DE10138284.7にも示してある。図5および図2に示すように、コレクタ50は、図5に参照数字247によって示す、光軸の沿って整列してある。このコレクタ50は、幾つかの反射器142、143、146を含んでもよい。そのようなコレクタの例を図2に参照数字50で示す。図5に、内反射器を参照数字142で示し、外反射器を参照数字146で示す。反射器142と146の間に幾つかの他の反射器143があってもよく、それらの輪郭を図5に破線で示す。全ての反射器142および146は、それらの裏当て層152が、米国特許出願公報2004/0094724A1に記載してあるように、参照数字154、156、158および159の層から選択した反射性層または多数の反射性層で塗被してある。米国特許出願公報2004/0094724A1に記載してあるように、他の層、例えば、層160および162があってもよく、それらの一つ以上も反射性層を含んでもよい。
Example 12
This example illustrates deposition on the collector mirror 50. As can be seen in FIG. 3 of US Patent Application Publication No. 2004/0094724 A1, which is incorporated by reference, this grazing incidence collector 10 includes a number of nested reflector elements. Such a grazing incidence collector is also shown, for example, in German patent application DE 10138284.7. As shown in FIGS. 5 and 2, the collectors 50 are aligned along the optical axis, indicated by reference numeral 247 in FIG. This collector 50 may include several reflectors 142, 143, 146. An example of such a collector is shown in FIG. In FIG. 5, the inner reflector is indicated by reference numeral 142 and the outer reflector is indicated by reference numeral 146. There may be several other reflectors 143 between the reflectors 142 and 146, and their contours are shown in dashed lines in FIG. All reflectors 142 and 146 have reflective layers selected from the layers of reference numerals 154, 156, 158 and 159, such that their backing layer 152 is described in US Patent Application Publication No. 2004 / 0094724A1 or It is coated with a number of reflective layers. There may be other layers, such as layers 160 and 162, as described in US Patent Application Publication No. 2004 / 0094724A1, and one or more of them may also include a reflective layer.

層154、156、158および159から選択した一つ以上の層の上に、この発明による材料を層81として、例えば、1〜20nmを設けてもよい。それによって、線源SOの放射線を受け且つこの線源SOから粒子を受ける反射面の少なくとも一部を保護層によって保護する。層81は、これらの反射器の縁(左側(線源側)に示す))にも設けてよい。層81は、コレクタ50をこのリソグラフィ装置内に配置する前にこのコレクタ50に設けてもよい。   On one or more layers selected from the layers 154, 156, 158 and 159, the material according to the present invention may be provided as the layer 81, for example, 1 to 20 nm. Thereby, at least a part of the reflecting surface that receives radiation from the source SO and receives particles from the source SO is protected by the protective layer. The layer 81 may also be provided on the edge of these reflectors (shown on the left side (source side)). The layer 81 may be provided on the collector 50 prior to placing the collector 50 in the lithographic apparatus.

図6に、その外反射器146に幾つかの放熱フィン172〜175を取付けたコレクタ50を示す。これらの放熱フィン172〜175は、外反射器146に任意に分布してもよい。放熱フィン172〜175は、このコレクタ50の熱/赤外線“黒体”反射特性を尚更に向上するかも知れない。反射器142、143、146(等)の縁は、フィン172〜175同様、層81(図6には示さず)を設けてもよい。   FIG. 6 shows a collector 50 in which several heat dissipating fins 172 to 175 are attached to the outer reflector 146. These radiating fins 172 to 175 may be arbitrarily distributed in the outer reflector 146. The radiating fins 172-175 may further improve the thermal / infrared “blackbody” reflection characteristics of the collector 50. The edges of the reflectors 142, 143, 146 (etc.) may be provided with a layer 81 (not shown in FIG. 6), similar to the fins 172-175.

実施例13
図4を参照して、ガス障壁または汚染物質障壁49は、上に説明したようにこれらの汚染物質障壁の一つからの二つの板49aを含み、この障壁のチャンネルの一つを形成し、線源SO(この図には示さず)に向いた縁49cを備える。縁49cの表面および/またはチャンネル板49aの表面49bは、本発明による材料の層Lを備えることができる。この概略図で、二つの板49aが実質的に平行に描いてないことを注記する。
Example 13
Referring to FIG. 4, a gas barrier or contaminant barrier 49 includes two plates 49a from one of these contaminant barriers as described above to form one of the channels of this barrier, It has an edge 49c facing the source SO (not shown in this figure). The surface of the edge 49c and / or the surface 49b of the channel plate 49a can comprise a layer L of material according to the invention. Note that in this schematic view, the two plates 49a are not drawn substantially parallel.

例えば、この層Lは、約20wt%、例えば、20〜100wt%の一つ以上の元素、例えば、Snを含むことができる。一変形で、この層Lは、CVDおよび/または濡らしによって設けた、金属Snを含む。リソグラフィ装置のこの部分は、線源から直接放射線を受けるその表面の約20〜100%、例えば、その表面の約40〜100%にこの材料を含むのが好ましい。図4で、縁49cの全表面、板49aの内外面49bが層Lを含む。この層厚は、約5〜200μmの間、一変形では約10〜100μmの間である。この層Lの少なくとも20%がこの層厚を有し、またはこの発明による材料を備える全層Lがこの層厚を有する。   For example, the layer L can include about 20 wt%, for example, 20-100 wt% of one or more elements, such as Sn. In one variant, this layer L comprises metal Sn provided by CVD and / or wetting. This part of the lithographic apparatus preferably comprises this material on about 20-100% of its surface which receives radiation directly from the source, for example about 40-100% of its surface. In FIG. 4, the entire surface of the edge 49 c, the inner and outer surfaces 49 b of the plate 49 a include the layer L. This layer thickness is between about 5 and 200 μm, in one variant between about 10 and 100 μm. At least 20% of this layer L has this layer thickness, or the entire layer L comprising the material according to the invention has this layer thickness.

実施例14
図7aおよび図7bを参照すると、この発明による層が概略的に描いてある。図7aおよび図7bは、光学素子50、51のような、光学素子を指し、ここでは参照数字80で示すか;またはガス障壁49、支持体(これらの図ではっきりとは示さず)、装置壁(例えば、壁47a、しかし他の室の他の壁も含む)、放射線源の室の壁(ここでは明白に壁47a)、電極147、および開口52の縁(これらの図ではっきりとは示さず)、熱シールド(これらの図ではっきりとは示さず)、汚染物質障壁板49aの縁49c等を指し、ここでも参照数字80で示す。
Example 14
With reference to FIGS. 7a and 7b, the layers according to the invention are schematically depicted. Figures 7a and 7b refer to optical elements, such as optical elements 50, 51, here denoted by reference numeral 80; or gas barrier 49, support (not explicitly shown in these figures), apparatus Walls (eg, walls 47a, but also other walls of other chambers), radiation source chamber walls (here, clearly walls 47a), electrodes 147, and edges of apertures 52 (notably visible in these figures) Not shown), heat shield (not explicitly shown in these figures), edge 49c of contaminant barrier plate 49a, etc., again indicated by reference numeral 80.

そのような素子または部品の各々は、参照数字80aで概略的に示す、表面を有する。図7aを参照して、この表面の一部、特に線源から見える部分は、本発明の材料を含む、層81を含んでもよい。参照数字80が光学素子を指すとき、この層は、約1〜100nm、例えば、約5〜20nmであり、それによってEUVに透明な保護層となるだろう。   Each such element or component has a surface, indicated schematically by reference numeral 80a. With reference to FIG. 7a, a portion of this surface, particularly the portion visible from the source, may comprise a layer 81 comprising the material of the present invention. When reference numeral 80 refers to an optical element, this layer will be about 1-100 nm, eg, about 5-20 nm, thereby providing a protective layer that is transparent to EUV.

参照数字80がこの装置の一部を指すとき、この層81は、約5〜200μmの間、例えば、約10〜100μmの間の厚さを有し、それによってこの材料の少なくとも一部を、放射線源からの粒子とこの材料の衝突、この材料の照射、およびこの材料への熱エネルギーの伝達から選択した一つ以上の方法によって除去できる層をもたらし、そして、それによって光学素子上に除去可能な堆積物をもたらすだろう。例えば、層81は、Sn、CまたはSiを含んでもよい。   When reference numeral 80 refers to a portion of the device, the layer 81 has a thickness of between about 5-200 μm, for example between about 10-100 μm, whereby at least a portion of the material is Provides a layer that can be removed by one or more methods selected from the collision of the material with a particle from a radiation source, irradiation of the material, and transfer of thermal energy to the material, and thereby removable on the optical element Will result in a good deposit. For example, the layer 81 may include Sn, C, or Si.

参照数字80がこの装置の一部を指す一変形では、層82を、例えば、濡れを改善するために設けてもよく、それは、例えば、Cu層、または当業者に既知のその他の層でもよい。   In one variation where reference numeral 80 refers to a portion of the device, layer 82 may be provided, for example, to improve wetting, which may be, for example, a Cu layer, or other layers known to those skilled in the art. .

この明細書では、ICの製造でリソグラフィ装置を使用することを具体的に参照するかも知れないが、ここで説明するリソグラフィ装置は、集積光学システム、磁区メモリ用誘導検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造のような、他の用途があることを理解すべきである。そのような代替用途の関係で、ここで使う“ウエハ”または“ダイ”という用語のどれも、それぞれ、より一般的な用語“基板”または“目標部分”と同義と考えてもよいことが分るだろう。ここで言及する基板は、露光の前または後に、例えば、トラック(典型的には基板にレジストの層を付け且つ露光したレジストを現像する器具)、計測器具および/または検査器具で処理してもよい。該当すれば、この開示をそのようなおよび他の基板処理器具に適用してもよい。更に、この基板を、例えば、多層ICを創るために、一度を超えて処理してもよく、それでここで使う基板という用語は既に多重処理した層を含む基板も指すかも知れない。   Although this specification may specifically refer to the use of a lithographic apparatus in the manufacture of ICs, the lithographic apparatus described herein includes integrated optical systems, inductive detection patterns for magnetic domain memories, flat panel displays, liquid crystals It should be understood that there are other applications such as the manufacture of displays (LCDs), thin film magnetic heads and the like. In the context of such alternative applications, it is understood that any of the terms “wafer” or “die” used herein may be considered synonymous with the more general terms “substrate” or “target portion”, respectively. It will be. The substrate referred to herein may be processed before or after exposure, for example, with a track (typically a device that applies a layer of resist to the substrate and develops the exposed resist), a measurement device and / or an inspection device. Good. Where applicable, this disclosure may be applied to such and other substrate processing tools. Furthermore, the substrate may be processed more than once, for example to create a multi-layer IC, so the term substrate as used herein may also refer to a substrate that already contains multiple processed layers.

上記では、本発明の実施例を光リソグラフィの関係で使うことを具体的に参照したかも知れないが、この発明を他の用途、例えば、印写リソグラフィで使ってもよく、従って事情が許せば、光リソグラフィに限定されないことが分るだろう。印写リソグラフィでは、パターニング装置の微細構造が基板上に創るパターンを決める。このパターニング装置の微細構造を基板に供給するレジストの層に押付け、そこで電磁放射線、熱、圧力またはその組合せを加えることによってこのレジストを硬化してもよい。このレジストが硬化してから、パターニング装置をレジストから外へ移動させ、それにパターンを残す。   Although the above may have specifically referred to the use of embodiments of the present invention in the context of photolithography, the present invention may be used in other applications, for example, printing lithography, and as circumstances permit. You will find that you are not limited to photolithography. In printing lithography, the fine structure of the patterning device determines the pattern created on the substrate. The resist may be cured by pressing the patterning device microstructure against a layer of resist supplied to the substrate, where electromagnetic radiation, heat, pressure or a combination thereof is applied. After the resist is cured, the patterning device is moved out of the resist leaving a pattern in it.

本発明の特定の実施例を以上において説明したが、本発明を説明したのと別の方法で実施してもよいことが分るだろう。例えば、この発明は、上に開示した方法を記述する機械可読命令の一つ以上のシーケンスを含むコンピュータプログラム、またはそのようなコンピュータプログラムを記憶したデータ記憶媒体(例えば、半導体メモリ、磁気若しくは光ディスク)を含んでもよい。   While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may be a computer program that includes one or more sequences of machine-readable instructions describing the methods disclosed above, or a data storage medium (eg, semiconductor memory, magnetic or optical disk) that stores such a computer program. May be included.

上の説明は、例示であることを意図し、限定を意図しない。それで、以下に示す請求項の範囲から逸脱することなく、この説明した発明に修正を施すことができることが明白だろう。   The above description is intended to be illustrative and not limiting. Thus, it will be apparent that modifications may be made to the invention as described without departing from the scope of the claims set out below.

本発明は、実施例で説明したような、リソグラフィ装置の応用またはリソグラフィ装置での使用に限定されない。更に、図面は、本発明を理解するために必要な要素および特徴を含む。その他は、このリソグラフィ装置の図面は、概略的で目盛どおりではない。本発明は、これらの概略図に示す要素(例えば、これらの概略図に描くミラー数)に限定されない。更に、本発明は、実施例1および図1および図2で説明したリソグラフィ装置に限定されない。上に説明した実施例は、組合わせてもよいことを理解すべきである。   The invention is not limited to lithographic apparatus applications or use in lithographic apparatus as described in the embodiments. Further, the drawings include elements and features necessary to understand the present invention. Otherwise, the drawing of the lithographic apparatus is schematic and not on scale. The invention is not limited to the elements shown in these schematics (eg, the number of mirrors drawn in these schematics). Further, the present invention is not limited to the lithographic apparatus described in the first embodiment and FIGS. It should be understood that the embodiments described above may be combined.

本発明の実施例によるリソグラフィ装置を示す。1 depicts a lithographic apparatus according to an embodiment of the invention. 図1によるリソグラフィ投影装置のEUV照明システムおよび投影光学素子を示す側面図である。FIG. 2 is a side view showing an EUV illumination system and projection optics of the lithographic projection apparatus according to FIG. 図2に示すリソグラフィ装置の詳細図である。FIG. 3 is a detailed view of the lithographic apparatus shown in FIG. ガス障壁の詳細図である。It is detail drawing of a gas barrier. 本発明の実施例によるコレクタミラーを示す軸線方向断面図である。It is an axial sectional view showing a collector mirror according to an embodiment of the present invention. 放熱フィンを備えるコレクタを示す。The collector provided with a radiation fin is shown. 本発明の実施例によるリソグラフィ装置の光学素子またはその一部上の堆積物を含む層を示す。1 shows a layer comprising a deposit on an optical element or part thereof of a lithographic apparatus according to an embodiment of the invention; 本発明の実施例によるリソグラフィ装置の光学素子またはその一部上の堆積物を含む層を示す。1 shows a layer comprising a deposit on an optical element or part thereof of a lithographic apparatus according to an embodiment of the invention;

符号の説明Explanation of symbols

1 リソグラフィ装置
47 線源室
47a 線源室の壁
49 ガス障壁
50 コレクタミラー
51 格子スペクトルフィルタ
52 開口
53 反射器
54 反射器
147 電極
C 目標部分
IL 照明システム
MA パターニング装置
MT 支持体
PB 投影ビーム
PL 投影システム
SO 線源
W 基板
WT 基板テーブル
DESCRIPTION OF SYMBOLS 1 Lithographic apparatus 47 Source chamber 47a Source chamber wall 49 Gas barrier 50 Collector mirror 51 Grating spectral filter 52 Aperture 53 Reflector 54 Reflector 147 Electrode C Target part IL Illumination system MA Patterning device MT Support PB Projection beam PL Projection System SO radiation source W substrate WT substrate table

Claims (15)

リソグラフィ装置において光学素子を汚染源から保護する光学素子の保護方法であって、前記汚染源が、使用時に、放射源から前記光学素子の方へ放射された放射線によって第1の材料の粒子が前記光学素子の方へ放出される、第1の材料を含む、光学素子の保護方法であって、
B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む第2の材料を、使用時に当該第2の材料の粒子が前記第1の材料の粒子よりも放出されるように設ける工程と、
前記第2の材料の放出された粒子の少なくとも一部を前記光学素子上に設ける工程と、
前記第2の材料の少なくとも一部を前記放射線源からの粒子と前記第2の材料の衝突、前記第2の材料の照射、および/または前記第2の材料への熱エネルギーの伝達によって前記光学素子から除去する工程と
を含む、方法。
An optical element protection method for protecting an optical element from a contamination source in a lithographic apparatus, wherein the contamination source, in use, causes particles of the first material to be emitted by radiation emitted from the radiation source toward the optical element. A method for protecting an optical element comprising a first material emitted towards
When a second material containing one or more elements selected from B, C, Si, Ge and / or Sn is used, particles of the second material are released more than particles of the first material. A step of providing
Providing at least a portion of the emitted particles of the second material on the optical element;
At least a portion of the second material may be produced by colliding the particles from the radiation source with the second material, irradiating the second material, and / or transferring thermal energy to the second material. and a step of element-or et de method.
請求項1に記載の方法に於いて、前記放射線源がXe放射線源、Li放射線源、またはSn放射線源を含むプラズマ源である方法。   The method of claim 1, wherein the radiation source is a plasma source including a Xe radiation source, a Li radiation source, or a Sn radiation source. 請求項1に記載の方法に於いて、前記第2の材料を前記リソグラフィ装置のガス障壁の少なくとも一部に設ける方法。   The method of claim 1, wherein the second material is provided on at least a portion of a gas barrier of the lithographic apparatus. 請求項1に記載の方法に於いて、前記第2の材料を前記リソグラフィ装置の支持体、装置壁、前記放射線源の室の壁、および/または開口の縁の少なくとも一部に設ける方法。   2. The method according to claim 1, wherein the second material is provided on at least part of the support of the lithographic apparatus, the apparatus wall, the wall of the radiation source chamber and / or the edge of the opening. 請求項1に記載の方法に於いて、前記第2の材料を前記放射線源の電極の少なくとも一部に設ける方法。   2. The method of claim 1, wherein the second material is provided on at least a portion of an electrode of the radiation source. 請求項1に記載の方法に於いて、前記第2の材料を濡らし、塗被および/または含浸によって設ける方法。   2. A method according to claim 1, wherein the second material is wetted and applied by coating and / or impregnation. リソグラフィ装置において光学素子を汚染源から保護する光学素子の保護方法であって、前記汚染源が、使用時に、放射源から前記光学素子の方へ放射された放射線によって第1の材料の粒子が前記光学素子の方へ放出される、第1の材料を含む、光学素子の保護方法であって、
B、C、Si、Geおよび/またはSnから選択した一つ以上の元素を含む第2の材料を、使用時に当該第2の材料の粒子が前記第1の材料の粒子よりも放出されるように設ける工程と、
前記第2の材料の放出された粒子の少なくとも一部を前記光学素子上に設ける工程と、
前記第2の材料の少なくとも一部を前記光学素子から除去する工程と
を含み、前記第2の材料が、前記光学素子に堆積してから、原子水素、またはハロゲン、またはその組合せによって、少なくとも部分的に前記光学素子から除去され得る材料を含む方法。
An optical element protection method for protecting an optical element from a contamination source in a lithographic apparatus, wherein, when the contamination source is in use, particles of the first material are caused by radiation emitted from the radiation source toward the optical element. A method for protecting an optical element comprising a first material emitted towards
When a second material containing one or more elements selected from B, C, Si, Ge and / or Sn is used, particles of the second material are released more than particles of the first material. A step of providing
Providing at least a portion of the emitted particles of the second material on the optical element;
Removing at least a portion of the second material from the optical element;
And wherein the second material is deposited on the optical element and then can be at least partially removed from the optical element by atomic hydrogen, or halogen, or a combination thereof.
リソグラフィ装置用の光学素子の保護方法であって、前記リソグラフィ装置が放射線源、およびガス障壁、支持体、装置壁、該放射線源の室の壁、および開口の縁を含むグループから選択した一つ以上の部品を含み、前記方法が、
a)前記一つ以上の部品上にSn堆積物を設けるように、Snを含むプラズマを生成するために前記放射線源を作動する工程と、
b)前記光学素子にSn堆積物を設けるように、Snを含むプラズマを生成するためにこの放射線源を作動する工程と
を含み、a)の間に得た前記リソグラフィ装置の一つ以上の部品上のSn堆積物の少なくとも一部を、b)の間において前記放射線源からの粒子と前記リソグラフィ装置の一つ以上の部品上のSn堆積物の衝突によって除去する方法。
A method of protecting an optical element for a lithographic apparatus, wherein the lithographic apparatus is selected from the group comprising a radiation source and a gas barrier, a support, an apparatus wall, a chamber wall of the radiation source, and an edge of the opening Including the above parts, the method comprising:
a) activating the radiation source to generate a plasma containing Sn to provide Sn deposits on the one or more components;
b) actuating the radiation source to generate a Sn-containing plasma so as to provide a Sn deposit on the optical element, wherein one or more parts of the lithographic apparatus obtained during a) A method of removing at least a portion of the upper Sn deposit by collision of particles from the radiation source and Sn deposit on one or more parts of the lithographic apparatus during b).
光学素子および汚染源を有するリソグラフィ装置を使うことによるデバイス製造方法であって、前記汚染源が、使用時に、放射源から前記光学素子の方へ放射された放射線によって第1の材料の粒子が前記光学素子の方へ放出される、第1の材料を含み、前記装置がB、C、Si、Geおよび/またはSnを含むグループから選択した一つ以上の元素を含む第2の材料を含み、前記第2の材料は、当該第2の材料の粒子が使用時に前記第1の材料の粒子よりも放出されやすいものである、デバイス製造方法であって、
放射線源からの放射線を含む放射線ビームを生成する工程と、
放射線ビームをパターン化する工程と、
パターン化した放射線ビームを基板の目標部分上に投影する工程と、
前記第2の材料の少なくとも一部を前記放射線源からの粒子と前記第2の材料の衝突、前記第2の材料の照射、および/または前記第2の材料への熱エネルギーの伝達によって前記光学素子から除去する工程と
を含む、方法。
A method of manufacturing a device by using a lithographic apparatus having an optical element and a contamination source, wherein the contamination source, in use, causes particles of the first material to be emitted by radiation emitted from the radiation source toward the optical element. A second material comprising one or more elements selected from the group comprising B, C, Si, Ge and / or Sn, The material of 2 is a device manufacturing method in which the particles of the second material are more easily released than the particles of the first material in use,
Generating a radiation beam containing radiation from a radiation source;
Patterning the radiation beam;
Projecting a patterned beam of radiation onto a target portion of a substrate;
At least a portion of the second material may be produced by colliding the particles from the radiation source with the second material, irradiating the second material, and / or transferring thermal energy to the second material. and a step of element-or et de method.
請求項に記載の方法に於いて、前記放射線源がXe放射線源、Li放射線源、またはSn放射線源を含むプラズマ源である方法。 10. The method of claim 9 , wherein the radiation source is a plasma source including a Xe radiation source, a Li radiation source, or a Sn radiation source. 請求項に記載の方法に於いて、前記第2の材料を前記リソグラフィ装置のガス障壁に設ける方法。 10. A method according to claim 9 , wherein the second material is provided on a gas barrier of the lithographic apparatus. 請求項に記載の方法に於いて、前記第2の材料を前記リソグラフィ装置の支持体、装置壁、前記放射線源の室の壁、および/または開口の縁に設ける方法。 10. A method according to claim 9 , wherein the second material is provided on the support of the lithographic apparatus, the apparatus wall, the wall of the radiation source chamber and / or the edge of the opening. 請求項に記載の方法に於いて、前記第2の材料を前記放射線源の電極に設ける方法。 The method according to claim 9 , wherein the second material is provided on an electrode of the radiation source. 請求項に記載の方法に於いて、前記第2の材料を濡らし、被覆および/または含浸によって設ける方法。 10. A method according to claim 9 , wherein the second material is wetted and provided by coating and / or impregnation. 光学素子および汚染源を有するリソグラフィ装置を使うことによるデバイス製造方法であって、前記汚染源が、使用時に、放射源から前記光学素子の方へ放射された放射線によって第1の材料の粒子が前記光学素子の方へ放出される、第1の材料を含み、前記装置がB、C、Si、Geおよび/またはSnを含むグループから選択した一つ以上の元素を含む第2の材料を含み、前記第2の材料は、当該第2の材料の粒子が使用時に前記第1の材料の粒子よりも放出されやすいものである、デバイス製造方法であって、
放射線源からの放射線を含む放射線ビームを生成する工程と、
放射線ビームをパターン化する工程と、
パターン化した放射線ビームを基板の目標部分上に投影する工程と、
前記第2の材料の少なくとも一部を前記光学素子から除去する工程と
を含み、前記第2の材料が、前記光学素子に堆積してから、原子水素、またはハロゲンによって、少なくとも部分的に前記光学素子から除去され得る材料を含む方法。
A method of manufacturing a device by using a lithographic apparatus having an optical element and a contamination source, wherein the contamination source, in use, causes particles of the first material to be emitted by radiation emitted from the radiation source toward the optical element. A second material comprising one or more elements selected from the group comprising B, C, Si, Ge and / or Sn, The material of 2 is a device manufacturing method in which the particles of the second material are more easily released than the particles of the first material in use,
Generating a radiation beam containing radiation from a radiation source;
Patterning the radiation beam;
Projecting a patterned beam of radiation onto a target portion of a substrate;
Removing at least a portion of the second material from the optical element;
Hints, wherein the second material, the deposited on the optical element, atomic hydrogen or the halogen, which comprises a material that can be removed at least partially from the optical element.
JP2005376978A 2004-12-29 2005-12-28 Optical element protection method and device manufacturing method Expired - Fee Related JP4469791B2 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/024,011 US7211810B2 (en) 2004-12-29 2004-12-29 Method for the protection of an optical element, lithographic apparatus, and device manufacturing method

Publications (2)

Publication Number Publication Date
JP2006191082A JP2006191082A (en) 2006-07-20
JP4469791B2 true JP4469791B2 (en) 2010-05-26

Family

ID=36610322

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005376978A Expired - Fee Related JP4469791B2 (en) 2004-12-29 2005-12-28 Optical element protection method and device manufacturing method

Country Status (2)

Country Link
US (1) US7211810B2 (en)
JP (1) JP4469791B2 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7868304B2 (en) * 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7750326B2 (en) * 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
US7402825B2 (en) * 2005-06-28 2008-07-22 Cymer, Inc. LPP EUV drive laser input system
US7561247B2 (en) * 2005-08-22 2009-07-14 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US20080257883A1 (en) 2007-04-19 2008-10-23 Inbev S.A. Integrally blow-moulded bag-in-container having an inner layer and the outer layer made of the same material and preform for making it
US9919841B2 (en) 2007-04-19 2018-03-20 Anheuser-Busch Inbev S.A. Integrally blow-moulded bag-in-container having interface vents opening to the atmosphere at location adjacent to bag's mouth, preform for making it; and processes for producing the preform and bag-in-container
US20080259298A1 (en) * 2007-04-19 2008-10-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9110390B2 (en) * 2007-06-12 2015-08-18 Koninklijke Philps N.V. Optical device and method of in situ treating an EUV optical component to enhance a reduced reflectivity
TWI412056B (en) * 2007-06-13 2013-10-11 Koninkl Philips Electronics Nv Optical device and method of in situ treating an euv optical component to enhance a reduced reflectivity
US20090074962A1 (en) * 2007-09-14 2009-03-19 Asml Netherlands B.V. Method for the protection of an optical element of a lithographic apparatus and device manufacturing method
US7741626B2 (en) * 2008-09-12 2010-06-22 Cymer, Inc. Spectral purity filters and methods therefor
JP5534910B2 (en) * 2009-04-23 2014-07-02 ギガフォトン株式会社 Extreme ultraviolet light source device
DE102011083461A1 (en) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh A method of forming a top layer of silicon oxide on an EUV mirror
DE102011083462A1 (en) * 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh EUV mirror with an oxynitride topcoat of stable composition
KR20140036538A (en) * 2012-09-17 2014-03-26 삼성전자주식회사 Apparatus for creating an ultraviolet light, an exposing apparatus including the same, and electronic devices manufactured using the exposing apparatus
WO2014130926A1 (en) 2013-02-25 2014-08-28 Kla-Tencor Corporation Methods and apparatus for cleaning objects in a chamber of an optical instrument by generating reactive ions using photon radiation
DE102015207140A1 (en) * 2015-04-20 2016-10-20 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
DE102016217633A1 (en) * 2016-09-15 2018-03-15 Carl Zeiss Smt Gmbh Optical arrangement, in particular in a projection exposure apparatus for EUV lithography

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1008352C2 (en) * 1998-02-19 1999-08-20 Stichting Tech Wetenschapp Apparatus suitable for extreme ultraviolet lithography, comprising a radiation source and a processor for processing the radiation from the radiation source, as well as a filter for suppressing unwanted atomic and microscopic particles emitted from a radiation source.
TW548524B (en) * 2000-09-04 2003-08-21 Asm Lithography Bv Lithographic projection apparatus, device manufacturing method and device manufactured thereby
US6576912B2 (en) * 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
US6664554B2 (en) * 2001-01-03 2003-12-16 Euv Llc Self-cleaning optic for extreme ultraviolet lithography
US6627883B2 (en) * 2001-03-02 2003-09-30 Bruker Daltonics Inc. Apparatus and method for analyzing samples in a dual ion trap mass spectrometer
US6770871B1 (en) * 2002-05-31 2004-08-03 Michrom Bioresources, Inc. Two-dimensional tandem mass spectrometry
JP4052155B2 (en) * 2003-03-17 2008-02-27 ウシオ電機株式会社 Extreme ultraviolet radiation source and semiconductor exposure apparatus
US7355672B2 (en) * 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus

Also Published As

Publication number Publication date
JP2006191082A (en) 2006-07-20
US20060138354A1 (en) 2006-06-29
US7211810B2 (en) 2007-05-01

Similar Documents

Publication Publication Date Title
JP4469791B2 (en) Optical element protection method and device manufacturing method
KR101753212B1 (en) Optical element for a lithographic apparatus, lithographic apparatus comprising such optical element and method for making the optical element
JP5230712B2 (en) Lithographic spectral purity filter, lithographic apparatus, and device manufacturing method
JP4799620B2 (en) Radiation system and lithographic apparatus
US8736810B2 (en) EUV reticle substrates with high thermal conductivity
US7468521B2 (en) Lithographic apparatus and device manufacturing method
JP5162546B2 (en) Radiation source and lithographic apparatus
JP2005129936A (en) Use of top layer of mirror used in lithography apparatus, mirror for use in lithography apparatus, lithography apparatus equipped with such mirror, and method of manufacturing the device
JP2010062560A5 (en)
JP2016507763A (en) Substrate support for lithographic apparatus and lithographic apparatus
EP2478416A2 (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
EP2534537A1 (en) Spectral purity filter
JP6395832B2 (en) Radiation source components, associated radiation sources and lithographic apparatus
JP4814922B2 (en) Method for protecting optical element of lithographic apparatus, lithographic apparatus, and device manufacturing method
JP2010045355A (en) Radiation source, lithography apparatus and device manufacturing method
JP2005347757A (en) Grazing incidence mirror, lithography equipment comprising the grazing incidence mirror, method for providing the grazing incidence mirror, method for reinforcing euv reflection of the grazing incidence mirror, device manufacturing method, and device manufactured thereby
TW201338634A (en) Ion capture apparatus, laser produced plasma radiation source, lithographic apparatus
NL2004984A (en) Spectral purity filter.

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070528

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090217

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090812

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091111

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100205

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100301

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140305

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees