JP4324545B2 - Etching processing apparatus and processing method - Google Patents

Etching processing apparatus and processing method Download PDF

Info

Publication number
JP4324545B2
JP4324545B2 JP2004351409A JP2004351409A JP4324545B2 JP 4324545 B2 JP4324545 B2 JP 4324545B2 JP 2004351409 A JP2004351409 A JP 2004351409A JP 2004351409 A JP2004351409 A JP 2004351409A JP 4324545 B2 JP4324545 B2 JP 4324545B2
Authority
JP
Japan
Prior art keywords
etching
recipe
processing result
film
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004351409A
Other languages
Japanese (ja)
Other versions
JP2005123641A (en
Inventor
昭 鹿子嶋
元彦 吉開
秀之 山本
大輔 白石
潤一 田中
研二 玉置
なつよ 森岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi Ltd filed Critical Hitachi High Technologies Corp
Priority to JP2004351409A priority Critical patent/JP4324545B2/en
Publication of JP2005123641A publication Critical patent/JP2005123641A/en
Application granted granted Critical
Publication of JP4324545B2 publication Critical patent/JP4324545B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明はエッチング処理装置及びエッチング処理方法に係り、特に外乱による影響を抑制することのできるエッチング処理装置及びエッチング処理方法に関する。   The present invention relates to an etching processing apparatus and an etching processing method, and more particularly to an etching processing apparatus and an etching processing method capable of suppressing the influence of disturbance.

プラズマを用いたエッチング処理装置は、例えば、真空処理室内にエッチングガスを導入し、減圧下でプラズマ放電を発生させ、このプラズマ中に発生するラジカルあるいはイオンを被処理物であるウエハ表面に導き、ウエハ表面で反応させることによりウエハ表面をエッチング処理する。このような処理(ドライ処理)を行うエッチング処理装置は、予め設定したレシピと呼ばれる製造条件(ガス流量、ガス圧力、投入電力、エッチング時間等)に基づいてエッチング処理を施す。   An etching processing apparatus using plasma, for example, introduces an etching gas into a vacuum processing chamber, generates a plasma discharge under reduced pressure, guides radicals or ions generated in the plasma to the surface of the wafer that is the object to be processed, The wafer surface is etched by reacting on the wafer surface. An etching processing apparatus that performs such processing (dry processing) performs etching processing based on manufacturing conditions (gas flow rate, gas pressure, input power, etching time, etc.) called a preset recipe.

前記レシピは、例えば1つのプロセスを数ステップに分割してステップ毎に製造条件を変更するフォトマスクの製造プロセス等を除き、半導体デバイスの特定の同一プロセスからなる製造工程(単一の被エッチング膜に対するエッチング処理工程)においては一定に保持するのが通常である。   The recipe includes, for example, a manufacturing process (single film to be etched) consisting of a specific process of a semiconductor device except for a photomask manufacturing process in which one process is divided into several steps and manufacturing conditions are changed for each step. In the etching process step, it is usually kept constant.

しかし、一定のレシピを用いた一定のエッチング処理を行っても、装置の経時変化等の種々の外乱により一定の性能を常に得ることは困難である。   However, even if a certain etching process using a certain recipe is performed, it is difficult to always obtain a certain performance due to various disturbances such as aging of the apparatus.

そこで、外乱を抑制する方法として、例えば特許文献1には、処理結果をモニタし、モニタ結果を基にレシピへフィードバックする手法が開示されている。
特開2003−17471号公報
Therefore, as a method for suppressing disturbance, for example, Patent Document 1 discloses a method of monitoring a processing result and feeding back to a recipe based on the monitoring result.
JP 2003-17471 A

半導体ウエハ等の試料に対する処理結果をモニタし、モニタ結果をレシピにフィードバックする場合、前記レシピの構成要素としては、ガス流量、圧力、投入電力、エッチング時間等の数多くのパラメータが存在する。これらのパラメータの中から、制御に最適なパラメータを特定し、更に特定したパラメータを用いて制御モデルを構築するには、多大な労力、時間をかけて多数の実験やシミュレーションを行うことが必要となる。   When a processing result for a sample such as a semiconductor wafer is monitored and the monitoring result is fed back to a recipe, the recipe includes many parameters such as gas flow rate, pressure, input power, and etching time. Of these parameters, it is necessary to perform a great number of experiments and simulations with a great deal of labor and time in order to identify optimal parameters for control and to build a control model using the specified parameters. Become.

また、前記最適の制御モデルを構築したとしても、予期せぬ副作用が生じる可能性がある。例えば、FET(Field Effect Transistor)のゲート電極を形成するゲートエッチング工程では、デバイス性能に影響を与えないため、被エッチング膜の直下にある薄いゲート絶縁膜に障害を与えないエッチングプロセスが要求される。しかし、前記のようにモニタ結果をレシピにフィードバックした結果、被エッチング膜とゲート絶縁膜との選択比が悪化する方向に装置の特性が変動する場合はゲート絶縁膜までも加工することになり、ゲート絶縁膜に障害を与えることとなる。   Even if the optimal control model is constructed, unexpected side effects may occur. For example, in a gate etching process for forming a gate electrode of an FET (Field Effect Transistor), since it does not affect device performance, an etching process that does not impede the thin gate insulating film directly under the film to be etched is required. . However, as a result of feeding back the monitoring results to the recipe as described above, if the characteristics of the device fluctuate in the direction in which the selection ratio between the film to be etched and the gate insulating film deteriorates, the gate insulating film will be processed. This will damage the gate insulating film.

すなわち、種々の外乱をフィードバック制御により抑制することを想定していても、実際の製造工程で実現するには、多大な労力、時間が必要となり、さらに、予期せぬ副作用の懸念は拭えない。本発明はこれらの問題点に鑑みてなされたもので、特にフィードバック制御を行う際に予期せぬ副作用への懸念を軽減し、多大な労力、時間をかけずに制御モデルを構築することのできるエッチング処理方法を提供する。   That is, even if it is assumed that various disturbances are suppressed by feedback control, a great amount of labor and time are required to realize it in an actual manufacturing process, and further, the concern about unexpected side effects cannot be wiped out. The present invention has been made in view of these problems. In particular, when performing feedback control, it is possible to reduce concerns about unexpected side effects, and to build a control model without taking much effort and time. An etching method is provided.

本発明は上記課題を解決するため、次のような手段を採用した。   In order to solve the above problems, the present invention employs the following means.

ゲート絶縁膜上の単一の被エッチング膜に対してそれぞれ異なるレシピを適用した複数のエッチングステップからなるエッチング処理を施すエッチング処理装置であって、
エッチング処理室内のプラズマ状態をモニタし、前記モニタした結果を基にエッチング加工結果を推定する加工結果推定部と、前記推定されたエッチング加工結果を基にレシピを調整する制御モデル部とを備え、前記複数のエッチングステップのうち前記被エッチング膜に接する下地層が露出するおそれのあるエッチングステップに適用するレシピは予め設定したレシピに固定し、前記複数のエッチングステップのうち残余のエッチングステップの1つに適用するレシピを前記制御モデル部が調整したレシピをもとにエッチング処理を施す。
An etching processing apparatus for performing an etching process including a plurality of etching steps each applying a different recipe to a single film to be etched on a gate insulating film ,
A plasma state in the etching processing chamber is monitored, a processing result estimation unit that estimates an etching processing result based on the monitored result, and a control model unit that adjusts a recipe based on the estimated etching processing result, A recipe to be applied to an etching step in which the underlying layer in contact with the film to be etched may be exposed among the plurality of etching steps is fixed to a preset recipe, and one of the remaining etching steps among the plurality of etching steps. Etching is performed based on the recipe adjusted by the control model unit.

本発明は、以上の構成を備えるため、フィードバック制御を行う際に予期せぬ副作用への懸念を軽減し、多大な労力、時間をかけずに制御モデルを構築することのできるエッチング処理装置及び方法を提供することができる。   Since the present invention has the above-described configuration, it is possible to reduce anxiety about an unexpected side effect when performing feedback control, and an etching processing apparatus and method capable of constructing a control model without taking much labor and time. Can be provided.

以下、本発明の実施形態を添付図面を参照しながら説明する。図1は、本発明の実施形態に係るエッチング処理装置を示す図である。図において、エッチング処理装置の本体300は、処理チャンバ(処理容器)201と、処理チャンバ内に処理ガスを供給するガス供給手段202と、処理ガスを排気し処理チャンバ内の圧力を制御するガス排気手段203を備える。さらに処理チャンバ201内には処理対象となる試料204を支持する試料台205が設置されており、また処理チャンバ内にはプラズマを生成するためのプラズマ生成手段206が備えられる。   Embodiments of the present invention will be described below with reference to the accompanying drawings. FIG. 1 is a diagram showing an etching processing apparatus according to an embodiment of the present invention. In the figure, a main body 300 of an etching processing apparatus includes a processing chamber (processing vessel) 201, gas supply means 202 for supplying a processing gas into the processing chamber, and gas exhaust for controlling the pressure in the processing chamber by exhausting the processing gas. Means 203 are provided. Further, a sample stage 205 that supports a sample 204 to be processed is installed in the processing chamber 201, and plasma generating means 206 for generating plasma is provided in the processing chamber.

プラズマ生成手段は、処置チャンバ201内に電磁波を伝送して供給する電磁波供給手段301、処理チャンバ201内に磁場を生成するためのソレノイドコイル302,303を備える。また、試料台205には、発生したプラズマにより発生する反応物を試料側に向かわせるために高周波電源304から高周波の電圧が印加される。   The plasma generation means includes an electromagnetic wave supply means 301 that transmits and supplies an electromagnetic wave into the treatment chamber 201, and solenoid coils 302 and 303 for generating a magnetic field in the processing chamber 201. In addition, a high frequency voltage is applied to the sample stage 205 from a high frequency power supply 304 in order to direct reactants generated by the generated plasma to the sample side.

このドライエッチング装置には、装置状態検出手段208が設置されている。装置状態検出手段208は、例えば、ガス供給手段202から供給されるガス流量を検出するモニタ、プラズマ生成のための電力を供給する給電路の電流及び電圧を検出する検出器、前記電流及び電圧の位相差を検出する検出器、プラズマ生成のために供給する高周波電力の進行波及び反射波を検出する検出器、インピーダンスモニタなどからなる。   In this dry etching apparatus, apparatus state detection means 208 is installed. The apparatus state detection unit 208 includes, for example, a monitor that detects the flow rate of the gas supplied from the gas supply unit 202, a detector that detects the current and voltage of a power supply path that supplies power for plasma generation, and the current and voltage It comprises a detector for detecting a phase difference, a detector for detecting a traveling wave and a reflected wave of high-frequency power supplied for plasma generation, an impedance monitor, and the like.

装置状態検出手段208は、処理チャンバ201内にプラズマ生成手段206によって生成されるプラズマからの発光を検出してこれを分析する分析装置を備えている。装置状態検出手段208は、波長分解された発光スペクトルを出力する分光器のように多数の信号を出力する検出器が望ましいが、モノクロメータのような単一波長の光を取り出す検出器であってもよい。分光器の出力の発光スペクトルは、各波長毎の光強度を表す信号である。また、装置状態検出手段208は、プラズマ中物質の質量スペクトルを出力する四重極質量分析器などの一般的なプラズマ状態モニターであってもよい。   The apparatus state detection unit 208 includes an analyzer that detects light emitted from the plasma generated by the plasma generation unit 206 in the processing chamber 201 and analyzes it. The apparatus state detection means 208 is preferably a detector that outputs a large number of signals, such as a spectrometer that outputs a wavelength-resolved emission spectrum, but is a detector that extracts light of a single wavelength, such as a monochromator. Also good. The emission spectrum of the output of the spectrometer is a signal representing the light intensity for each wavelength. The apparatus state detection means 208 may be a general plasma state monitor such as a quadrupole mass analyzer that outputs a mass spectrum of a substance in plasma.

さらに、本実施形態では、上記装置状態検出手段208からの出力を受けて、装置の運転を調節する制御装置209を備えている。この制御装置209は、例えば、プラズマを発生させるための電磁波や磁界を発生させるマグネトロン等を備えたプラズマ発生手段206に対する投入電力の投入及び遮断、あるいは投入電力の調節を行う。また、他の手段を用いて発生するプラズマの出力を調節することもできる。例えば、装置状態検出手段208はプラズマを用いて試料を処理している間に発生する所定波長の光を検出した検出データをもとに処理に係る特定の反応量の増減、反応速度やプラズマの強さ等の反応状態を検出して、プラズマの発生・停止、装置の起動・停止を指令を発して装置の運転を調節することができる。   Further, in the present embodiment, a control device 209 that receives the output from the device state detection means 208 and adjusts the operation of the device is provided. The control device 209 performs, for example, on and off of the input power to the plasma generating unit 206 including a magnetron that generates an electromagnetic wave or a magnetic field for generating plasma, or adjusts the input power. In addition, the output of plasma generated using other means can be adjusted. For example, the apparatus state detection means 208 may increase / decrease a specific reaction amount related to processing based on detection data obtained by detecting light having a predetermined wavelength generated while processing a sample using plasma, reaction rate, and plasma. By detecting a reaction state such as strength, it is possible to adjust the operation of the apparatus by issuing commands to generate / stop plasma and to start / stop the apparatus.

また、制御装置209は、エッチング処理装置とは別にあるいは統合して設置されている検査装置210からの出力を受けて、処理装置の運転を調節することができる。検査装置210は、例えば、エッチング後の加工形状を計測するCD−SEM(走査型電子顕微鏡)が一般的であるが、光の散乱光を利用したスキャトロメトリと呼ばれる光学式の加工形状測定手段でも良い。   Further, the control device 209 can adjust the operation of the processing apparatus in response to an output from the inspection apparatus 210 that is installed separately or integrated with the etching processing apparatus. The inspection apparatus 210 is generally a CD-SEM (scanning electron microscope) that measures a processed shape after etching, for example, but an optical processed shape measuring means called scatterometry using scattered light. But it ’s okay.

図2は、本実施形態に係るエッチング処理装置の処理対象となる半導体デバイスにおけるゲート電極形成プロセスを各処理ステップ毎に模式的に示す図である。図において、110はシリコン基板111、ゲート絶縁膜112、単一の被エッチング膜としての多結晶(Poly)シリコン膜113を備えたウエハであり、該ウエハはマスク114を備え、またウエハ表面には自然酸化膜115が形成されている。   FIG. 2 is a diagram schematically showing a gate electrode formation process in each semiconductor step in a semiconductor device to be processed by the etching processing apparatus according to the present embodiment. In the figure, reference numeral 110 denotes a wafer provided with a silicon substrate 111, a gate insulating film 112, and a polycrystalline silicon film 113 as a single film to be etched. The wafer is provided with a mask 114, and on the wafer surface. A natural oxide film 115 is formed.

次に、図2を参照してエッチング処理を説明する。まず、ステップ1において、マスク104が形成されたウエハ110を用意する。マスク104に覆われていないポリシリコン113の表面には自然酸化膜が形成されているので、これをステップ2において除去(ブレークスルー)する。ステップ3において、高いエッチングレートを保つことのできるレシピを採用して形状よくエッチング処理する(メインエッチング1)。ステップ4において、ゲート絶縁膜との選択比を保つことのできるレシピを採用し、ゲート絶縁膜に影響を与えないように加工する(メインエッチング2)。ステップ5において、被エッチング膜の残渣をエッチング除去する(オーバーエッチング)。   Next, the etching process will be described with reference to FIG. First, in step 1, a wafer 110 on which a mask 104 is formed is prepared. Since a natural oxide film is formed on the surface of the polysilicon 113 not covered with the mask 104, it is removed (breakthrough) in step 2. In step 3, a recipe that can maintain a high etching rate is adopted and etching is performed with a good shape (main etching 1). In step 4, a recipe capable of maintaining the selection ratio with the gate insulating film is adopted and processed so as not to affect the gate insulating film (main etching 2). In step 5, the residue of the film to be etched is removed by etching (overetching).

なお、前記エッチング処理において、「メインエッチング」は被エッチング膜をエッチングするステップを示し、自然酸化膜などの被エッチング膜表面の物質を除去するステップ(ブレークスルー)及び被エッチング膜の残渣を除去するステップ(オーバーエッチング)を除いた処理を示す。   In the etching process, “main etching” indicates a step of etching the film to be etched, a step of removing a material on the surface of the film to be etched such as a natural oxide film (breakthrough) and a residue of the film to be etched are removed. The process except a step (overetching) is shown.

ところで、デバイスの高速化と低消費電力化を図るために、図2に示すゲート長200は年々短くなってきている。デバイスのゲート長はデバイスの特性を決める重要な寸法であることから、CD(Critical Dimension)値と呼ばれている。ゲート長が短くなるにつれて、ゲートエッチングプロセスで許容されるゲート長のばらつきも数nmのオーダーとなってきており、ゲート長を安定に製造できるエッチングプロセスは益々必要とされる。また、ゲート長微細化に伴って、ゲート絶縁膜も薄膜化の一途をたどっている。例えば、ゲート長が100nmの場合、ゲート絶縁膜の膜厚は約2nmである。このようなゲート絶縁膜に対しては、ゲート絶縁膜の絶縁特性の劣化を避けるため、このゲート絶縁膜に対してダメージを与えることのないエッチングプロセスが必要となる。   Incidentally, the gate length 200 shown in FIG. 2 is becoming shorter year by year in order to increase the device speed and power consumption. Since the gate length of a device is an important dimension that determines the characteristics of the device, it is called a CD (Critical Dimension) value. As the gate length becomes shorter, the variation in the gate length allowed in the gate etching process is on the order of several nanometers, and an etching process that can stably manufacture the gate length is increasingly required. In addition, as the gate length becomes finer, the gate insulating film is becoming thinner. For example, when the gate length is 100 nm, the thickness of the gate insulating film is about 2 nm. For such a gate insulating film, an etching process that does not damage the gate insulating film is required in order to avoid deterioration of the insulating characteristics of the gate insulating film.

一般に、ドライエッチング装置は、処理対象とするワーク(試料)の要求寸法、形状が満足できるように、製造(量産)前にレシピと呼ばれる製造条件(ガス流量、ガス圧力、投入電力、エッチング時間等)を決定する。なお、前述したように、フォトマスクの製造プロセスを除き、製造(量産)に際しては前記レシピを常に一定に保持するのが通常である。しかし、前述のように一定のレシピを用いてエッチング処理を行っても、装置の経時変化等の種々の外乱により、常に一定のエッチング結果を得ることは困難である。   In general, dry etching equipment uses manufacturing conditions (gas flow rate, gas pressure, input power, etching time, etc.) called a recipe before manufacturing (mass production) so that the required dimensions and shape of the workpiece (sample) to be processed can be satisfied. ). As described above, except for the photomask manufacturing process, the recipe is usually kept constant during the manufacturing (mass production). However, even if the etching process is performed using a certain recipe as described above, it is difficult to always obtain a certain etching result due to various disturbances such as a change with time of the apparatus.

図3は、本実施形態に係るエッチング処理装置の処理を説明する図である。図において、1はプラズマ1cを生成するプラズマエッチング処理室、1bは処理室内のウェハステージ1a上に載置した被処理物であるウェハである。2は装置に供給するガス流量、圧力、投入電力等の処理中のプロセス量をモニターするためのセンサであり、これらのセンサは通常プラズマエッチング処理装置に標準装備される。3は付加センサであり、例えば、プラズマ光のスペクトルをモニターするための発光分光センサ(OES: Optical Emission Spectroscopy)、プラズマ中の質量を分析するための四重極質量分析装置(QMS: Quadrupole Mass Spectrometry)等からなる。4はレシピ5に従ってエッチング処理装置を制御するアクチュエータである。6は検査装置8から得られた処理結果を基に、レシピ5を算出する制御モデル部であり、本レシピはウェハ処理毎あるいは処理中に変更可能である。   FIG. 3 is a diagram for explaining the processing of the etching processing apparatus according to the present embodiment. In the figure, reference numeral 1 denotes a plasma etching processing chamber for generating plasma 1c, and reference numeral 1b denotes a wafer which is an object to be processed placed on a wafer stage 1a in the processing chamber. Reference numeral 2 denotes a sensor for monitoring a process amount during processing such as a flow rate of gas supplied to the apparatus, pressure, input power, and the like, and these sensors are usually provided as standard equipment in a plasma etching processing apparatus. Reference numeral 3 denotes an additional sensor, for example, an emission spectroscopy sensor (OES) for monitoring the spectrum of plasma light, a quadrupole mass spectrometer (QMS) for analyzing the mass in the plasma. ) Etc. Reference numeral 4 denotes an actuator for controlling the etching processing apparatus according to the recipe 5. Reference numeral 6 denotes a control model unit that calculates the recipe 5 based on the processing result obtained from the inspection apparatus 8, and this recipe can be changed for each wafer process or during the process.

検査装置7は、普通、CD−SEMが一般的であるが、エッチング処理装置とは別置きの光散乱光を利用して寸法、形状を測定するスキャトロメトリ(光散乱式形状計測手段)でも良い。また、この装置をエッチング処理装置と一体化し、組み込んでも良い。   The inspection device 7 is generally a CD-SEM, but it is also a scatterometry (light scattering type shape measuring means) that measures the size and shape using light scattered light separately from the etching processing device. good. Moreover, this apparatus may be integrated with the etching processing apparatus.

前工程から供給されたウェハ8は、プラズマエッチング処理室1に供給される。供給されたウェハは複数枚のロット単位で処理され、エッチング加工されたウェハ9となる。ウェハ9は、そのエッチング(加工)結果を検査するために、CD−SEM等の検査装置7に供給される。検査されたウェハ10は次工程へと搬送されることになる。   The wafer 8 supplied from the previous process is supplied to the plasma etching processing chamber 1. The supplied wafer is processed in units of a plurality of lots to become an etched wafer 9. The wafer 9 is supplied to an inspection apparatus 7 such as a CD-SEM in order to inspect the etching (processing) result. The inspected wafer 10 is transferred to the next process.

検査装置7で検査された結果(CD値)は、目標値からの偏差を計算し、制御モデル部6へ出力される。制御モデル部6では、予め実験あるいはシミュレーションを使って構築されたCD値制御モデル11を基に、ほぼ同程度のプロセスを用いる次処理のウェハのレシピが計算され、そのレシピによって次のエッチングが開始される。   The result (CD value) inspected by the inspection device 7 calculates a deviation from the target value, and is output to the control model unit 6. The control model unit 6 calculates a recipe for the next processing wafer using substantially the same process on the basis of the CD value control model 11 that has been constructed in advance through experiments or simulations, and starts the next etching using the recipe. Is done.

このようなフィードバック制御において懸念されるのは、前述した制御による副作用である。すなわち、ゲートエッチング工程では、被エッチング膜の下にある薄いゲート絶縁膜に損傷を与えないエッチングプロセスが要求される。しかし、前記のようなレシピの調整を含む制御を行う場合には、被エッチング膜とゲート絶縁膜との選択比が悪化する方向に変動することがあり、この場合はゲート絶縁膜までも加工してしまうという副作用が発生する。   What is concerned about such feedback control is the side effect of the control described above. That is, the gate etching process requires an etching process that does not damage the thin gate insulating film under the film to be etched. However, when the control including the adjustment of the recipe as described above is performed, the selection ratio between the film to be etched and the gate insulating film may fluctuate, and in this case, even the gate insulating film is processed. A side effect occurs.

図4は、前記メインエッチング1における酸素O 流量に対するCD値制御性を示す図である。図に示すように線形の制御性を示しており、メインエッチング1における酸素Oの流量制御はCD値制御に対して有効な制御パラメータであることが分かる。また、メインエッチング1においては、そのエッチング中にゲート絶縁膜は露出しないため、ゲート絶縁膜に与える副作用は考慮する必要はない。図4の例では制御要因と結果が線形の関係にあるが、この関係が線形でない場合にも本発明は適用できる。 FIG. 4 is a diagram showing the CD value controllability with respect to the oxygen O 2 flow rate in the main etching 1. As shown in the figure, linear controllability is shown, and it is understood that the flow rate control of oxygen O 2 in the main etching 1 is an effective control parameter for the CD value control. Further, in the main etching 1, since the gate insulating film is not exposed during the etching, it is not necessary to consider the side effect on the gate insulating film. In the example of FIG. 4, the control factor and the result are in a linear relationship, but the present invention can also be applied when this relationship is not linear.

すなわち、種々の外乱に対して、常に一定の結果を得ようとするエッチングプロセス制御において、ゲート絶縁膜にダメージを与えないように制御するには、メインエッチング2とオーバーエッチングなどのゲート絶縁膜が露出するおそれのあるステップのレシピは固定とし、ブレークスルーあるいはメインエッチング1等のゲート絶縁膜が露出しない(露出するおそれのない)ステップにおける少なくとも1つのステップを対象とし、該ステップにおける少なくとも1つのパラメータを可変パラメータとしてフィードバック制御(レシピ調整)を行う。例えば、前述のように酸素Oを制御パラメータとした場合は、図5に示すように、メインエッチング1(ME1)の酸素O以外のレシピを固定して、酸素Oの流量のみを可変とする。 That is, in the etching process control for always obtaining a constant result with respect to various disturbances, the gate insulating film such as the main etching 2 and the over-etching can be controlled so as not to damage the gate insulating film. The recipe of the step that may be exposed is fixed, and at least one parameter in the step where the gate insulating film such as breakthrough or main etching 1 is not exposed (there is no risk of exposure) is targeted, and at least one parameter in the step Is used as a variable parameter to perform feedback control (recipe adjustment). For example, when oxygen O 2 is used as the control parameter as described above, a recipe other than oxygen O 2 of main etching 1 (ME1) is fixed and only the flow rate of oxygen O 2 is variable as shown in FIG. And

図6は、メインエッチング1において、酸素O2の流量を変化させたときのCD値の制御機構を説明する図である。メインエッチング処理1(被エッチング膜の上層部に対するエッチング、ME1)中における酸素Oの流量を増加させると、被エッチング材の側壁への堆積が増加し、側壁保護膜が形成される。このため、メインエッチング処理の完了後に形成されるゲート寸法(CD値)は、酸素Oの流量の増加前に比して数nm程度太く形成される。なお、上記とは逆に酸素Oの流量を減らすことにより、CD値を小さくすることができる。 FIG. 6 is a diagram for explaining a CD value control mechanism when the flow rate of oxygen O 2 is changed in the main etching 1. When the flow rate of oxygen O 2 in the main etching process 1 (etching on the upper layer portion of the film to be etched, ME1) is increased, deposition on the side wall of the material to be etched increases, and a side wall protective film is formed. For this reason, the gate dimension (CD value) formed after the completion of the main etching process is formed to be thicker by several nm than before the increase in the flow rate of oxygen O 2 . In contrast to the above, the CD value can be reduced by reducing the flow rate of oxygen O 2 .

次に、メインエッチング処理2(被エッチング膜の下層部に対するエッチング、ME2)中においては、メインエッチング処理1完了時に形成された側壁保護膜が作用する。このため、メインエッチング処理2における当初の寸法および形状はメインエッチング処理1完了時の寸法及び形状を継承することになり、結果として、CD値は数nm太く形成される。   Next, during the main etching process 2 (etching of the lower layer portion of the film to be etched, ME2), the side wall protective film formed when the main etching process 1 is completed acts. For this reason, the initial size and shape in the main etching process 2 inherit the dimensions and shape at the time of completion of the main etching process 1, and as a result, the CD value is formed thick by several nm.

デバイスの特性を左右するゲート長として重要な部分(CD値)は、エッチングされたポリシリコン層の最下部(すそ部)の寸法であるため、この部分の寸法を安定に加工することが重要である。   The important part (CD value) as the gate length that affects the characteristics of the device is the dimension of the lowermost part (hem part) of the etched polysilicon layer, so it is important to process the dimension of this part stably. is there.

ここで、重要なことは、可変パラメータとしての酸素Oの流量を無制限に変動させることはできないことである。例えば、前回エッチングのCD値が目標値に対して10nm程度細くなった場合、図4に示す酸素O 流量に対するCD制御性をもとに必要とされる酸素O流量を算出すると、この流量は予め設定した可変パラメータの制御範囲を超えること(過剰制御)になる。この場合、酸素Oの流量をそれに見合って増やすことはできない。なぜなら、酸素Oを増やしすぎることによって、デバイス性能上問題となる別の副作用が発生する可能性があるからである。したがって、酸素Oの流量を可変パラメータとする場合は、予め上限下限を定めておき、レシピ計算時に酸素Oの流量がその値を超えた場合、警報を出力する、あるいはエッチング処理を停止する、あるいは前記流量を上限値あるいは下限値で実行する等の対応が必要となる。 Here, what is important is that the flow rate of oxygen O 2 as a variable parameter cannot be varied indefinitely. For example, when the CD value of the previous etching is about 10 nm thinner than the target value, the required oxygen O 2 flow rate is calculated based on the CD controllability with respect to the oxygen O 2 flow rate shown in FIG. Exceeds the preset control range of the variable parameter (excess control). In this case, the flow rate of oxygen O 2 cannot be increased accordingly. This is because if oxygen O 2 is increased too much, another side effect that causes a problem in device performance may occur. Therefore, when the flow rate of oxygen O 2 is set as a variable parameter, an upper limit and a lower limit are set in advance, and when the flow rate of oxygen O 2 exceeds the value during recipe calculation, an alarm is output or the etching process is stopped. Alternatively, it is necessary to take measures such as executing the flow rate at the upper limit value or the lower limit value.

なお、本実施形態では酸素Oを可変パラメータとして選択したが、可変パラメータとしては、エッチング時間、被処理基板に印加されるRFまたはパルスバイアスパワー、エッチングガス流量比(Cl/(HBr+Cl))、Nなどの添加ガスのガス流量を採用することができる。なお、エッチング時間を可変パラメータとする場合には、処理中の膜厚をモニターする膜厚モニターを併用して初期膜厚の違いを吸収することができる。また、本発明の対象となるような高精度のエッチング処理においては、膜厚モニタにより全てのステップの処理膜厚を設定値に保つ工夫をすることが望ましい。なお、これらの可変パラメータを制御する場合も過剰制御への対応のために、可変パラメータの可変範囲を設定しておくことは重要なことである。 In this embodiment, oxygen O 2 is selected as a variable parameter. As the variable parameter, the etching time, the RF or pulse bias power applied to the substrate to be processed, the etching gas flow ratio (Cl 2 / (HBr + Cl 2 )) ), Gas flow rates of additive gases such as N 2 can be employed. When the etching time is a variable parameter, a difference in initial film thickness can be absorbed by using a film thickness monitor that monitors the film thickness during processing. Further, in a highly accurate etching process that is an object of the present invention, it is desirable to devise a method for keeping the processing film thicknesses of all steps at a set value by a film thickness monitor. Even when these variable parameters are controlled, it is important to set a variable parameter variable range in order to cope with excessive control.

図7は、本実施形態に係るエッチング処理装置の変形例を説明する図である。なお、図において図3に示される部分と同一部分については同一符号を付してその説明を省略する。エッチング処理による加工結果は、エッチング処理中の処理室内環境と密接に関わっていることが知られている。したがって、処理室内の状態をセンサ2や付加センサ3でモニタリングし、この状態を基に加工結果推定部20で加工状態を推定することができる。加工状態の推定には、事前に実験やシミュレーションを行いこの結果をもとに構築した予測モデル21を用いる。   FIG. 7 is a view for explaining a modification of the etching processing apparatus according to the present embodiment. In the figure, the same parts as those shown in FIG. 3 are denoted by the same reference numerals and description thereof is omitted. It is known that the processing result by the etching process is closely related to the processing chamber environment during the etching process. Therefore, the state in the processing chamber can be monitored by the sensor 2 or the additional sensor 3, and the machining result estimation unit 20 can estimate the machining state based on this state. For the estimation of the machining state, a prediction model 21 constructed based on the results of experiments and simulations in advance is used.

このモデルは構築された後、加工結果推定部に格納され、更にエッチング処理結果を検査する検査装置7からの測定値を基に修正される。これによりモデル精度を向上することができる。   After the model is constructed, it is stored in the processing result estimation unit, and further corrected based on the measurement value from the inspection device 7 that inspects the etching process result. Thereby, the model accuracy can be improved.

また、制御モデル部6では、前述のように推定された加工結果と当該プロセスの加工寸法の目標値との偏差を基に、次に処理する同一品種あるいはほぼ同等品種のウェハのレシピを調整する。この際、調整するパラメータとしては、ゲート絶縁膜に影響を与えないエッチングステップのパラメータに限定する。なお、制御モデル6は予め実験やシミュレーションにより、例えば図4に示すような制御性を確認し、制御アルゴリズムを構築しておく。   In addition, the control model unit 6 adjusts the recipe of wafers of the same or substantially the same type to be processed next based on the deviation between the processing result estimated as described above and the target value of the processing dimension of the process. . At this time, the parameter to be adjusted is limited to the parameter of the etching step that does not affect the gate insulating film. Note that the control model 6 confirms controllability as shown in FIG. 4, for example, in advance by experiments and simulations, and constructs a control algorithm.

図8は、CD値の制御フローの例を示す図である。この例では図7に示すエッチング処理装置において、付加センサ3として発光分光センサOESを用いた場合におけるCD値の制御フローを示す図である。以下、各ステップの説明を行う。まず、ステップ1において、被エッチング膜の表面に形成された自然酸化膜を除去する(ブレークスルー)。このステップ中の所定期間において、発光分光センサOESをもちいてプラズマ発光スペクトルを収集する。ステップ2において、前記発光スペクトルデータをもちいて現在の装置状態を把握し、現状の装置での加工結果を予測し、更にこの予測結果をもとにステップ4において使用するレシピを変更する。   FIG. 8 is a diagram illustrating an example of a CD value control flow. In this example, in the etching processing apparatus shown in FIG. 7, the control flow of the CD value when the emission spectral sensor OES is used as the additional sensor 3 is shown. Hereinafter, each step will be described. First, in step 1, the natural oxide film formed on the surface of the film to be etched is removed (breakthrough). During a predetermined period in this step, the plasma emission spectrum is collected using the emission spectral sensor OES. In step 2, the current apparatus state is grasped using the emission spectrum data, the processing result in the current apparatus is predicted, and the recipe used in step 4 is changed based on the prediction result.

具体的には、収集されたスペクトルを即座に多変量解析あるいは特定のフィルタを使って、ステップ1におけるプロセス状態モニターの代表値としての数個の指標値を算出する。次いで、予め作成しておいた前記指標値と最終処理結果であるCD値との相関関係をもとに、前記算出した指標値からエッチング加工結果を推定する。この方法の利点は、これまでの制御方法ではある試料を処理し、その結果から処理形状の基準値からのずれを測定してその後の試料の処理を安定化させることしかできない。この場合、制御にかかる時間遅れが大きく、制御によって補正されない試料が多発する。更に制御が遅れている間に何らかの装置変動が起これば制御自体に意味がなくなる場合もある。   Specifically, several index values as representative values of the process state monitor in step 1 are calculated immediately using the multivariate analysis or a specific filter for the collected spectrum. Next, the etching processing result is estimated from the calculated index value based on the correlation between the index value prepared in advance and the CD value as the final processing result. The advantage of this method is that the control method used so far can only process a sample, measure the deviation from the reference value of the processing shape from the result, and stabilize the subsequent processing of the sample. In this case, the time delay required for the control is large, and there are many samples that are not corrected by the control. Furthermore, if some device fluctuation occurs while the control is delayed, the control itself may be meaningless.

ところが図8の方法を用いれば、制御対象の試料の処理状況をモニタして、そこから制御量を算出するために非常に高精度な安定した制御を行うことが可能となる。   However, if the method shown in FIG. 8 is used, it is possible to monitor the processing state of the sample to be controlled and perform stable control with very high accuracy in order to calculate the control amount therefrom.

ステップ3において、前記ステップ2で推定した加工結果を基に、当該エッチングの加工結果が目標値となるように、ステップ4で使用するレシピの少なくとも1つのパラメータ(可変パラメータ)を調整する。この際、予め設定しておいたパラメータの調整範囲を超えた場合は、調整範囲内で最も近い値とするか、処理を中断し、装置ユーザに対し何らかの形でアラームを出力する。なお、可変パラメータとしては、酸素Oの流量の外に、エッチング時間、被処理基板に印加されるRFまたはパルスバイアスパワー、エッチングガス流量比(Cl/(HBr+Cl))、Nなどの添加ガスのガス流量を採用することができる。また、エッチング時間を可変パラメータとする場合には、処理中の膜厚をモニターする膜厚モニターを併用して初期膜厚の違いを吸収することができる。 In step 3, based on the processing result estimated in step 2, at least one parameter (variable parameter) of the recipe used in step 4 is adjusted so that the etching processing result becomes a target value. At this time, if the preset adjustment range of the parameter is exceeded, the closest value within the adjustment range is set or the process is interrupted, and an alarm is output to the apparatus user in some form. As variable parameters, in addition to the flow rate of oxygen O 2 , etching time, RF or pulse bias power applied to the substrate to be processed, etching gas flow rate ratio (Cl 2 / (HBr + Cl 2 )), N 2, etc. The gas flow rate of the additive gas can be employed. When the etching time is a variable parameter, a difference in initial film thickness can be absorbed by using a film thickness monitor that monitors the film thickness during processing.

ステップ4において、ステップ3で算出したレシピを用いて被エッチング膜をエッチングする。ステップ5において、ゲート絶縁膜に対して選択比の高いレシピを用いて被エッチング膜をゲート絶縁膜が露出するまでエッチングする。ステップ6において、ステップ5でエッチングしきれなかった残渣部を、ゲート絶縁膜へのダメージを発生させないマージンのあるレシピを用いて仕上げのエッチング(オーバエッチング)を行う。図8はブレークスルーの処理状態で処理状態の変動を検出しようとしているが、ブレークスルーステップは時間が短くて不安定なことが多く、処理状態の変動がうまく検出できない場合がある。   In step 4, the film to be etched is etched using the recipe calculated in step 3. In step 5, the etching target film is etched using a recipe having a high selection ratio with respect to the gate insulating film until the gate insulating film is exposed. In step 6, finishing etching (over-etching) is performed on the residue that could not be etched in step 5 using a recipe with a margin that does not cause damage to the gate insulating film. Although FIG. 8 tries to detect the change in the processing state in the breakthrough processing state, the breakthrough step is often short and unstable, and the processing state fluctuation may not be detected well.

図9はこの問題に対処するためのCD値の別の制御フローの例を示す図である。まず、ステップ10において、被エッチング膜の表面に形成された自然酸化膜を除去する(ブレークスルー)。ステップ11において、被エッチング膜をエッチングする。このステップの所定期間において、発光分光センサOESを用いてプラズマ発光スペクトルを収集する。ステップ12において、ステップ11において取得した発光分光スペクトルデータを用いて現在の装置状態を把握し、現状の装置状態での加工結果を予測し、ステップ14のレシピを変更する。   FIG. 9 is a diagram showing an example of another CD value control flow for coping with this problem. First, in step 10, the natural oxide film formed on the surface of the film to be etched is removed (breakthrough). In step 11, the film to be etched is etched. During the predetermined period of this step, the plasma emission spectrum is collected using the emission spectral sensor OES. In step 12, the current apparatus state is grasped using the emission spectral data acquired in step 11, the processing result in the current apparatus state is predicted, and the recipe in step 14 is changed.

具体的には、収集されたスペクトルを即座に多変量解析あるいは特定のフィルタを使って、ステップ11におけるプロセス状態モニターの代表値としての数個の指標値を算出する。次いで、予め作成しておいた前記指標値と最終処理結果であるCD値との相関関係をもとに、前記算出した指標値からエッチング加工結果を推定する。   Specifically, several index values as representative values of the process state monitor in step 11 are calculated using the collected spectrum immediately using multivariate analysis or a specific filter. Next, the etching processing result is estimated from the calculated index value based on the correlation between the index value prepared in advance and the CD value as the final processing result.

ステップ13において、ステップ12で推定された加工結果を基に、当該エッチングの加工結果が目標値となるように、ステップ14のレシピの少なくとも1つのパラメータ(可変パラメータ)を調整する。この際、予め設定しておいたパラメータの調整範囲を超えた場合は、調整範囲内で最も近い値とするか、処理を中断し、装置ユーザに対し何らかの形でアラームを出力する。   In step 13, based on the processing result estimated in step 12, at least one parameter (variable parameter) of the recipe in step 14 is adjusted so that the etching processing result becomes a target value. At this time, if the preset adjustment range of the parameter is exceeded, the closest value within the adjustment range is set or the process is interrupted, and an alarm is output to the apparatus user in some form.

なお、可変パラメータとしては、酸素Oの流量の外に、エッチング時間、被処理基板に印加されるRFまたはパルスバイアスパワー、エッチングガス流量比(Cl/(HBr+Cl))、Nなどの添加ガスのガス流量を採用することができる。なお、エッチング時間を可変パラメータとする場合には、処理中の膜厚をモニターする膜厚モニターを併用して初期膜厚の違いを吸収することができる。ステップ14において、ステップ13で算出したレシピを用いて被エッチング膜をエッチングする。ステップ15において、ゲート絶縁膜に対して選択比の高いレシピで被エッチング膜をゲート絶縁膜が露出するまでエッチングする。ステップ16において、ステップ15でエッチングしきれなかった残渣部を、ゲート絶縁膜へのダメージを発生させないマージンのあるレシピで仕上げのエッチング(オーバーエッチング)を行う。 As variable parameters, in addition to the flow rate of oxygen O 2 , etching time, RF or pulse bias power applied to the substrate to be processed, etching gas flow rate ratio (Cl 2 / (HBr + Cl 2 )), N 2, etc. The gas flow rate of the additive gas can be employed. When the etching time is a variable parameter, a difference in initial film thickness can be absorbed by using a film thickness monitor that monitors the film thickness during processing. In step 14, the film to be etched is etched using the recipe calculated in step 13. In step 15, the film to be etched is etched with a recipe having a high selectivity with respect to the gate insulating film until the gate insulating film is exposed. In step 16, finishing etching (over-etching) is performed on the residue that could not be etched in step 15 by a recipe with a margin that does not cause damage to the gate insulating film.

図10は、エッチング処理工程におけるゲート長の決定要因について説明する図である。図において、処理は図10の工程1,工程2,工程3に示す順に行われ、本発明のエッチング処理は工程3のステップ1、ステップ2に示す順に行われる。なお、これらの工程を示す図において、110はシリコン基板111、ゲート絶縁膜112、多結晶(Poly)シリコン膜113を備えたウエハであり、該ウエハはその表面にSiO等からなるハードマスク材料層114’を備え、ハードマスク材料層114’上にはレジストマスク201が形成されている
工程1は半導体製造プロセスにおけるホトリソ工程であり、レジストマスク201を形成した状態を示す。工程2は半導体製造プロセスにおけるエッチング工程の主に絶縁膜エッチング処理装置を用いてハードマスク材料層114’をエッチングして、ハードマスク114を形成する工程を示す。このハードマスク114は多結晶(Poly)シリコン膜などからなるゲート材料113をエッチングする際のマスクとなり、最終的なゲート寸法を決定する1つの因子となる。なお、レジストマスク201はこの工程の終了後に除去される。
FIG. 10 is a diagram for explaining the factors determining the gate length in the etching process. In the figure, processing is performed in the order shown in Step 1, Step 2, and Step 3 of FIG. 10, and the etching processing of the present invention is performed in the order shown in Step 1 and Step 2 of Step 3. In the drawings showing these steps, reference numeral 110 denotes a wafer including a silicon substrate 111, a gate insulating film 112, and a polycrystalline silicon film 113, and the wafer is a hard mask material made of SiO 2 or the like on the surface. A layer 114 ′ is provided, and a resist mask 201 is formed on the hard mask material layer 114 ′. Step 1 is a photolithography step in the semiconductor manufacturing process, and shows a state in which the resist mask 201 is formed. Step 2 shows a step of forming the hard mask 114 by etching the hard mask material layer 114 ′ mainly using an insulating film etching apparatus in the etching step in the semiconductor manufacturing process. The hard mask 114 serves as a mask for etching the gate material 113 made of a polycrystalline silicon film, and is one factor that determines the final gate size. Note that the resist mask 201 is removed after the completion of this step.

工程3は本発明のエッチング処理を適用した工程である。まず、ステップ1において、例えば、エッチングレートが早いエッチング条件で、多結晶(Poly)シリコン膜113をゲート絶縁膜112が露出する前までエッチング除去する。このエッチング処理中に膜厚モニタを用いて、多結晶(Poly)シリコン膜113のゲート絶縁膜112までの残膜量209モニタすることにより、該残膜量を各ウエハ毎に一定に保ことができる。ステップ2は前記ステップ1においてエッチングしきれなかった残渣部を、ゲート絶縁膜へのダメージを発生させないマージンのあるレシピを用いて仕上げのエッチング(オーバエッチング)を行う工程である。   Step 3 is a step to which the etching process of the present invention is applied. First, in step 1, for example, the polycrystalline silicon film 113 is removed by etching until the gate insulating film 112 is exposed under an etching condition with a high etching rate. By monitoring the remaining film amount 209 of the polycrystalline silicon film 113 up to the gate insulating film 112 using the film thickness monitor during this etching process, the remaining film amount can be kept constant for each wafer. it can. Step 2 is a step of performing a final etching (over-etching) on the residue that could not be etched in Step 1 above using a recipe with a margin that does not cause damage to the gate insulating film.

例えば、被エッチング膜を複数ステップで処理する工程3において、ハードマスク114のマスク寸法210は、工程1あるいは工程2で内在するプロセスばらつきにより変動してしまう。マスク寸法210が前工程で大きく形成された場合は、工程3においてマスク寸法210を等方エッチングにより細らせる処理を行うことが考えられるが、ハードマスク114はSiO2やSiON等の材料であり、工程3で用いるゲートエッチング処理工程では前記細らせる処理を行うことは困難である。   For example, in the process 3 of processing the film to be etched in a plurality of steps, the mask dimension 210 of the hard mask 114 varies due to the process variation inherent in the process 1 or the process 2. If the mask dimension 210 is formed large in the previous process, it is conceivable to perform a process of thinning the mask dimension 210 by isotropic etching in the process 3, but the hard mask 114 is made of a material such as SiO2 or SiON. In the gate etching process used in process 3, it is difficult to perform the thinning process.

しかし、本実施形態のエッチング処理方法では、マスク寸法210を事前に取得しておき、工程3において、エッチング結果が目標値となるように、使用するレシピの少なくとも1つのパラメータ(可変パラメータ)を調整するので所望のゲート長211を得ることができる。さらに、ゲート絶縁膜203にダメージを与えることなく加工することができる。   However, in the etching processing method of this embodiment, the mask dimension 210 is acquired in advance, and in step 3, at least one parameter (variable parameter) of the recipe to be used is adjusted so that the etching result becomes the target value. Therefore, a desired gate length 211 can be obtained. Further, the gate insulating film 203 can be processed without being damaged.

また、前工程1及び工程2において、ハードマスク114のマスク寸法210が毎回変動無く加工できたとしても、工程3における装置の経時変化等の外乱によるマスク寸法が変動する場合がある。   Further, even if the mask dimension 210 of the hard mask 114 can be processed without variation every time in the previous process 1 and the process 2, the mask dimension may vary due to disturbances such as changes in the apparatus over time in the process 3.

本実施形態によれば、このような場合においても、前工程である工程1や工程2へフィードバックを加えることなく、外乱によるゲート長211の変化量を予め取得し、取得した変化量をもとに工程3におけるレシピを調整するので、所望のゲート長211を得ることができる。さらに、ゲート絶縁膜203にダメージを与えることなく加工することができる。また、前工程である工程1あるいは工程2にフィードバックをかける場合は大規模なシステムが必要となるが、本実施形態では工程3のみで対応することがが可能であり、製造システムの初期導入負荷(時間、コスト等)を軽減できる。   According to the present embodiment, even in such a case, the change amount of the gate length 211 due to the disturbance is acquired in advance without adding feedback to the previous step 1 and step 2, and based on the acquired change amount. Since the recipe in step 3 is adjusted, a desired gate length 211 can be obtained. Further, the gate insulating film 203 can be processed without being damaged. In addition, when a feedback is applied to the previous process 1 or 2, a large-scale system is required, but in this embodiment, it is possible to cope with only the process 3, and the initial introduction load of the manufacturing system. (Time, cost, etc.) can be reduced.

図11は、膜厚モニタの使用方法を説明する図である。ここでは、レシピのO流量を調整してゲート長を制御する場合を例に説明する。図11(a)は酸素Oの流量をO=X[ml/min]に設定した場合の処理経過を示し、図11(b)は酸素Oの流量をO=X−a[ml/min]設定した場合における処理経過示す。 FIG. 11 is a diagram for explaining how to use the film thickness monitor. Here, a case where the gate length is controlled by adjusting the O 2 flow rate of the recipe will be described as an example. FIG. 11A shows the process when the flow rate of oxygen O 2 is set to O 2 = X [ml / min], and FIG. 11B shows the flow rate of oxygen O 2 as O 2 = X−a [ ml / min] shows the processing progress.

図11(a)のステップ1においては、Xml/minの酸素O流量で、所定時間エッチングを施す。この場合の残膜厚(下地のゲート絶縁膜までの膜厚)は、Yとなる。次いで、ステップ2において下地に達するまでエッチング処理する。このステップ2におけるエッチング処理では、適用されるレシピにより所定角度θをもってエッチングされることになる。このため、最終的なゲート長はC1となる。 In Step 1 of FIG. 11A, etching is performed for a predetermined time at an oxygen O 2 flow rate of X ml / min. In this case, the remaining film thickness (the film thickness up to the underlying gate insulating film) is Y. Next, in step 2, etching is performed until the base is reached. In the etching process in step 2, etching is performed with a predetermined angle θ according to the applied recipe. Therefore, the final gate length is C1.

一方、最終ゲート長を制御する場合は、図11(b)に示すように、ステップ1において酸素OをX−a[ml/min]にしてエッチングを施す。この場合はゲート長の制御はできるが、エッチングレートも変動する。その結果、ステップ1における残膜厚はZ(Z>Y)となる。なお、次に行われるステップ2では、レシピは固定なので、図11(a)の場合と同様にエッチングが進む。すなわち、前記所定角度θをもってエッチングが進むことになる。この結果最終的なゲート長はC2となり、前記ゲート長C1とはC3だけ差が生じる(この現象をCD値制御に利用することもできる)。 On the other hand, when the final gate length is controlled, as shown in FIG. 11B, in step 1, the oxygen O 2 is set to Xa [ml / min] and etching is performed. In this case, the gate length can be controlled, but the etching rate also varies. As a result, the remaining film thickness in step 1 is Z (Z> Y). In step 2 to be performed next, since the recipe is fixed, the etching proceeds as in the case of FIG. That is, the etching proceeds with the predetermined angle θ. As a result, the final gate length is C2, which is different from the gate length C1 by C3 (this phenomenon can also be used for CD value control).

すなわち、図11(b)のステップ1において、酸素Oの流量を制御してCD値を制御しようとすると、ステップ1のエッチングレートが変化する。このときステップ2におけるCD値変更の影響がステップ1における酸素O流量変更によるCD値変更の影響よりも大きい場合には、ステップ1における酸素O流量変更によるCD値制御が隠れてしまい、予期しないCD値が得られることになる。 That is, in step 1 of FIG. 11B, if the CD value is controlled by controlling the flow rate of oxygen O 2 , the etching rate of step 1 changes. If this time the influence of the CD value change in step 2 is greater than the influence of the CD value change due to oxygen O 2 flow rate change in step 1, hides the CD value control by oxygen O 2 flow rate change in step 1, the expected CD values that are not obtained are obtained.

したがって、残膜厚Yあるいは残膜厚Zは常に一定値に制御することが必要であり、この目的のために、ステップ1における処理中にリアルタイムで膜厚をモニタリングすることが必要である。   Therefore, it is necessary to always control the remaining film thickness Y or the remaining film thickness Z to a constant value, and for this purpose, it is necessary to monitor the film thickness in real time during the processing in Step 1.

なお、以上の説明では単一の被エッチング膜として多結晶シリコン膜を例に説明したが、前記単一の被エッチング膜は、他の膜例えば金属膜との積層膜であってもよい。   In the above description, a polycrystalline silicon film has been described as an example of a single film to be etched. However, the single film to be etched may be a laminated film with another film such as a metal film.

本発明の実施形態にかかるエッチング処理装置を示す図である。It is a figure which shows the etching processing apparatus concerning embodiment of this invention. ゲート電極形成プロセスを示す図である。It is a figure which shows a gate electrode formation process. エッチング処理装置の処理を説明する図である。It is a figure explaining the process of an etching processing apparatus. 酸素流量に対するCD値制御性を示す図である。It is a figure which shows CD value controllability with respect to oxygen flow rate. レシピの調整方法を説明する図である。It is a figure explaining the adjustment method of a recipe. 酸素流量を変化させたときのCD値の制御機構を説明する図である。It is a figure explaining the control mechanism of CD value when changing an oxygen flow rate. エッチング処理装置の変形例を示す図である。It is a figure which shows the modification of an etching processing apparatus. CD値の制御フローを示す図である。It is a figure which shows the control flow of CD value. CD値の制御フローの他の例を示す図である。It is a figure which shows the other example of the control flow of CD value. ゲート長の決定要因について説明する図である。It is a figure explaining the determination factor of gate length. 膜厚モニタの使用方法を説明する図である。It is a figure explaining the usage method of a film thickness monitor.

符号の説明Explanation of symbols

1 プラズマエッチング処理室
1a 試料台
1b ウエハ
1c プラズマ
2 センサ
3 付加センサ
4 アクチュエータ
5 レシピ
6 制御モデル部
7 検査装置
8,9,10 ウエハ
11 CD値制御モデル
111 シリコン基板
112 ゲート絶縁膜
113 多結晶シリコン膜
114 マスク
115 自然酸化膜
201 処理チャンバ
202 ガス供給手段
203 ガス排気手段
204 試料
205 試料台
206 プラズマ生成手段
208 装置状態検出手段
209 制御装置
210 検査装置
300 処理装置本体
301 電磁波供給手段
302,303 コイル
DESCRIPTION OF SYMBOLS 1 Plasma etching processing chamber 1a Sample stand 1b Wafer 1c Plasma 2 Sensor 3 Additional sensor 4 Actuator 5 Recipe 6 Control model part 7 Inspection apparatus 8, 9, 10 Wafer 11 CD value control model 111 Silicon substrate 112 Gate insulating film 113 Polycrystalline silicon Film 114 Mask 115 Natural oxide film 201 Processing chamber 202 Gas supply means 203 Gas exhaust means 204 Sample 205 Sample stand 206 Plasma generation means 208 Apparatus state detection means 209 Controller 210 Inspection apparatus 300 Processing apparatus main body 301 Electromagnetic wave supply means 302, 303 Coil

Claims (6)

ゲート絶縁膜上の単一の被エッチング膜に対してそれぞれ異なるレシピを適用した複数のエッチングステップからなるエッチング処理を施すエッチング処理装置であって、
エッチング処理室内のプラズマ状態をモニタし、前記モニタした結果を基にエッチング加工結果を推定する加工結果推定部と、
前記推定されたエッチング加工結果を基にレシピを調整する制御モデル部とを備え、
前記複数のエッチングステップのうち前記被エッチング膜に接する下地層が露出するおそれのあるエッチングステップに適用するレシピは予め設定したレシピに固定し、
前記複数のエッチングステップのうち残余のエッチングステップの1つに適用するレシピを前記制御モデル部が調整したレシピをもとにエッチング処理を施すことを特徴とするエッチング処理装置。
An etching processing apparatus for performing an etching process including a plurality of etching steps each applying a different recipe to a single film to be etched on a gate insulating film ,
A processing result estimation unit that monitors a plasma state in the etching processing chamber and estimates an etching processing result based on the monitored result;
A control model unit that adjusts the recipe based on the estimated etching processing result,
The recipe applied to the etching step in which the underlying layer in contact with the etching target film may be exposed among the plurality of etching steps is fixed to a preset recipe,
An etching apparatus that performs an etching process based on a recipe adjusted by the control model unit, which is applied to one of the remaining etching steps among the plurality of etching steps .
ゲート絶縁膜上の単一の被エッチング膜に対してそれぞれ異なるレシピを適用した複数のエッチングステップからなるエッチング処理を施すエッチング処理装置であって、
前記複数のエッチングステップのうち第一のエッチングステップにおいて収集したプラズマ発光スペクトルをリアルタイムに多変量解析する手段と、
前記多変量解析して算出した指標値を基にエッチング加工結果を推定する加工結果推定部と、
前記推定されたエッチング加工結果を基にレシピを調整する制御モデル部とを備え、
前記複数のエッチングステップのうち前記被エッチング膜に接する下地層が露出するおそれのあるエッチングステップに適用するレシピは予め設定したレシピに固定し、
前記第一のエッチングステップの後に処理される第二のエッチングステップに適用するレシピを前記制御モデル部が調整したレシピをもとにエッチング処理を施すことを特徴とするエッチング処理装置。
An etching processing apparatus for performing an etching process including a plurality of etching steps each applying a different recipe to a single film to be etched on a gate insulating film ,
Means for multivariate analysis in real time of the plasma emission spectrum collected in the first etching step among the plurality of etching steps;
A processing result estimation unit that estimates an etching processing result based on the index value calculated by the multivariate analysis,
A control model unit that adjusts the recipe based on the estimated etching processing result,
The recipe applied to the etching step in which the underlying layer in contact with the etching target film may be exposed among the plurality of etching steps is fixed to a preset recipe,
An etching apparatus for performing an etching process based on a recipe adjusted by the control model unit for a recipe applied to a second etching step processed after the first etching step.
請求項1または2に記載のエッチング処理装置において、前記加工結果推定部は、事前にエッチング処理された加工結果を基に構築した予測モデルを用いることを特徴とするエッチンング処理装置。   The etching processing apparatus according to claim 1, wherein the processing result estimation unit uses a prediction model constructed based on a processing result etched in advance. 請求項1または2に記載のエッチング処理装置において、前記加工結果推定部に格納される予測モデルは、エッチング処理結果を検査する検査装置から得られた測定値を基に修正されることを特徴とするエッチング処理装置。 3. The etching processing apparatus according to claim 1, wherein the prediction model stored in the processing result estimation unit is corrected based on a measurement value obtained from an inspection apparatus that inspects an etching processing result. Etching processing equipment. ゲート絶縁膜上の単一の被エッチング膜に対してそれぞれ異なるレシピを適用した複数のエッチングステップからなるエッチング処理を施すエッチング処理方法であって、
エッチング処理室内のプラズマ状態をモニタし、前記モニタした結果を基にエッチング加工結果を推定し、
前記推定されたエッチング加工結果を基にレシピを調整し、
前記複数のエッチングステップのうち前記被エッチング膜に接する下地層が露出するおそれのあるエッチングステップに適用するレシピは予め設定したレシピに固定し、
前記複数のエッチングステップのうち残余のエッチングステップの1つは前記調整したレシピをもとにエッチング処理を施すことを特徴とするエッチング処理方法。
An etching processing method for performing an etching process consisting of a plurality of etching steps each applying a different recipe to a single film to be etched on a gate insulating film ,
Monitor the plasma state in the etching chamber and estimate the etching result based on the monitored result.
Adjust the recipe based on the estimated etching processing result,
The recipe applied to the etching step in which the underlying layer in contact with the etching target film may be exposed among the plurality of etching steps is fixed to a preset recipe,
One of the remaining etching steps among the plurality of etching steps is performed by performing an etching process based on the adjusted recipe.
ゲート絶縁膜上の単一の被エッチング膜に対してそれぞれ異なるレシピを適用した複数のエッチングステップからなるエッチング処理を施すエッチング処理方法であって、
前記複数のエッチングステップのうち第一のエッチングステップにおいて収集したプラズマ発光スペクトルをリアルタイムに多変量解析し、
前記多変量解析して算出した指標値を基にエッチング加工結果を推定し、
前記推定されたエッチング加工結果を基にレシピを調整し、
前記複数のエッチングステップのうち前記被エッチング膜に接する下地層が露出するおそれのあるエッチングステップに適用するレシピは予め設定したレシピに固定し、
前記第一のエッチングステップの後に処理される第二のエッチングステップは前記調整したレシピを基にエッチング処理を施すことを特徴とするエッチング処理方法。
An etching processing method for performing an etching process consisting of a plurality of etching steps each applying a different recipe to a single film to be etched on a gate insulating film ,
The plasma emission spectrum collected in the first etching step among the plurality of etching steps is subjected to multivariate analysis in real time,
Estimating the etching processing result based on the index value calculated by the multivariate analysis,
Adjust the recipe based on the estimated etching processing result,
The recipe applied to the etching step in which the underlying layer in contact with the etching target film may be exposed among the plurality of etching steps is fixed to a preset recipe,
The second etching step processed after the first etching step performs an etching process based on the adjusted recipe.
JP2004351409A 2004-12-03 2004-12-03 Etching processing apparatus and processing method Expired - Lifetime JP4324545B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004351409A JP4324545B2 (en) 2004-12-03 2004-12-03 Etching processing apparatus and processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004351409A JP4324545B2 (en) 2004-12-03 2004-12-03 Etching processing apparatus and processing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002174616A Division JP3639268B2 (en) 2002-06-14 2002-06-14 Etching method

Publications (2)

Publication Number Publication Date
JP2005123641A JP2005123641A (en) 2005-05-12
JP4324545B2 true JP4324545B2 (en) 2009-09-02

Family

ID=34617082

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004351409A Expired - Lifetime JP4324545B2 (en) 2004-12-03 2004-12-03 Etching processing apparatus and processing method

Country Status (1)

Country Link
JP (1) JP4324545B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7892442B2 (en) 2007-03-08 2011-02-22 Tdk Corporation Method of manufacturing a thin-film magnetic head, thin-film magnetic head manufacturing apparatus, and thin-film magnetic head manufacturing system
JP4600522B2 (en) * 2008-06-03 2010-12-15 ソニー株式会社 Process control apparatus and process control method
JP2010199126A (en) * 2009-02-23 2010-09-09 Panasonic Corp Plasma treatment method and plasma treatment device
JP5640361B2 (en) * 2009-12-03 2014-12-17 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
CN112133631B (en) * 2020-09-25 2022-11-18 上海华力微电子有限公司 Method for improving stability of grid etching morphology and etching equipment

Also Published As

Publication number Publication date
JP2005123641A (en) 2005-05-12

Similar Documents

Publication Publication Date Title
JP3639268B2 (en) Etching method
JP3708031B2 (en) Plasma processing apparatus and processing method
JP3799314B2 (en) Etching processing apparatus and etching processing method
US10665516B2 (en) Etching method and plasma processing apparatus
WO2010095196A1 (en) Plasma treatment method and plasma treatment device
JP2006074067A (en) Plasma treatment apparatus and method
JP4324545B2 (en) Etching processing apparatus and processing method
TW201535569A (en) Gas supplying method and semiconductor manufacturing apparatus
JP4068986B2 (en) Sample dry etching method and dry etching apparatus
JP3927464B2 (en) Plasma processing method
JP4344674B2 (en) Plasma processing equipment
JP4700922B2 (en) Manufacturing method of semiconductor device
JP5675195B2 (en) Plasma processing apparatus and plasma processing method
JP7110492B2 (en) Plasma processing apparatus and plasma processing method
JP3946467B2 (en) Dry etching method
JP2007193037A (en) Method for manufacturing photomask
KR101066972B1 (en) Plasma processing apparatus and plasma processing method
JP2008091388A (en) Plasma processing apparatus
JP2005328001A (en) Etching treatment device and treatment method

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070723

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071101

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090424

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090526

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090608

R150 Certificate of patent or registration of utility model

Ref document number: 4324545

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120612

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120612

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120612

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130612

Year of fee payment: 4

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term