JP4223396B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP4223396B2
JP4223396B2 JP2003514608A JP2003514608A JP4223396B2 JP 4223396 B2 JP4223396 B2 JP 4223396B2 JP 2003514608 A JP2003514608 A JP 2003514608A JP 2003514608 A JP2003514608 A JP 2003514608A JP 4223396 B2 JP4223396 B2 JP 4223396B2
Authority
JP
Japan
Prior art keywords
semiconductor wafer
susceptor
gas
plasma
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003514608A
Other languages
English (en)
Other versions
JPWO2003009363A1 (ja
Inventor
秋広 菊池
諭 栢森
伸也 嶋
雄一郎 坂本
公博 樋口
薫 大橋
雄大 上田
宗裕 澁谷
正 権代
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2003009363A1 publication Critical patent/JPWO2003009363A1/ja
Application granted granted Critical
Publication of JP4223396B2 publication Critical patent/JP4223396B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、プラズマによって、半導体ウエハ等の被処理基板の処理を行うプラズマ処理装置に関する。
【0002】
【従来の技術】
従来から、プラズマによって、半導体ウエハ等の被処理基板の処理を行うプラズマ処理装置及びプラズマ処理方法が多用されている。例えば、半導体装置の製造工程においては、被処理基板、例えば半導体ウエハに、微細な電気回路を形成するための技術として、半導体ウエハ上に形成された薄膜等を、プラズマを用いてエッチングし除去するエッチング装置が多用されている。
【0003】
かかるエッチング装置では、例えば、内部を気密に閉塞可能に構成された処理チャンバー(エッチングチャンバー)内でプラズマを発生させるようになっている。そして、このエッチングチャンバー内に設けたサセプタ上に半導体ウエハを載置して、エッチングを行う。
【0004】
また、上記プラズマを発生させる手段については、種々のタイプが知られている。そのうち、上下に対向するように設けられた一対の平行平板電極に高周波電力を供給してプラズマを発生させるタイプの装置では、平行平板電極のうちの一方、例えば、下部電極がサセプタを兼ねている。そして、この下部電極上に半導体ウエハを配置し、平行平板電極間に高周波電圧を印加してプラズマを発生させ、エッチングを行う。
【0005】
また、かかるエッチング装置では、半導体ウエハの周囲を囲むように、リング状に形成されたフォーカスリングを配置し、このフォーカスリングによって、プラズマを半導体ウエハの表面に集中(フォーカス)させるよう構成されたものがある。
【0006】
図19は、上記のようにフォーカスリングを有するエッチング装置の要部断面構成を模式的に示すもので、同図に示すように、サセプタを兼ねる下部電極150の上面には、静電チャック151が設けられている。この静電チャック151は、樹脂あるいはセラミックス等からなる誘電体層151aと、この誘電体層151a内に埋設された平板状の静電チャック用電極151bとから構成されている。
【0007】
半導体ウエハWは、上記静電チャック151上に吸着保持されるようになっており、この静電チャック151上に吸着保持された半導体ウエハWの周囲を囲むように、フォーカスリング152が設けられている。なお、同図において153は、絶縁部材である。
【0008】
しかしながら、このような従来のエッチング装置では、エッチング中に、プラズマの作用によって、半導体ウエハWの電位と、フォーカスリング152の電位との間にかなりの電位差が生じ、かかる電位差によって、半導体ウエハWの表面とフォーカスリング152との間で異常放電が生じる所謂表面アーキングが生じることがある。
【0009】
上記表面アーキングは、例えば、導体層の上に絶縁体層が形成され、かかる絶縁体層をエッチングするような場合、例えば、シリコン酸化膜からなる絶縁体層をエッチングして、下層のメタル層からなる導体層に通じるコンタクトホールを形成する場合等に、エッチングによって膜厚が減少したシリコン酸化膜を破壊して、下層のメタル層とフォーカスリングとの間等で生じる場合が多い。
【0010】
そして、かかる異常放電が生じると、半導体ウエハ中のシリコン酸化膜の多くの部分が破壊されてしまうため、その半導体ウエハの大部分の素子が不良となってしまう。また、これとともに、エッチングチャンバー内に金属汚染が生じ、そのまま続けてエッチング処理を行うことができず、エッチングチャンバー内のクリーニングが必要となる。このため、生産性が著しく低下してしまうという問題があった。
【0011】
なお、上述した半導体ウエハと、フォーカスリングとの電位差を測定するため、表面に、下地層(絶縁層)と、この下地層上に形成されたメタル層と、このメタル層の上に絶縁層であるシリコン酸化膜層が形成された半導体ウエハを使用し、エッチングガスとして、C48(流量10sccm)、CO(流量50sccm)、Ar(流量200sccm)、O2(流量5sccm)の混合ガスを使用し、圧力5.99Pa(45mTorr)、高周波電力1500Wの条件で、シリコン酸化膜層をエッチングし、コンタクトホールを形成するエッチング工程を行った。このエッチング工程における半導体ウエハとフォーカスリングとの電位差を測定したところ、30〜40V程度あることが判明した。
【0012】
このような電位差では、表面アーキングが発生する確率は、低いものの、例えば、半導体ウエハが下部電極に対して偏心して配置され、半導体ウエハの周縁部とフォーカスリングとの間隔が、局所的に近接して配置された場合や、何らかの原因によって、プラズマに偏りが生じた場合等の幾つかの他の要因により、表面アーキングが発生することがある。そして、前述したとおり、一旦表面アーキングが発生するとエッチングチャンバー内のクリーニング等を行わなければならないため、かかる表面アーキングの発生を、完全に防止することが望まれる。
【0013】
また、プラズマ処理装置では、半導体ウエハ等にプラズマを作用させてエッチングなどの処理を行うことから、半導体ウエハ等の温度が不所望に上昇する可能性があり、このため、エッチング処理中の半導体ウエハ等を冷却するための温度制御機構を備えたものが多い。
【0014】
図20は、このような温度制御機構を備えた平行平板型のエッチング装置の要部を拡大して示すもので、同図に示すように、半導体ウエハWは、下部電極(サセプタ)200上に載置され、図示しない上部電極との間に印加される高周波電力等によって生起されたプラズマによりエッチング処理される。
【0015】
この下部電極200上には、半導体ウエハWを吸着保持するための静電チャック8が設けられており、静電チャック用電極8bに高圧直流電圧を印加することによって、クーロン力またはジョンソンラーベック力で半導体ウエハWを吸着する。
【0016】
また、下部電極200内には、冷却用の冷媒を循環させるための冷媒流路210が形成され、下部電極200を所定温度に冷却可能とされている。さらに、この下部電極200には、Heガス等の冷却用ガスを供給するためのガス流路220が形成されている。このガス流路220は、半導体ウエハWとの熱交換が効率良く行われ、半導体ウエハWを効率良く、かつ、精度良く温度制御するために、半導体ウエハWの裏面と下部電極200(静電チャック8)との間にHeガス等の冷却用ガスを供給するものである。なお、半導体ウエハWの中央部と外周部とで、供給する冷却用ガスの圧力を変更可能とするため、上記のガス流路220は、外周部用ガス流路220aと、中央部用ガス流路220bの2系統設けられている。
【0017】
上記下部電極200は、表面に陽極酸化処理(アルマイト処理)を施されたアルミニウム等の金属によって全体が略円板状になるよう形成されており、内部に上述した冷媒流路210及びガス流路220を設けるために、上部プレート201と、中間プレート202と、下部プレート203の3つの部材(いずれも略円板状に形成されている。)を接合して構成されている。そして、冷媒流路210は、中間プレート202の下面側から穿設された溝の開口部を下部プレート203によって閉塞することによって構成されている。
【0018】
一方、ガス流路220は、下部電極200内に形成されたガス溜め部221と、このガス溜め部221に載置台200の下方からガスを導入するためのガス導入用円孔部222と、ガス溜め部221から半導体ウエハWの裏面にガスを供給するための複数のガス供給用細孔部223とから構成されている。そして、上記のガス溜め部221は、上部プレート201の下面側から穿設された溝の開口部を中間プレート202によって閉塞することによって構成されている。
【0019】
上記構成のエッチング装置では、冷媒流路210内に循環される冷媒によって、下部電極200が所定温度に温度制御される。そして、ガス導入用円孔部222から一旦ガス溜め部221内に溜められ、このガス溜め部221からガス供給用細孔部223を通って半導体ウエハWの裏面に供給される冷却用のガスの作用によって半導体ウエハWを効率良く、かつ、精度良く温度制御することができるようになっている。
【0020】
しかしながら、本発明者等が詳査したところ、図20に示した構成のエッチング装置では、ガス供給用細孔部223を介して、半導体ウエハWの裏面とガス溜め部221の底部等との間で、異常放電が発生することが見出だされた。
【0021】
そして、このように、半導体ウエハWの裏面側で放電が生じると、かかる放電によって各部材が損傷を受けるとともに、この放電が原因となって、半導体ウエハWの表面に表面アーキングが発生することがある。すなわち、半導体ウエハWの裏面側で生じた放電によって、半導体ウエハWの内部の層間絶縁膜が絶縁破壊を起こし、この絶縁破壊を起こした部分に対してプラズマ等からの雷状の放電(表面アーキング)が発生する場合がある。
【0022】
【発明が解決しようとする課題】
そこで、本発明の目的は、被処理基板に生じる不所望な放電の発生を防止して、従来に較べて生産性の向上を図ることのできるプラズマ処理装置を提供することにある。
【0023】
【課題を解決するための手段】
本発明のプラズマ処理装置は、内部でプラズマを発生させる処理チャンバーと、前記処理チャンバー内に設けられ、被処理基板が載置されるサセプタと、前記サセプタの周囲に、前記被処理基板のりを囲むように配置されたフォーカスリングと、前記プラズマによる前記被処理基板のプラズマ処理中に、前記サセプタを介して前記フォーカスリングに直流電圧を印加し、前記フォーカスリングの電位を制御する直流電圧印加装置とを具備したことを特徴とする。
【0024】
また、本発明のプラズマ処理装置は、前記フォーカスリングが、シリコン、炭化ケイ素、二酸化ケイ素のいずれかから構成されたことを特徴とする。
【0025】
また、本発明のプラズマ処理装置は、内部でプラズマを発生させる処理チャンバーと、前記処理チャンバー内に設けられ、被処理基板が載置されるサセプタと、前記サセプタに、前記被処理基板表面と前記サセプタとの間の電位差を低減するような直流電圧を印加する直流電圧印加装置とを具備したプラズマ処理装置であって、前記処理チャンバー内の電極に印加する高周波電力値と、前記高周波電力を印加した際の前記被処理基板表面の電位との関係に関するテーブルデータを有し、前記テーブルデータに基づいて、前記直流電圧印加装置により直流電圧を印加することを特徴とする。
【0026】
また、本発明のプラズマ処理装置は、被処理基板を収容してプラズマ処理するための処理チャンバーと、前記処理チャンバー内に設けられ、前記被処理基板が載置される電極を兼ねたサセプタと、前記サセプタの内部に形成され、冷媒を循環させるための冷媒流路と、前記サセプタの内部であって前記冷媒流路より下側に形成されたガス溜め部と、前記ガス溜め部から前記サセプタの載置面に冷却用ガスを供給するためのガス供給用細孔部と、前記ガス溜め部に冷却用ガスを導入するためのガス導入部を有する冷却用ガス流路とを具備し、前記サセプタの載置面と前記ガス溜め部の底部との間が、10mm以上離間するよ う構成されていることを特徴とする。
【0027】
また、本発明のプラズマ処理装置は、前記サセプタの載置面に、前記ガス供給用細孔部に対応して冷却用ガスを拡散させるための複数の細溝が設けられていることを特徴とする。
【0028】
また、本発明のプラズマ処理装置は、前記細溝の深さが1mm以下とされていることを特徴とする。
【0029】
【発明の実施の形態】
以下、本発明の詳細を図面を参照して実施形態について説明する。
【0030】
図1は、本発明の第1の実施形態に係るダイポール型のマグネトロンプラズマエッチング装置の全体の概略構成を模式的に示すもので、図において1は、エッチングチャンバー(処理チャンバー)を示している。上記エッチングチャンバー1は、アルミニウム等から円筒状に形成され、内部を気密に閉塞可能に構成されている。エッチングチャンバー1の底部には、図示しない真空ポンプに接続された排気配管2が設けられ、エッチングチャンバー1内を所定の真空度まで排気可能に構成されている。
【0031】
また、エッチングチャンバー1の天井部には、下方を向くように上部電極3が設けられている。一方、エッチングチャンバー1の底部には、この上部電極3に対向するように、下部電極4が設けられている。
【0032】
上記上部電極3は、内部が中空構造とされた円板状に形成されており、その下面側には、多数のガス供給孔3aが設けられ、所謂シャワーヘッドを構成している。この上部電極3は、グランド電位に接地されている。この上部電極3の上記した中空部に連通するように、ガス導入管5が接続されている。そして、図示しないエッチングガス供給源から供給されたエッチングガスを、このガス導入管5によって、上部電極3内の中空部分に導入し、この中空部分から、ガス供給孔3aを介して、エッチングチャンバー1内に、均一に分散させて供給する。
【0033】
また、上記下部電極4は、エッチングチャンバー1の底部に設けられたセラミックス等からなる絶縁板6の上に設けられている。下部電極4は、導電性材料、例えばアルミニウム等から構成されている。また、下部電極4には、温調媒体導入管7a、温調媒体排出管7b、及び温調媒体流路7cからなる温調媒体循環機構が設けられており、半導体ウエハWを所望の温度に温調することができるよう構成されている。
【0034】
さらに、下部電極4の上面には、静電チャック8が設けられており、この静電チャック8によって、半導体ウエハWを下部電極4の上面に吸着保持するよう構成されている。すなわち、半導体ウエハWは、実質的に下部電極4上に載置されるようになっており、この下部電極4が、所謂サセプタを兼ねる構成とされている。
【0035】
また、上記静電チャック8に吸着保持された半導体ウエハWの周囲を囲むように、シリコン(Si)、炭化ケイ素(SiC)、二酸化ケイ素(SiO2)等からなり、リング状に形成されたフォーカスリング9が設けられている。さらに、下部電極4の周囲を囲むように、石英等からなる絶縁部材10が設けられている。
【0036】
一方、上述したエッチングチャンバー1の側壁の外側部分には、リング状に多数の永久磁石を配列して構成されたダイポール型永久磁石群20が設けられている。このダイポール型永久磁石群20は、図示しない回転駆動手段により、その周方向に例えば、20回/分の回転速度で回転可能に構成されている。
【0037】
また、上述した静電チャック8には、例えば2.4KV等の高圧の直流電圧を印加する静電チャック用電源30が接続されており、下部電極4には、マッチング回路31を介して、高周波電源32が接続されている。
【0038】
さらに、本実施の形態では、フォーカスリング9の電位を制御するための電位制御用直流電源(直流電圧印加装置)33が設けられており、この電位制御用直流電源33によって、下部電極4に直流電圧を供給し、フォーカスリング9の電位を制御可能とされている。この、フォーカスリング9の電位の制御は、例えば、フォーカスリング9と半導体ウエハWの電位との電位差が小さくなるように行われる。
【0039】
図2は、上述した装置のフォーカスリング9付近の要部の構成を拡大して模式的に示すものである。同図に示すように、フォーカスリング9は、アルミニウム等からなる下部電極4の周縁部、及び、その周囲に設けられた絶縁部材10上に載置されるように設けられている。
【0040】
また、下部電極4の上面に設けられた静電チャック8は、樹脂あるいはセラミックス等からなる誘電体層8aと、この誘電体層8a内に埋設された平板状の静電チャック用電極8bとから構成されている。
【0041】
そして、前述した電位制御用直流電源33からの直流電圧は、下部電極4に印加されるようになっている。この下部電極4に、例えば、−400〜−600Vの直流電圧を印加することによって、フォーカスリング9の電位を、半導体ウエハWの電位との電位差が小さくなるよう制御できる。
【0042】
図3は、上記電位制御用直流電源33による電圧印加部分の回路構成を示すものである。本実施形態においては、高周波電源32から下部電極4に印加される高周波電力のマッチングをとるマッチング回路31の出力部分に、抵抗Rを介して電位制御用直流電源33が接続された構成となっている。なお、上記構成に限定されるものではなく、電位制御用直流電源33の出力電圧が下部電極4に印加されるものであれば、その接続をどのようにしても良いことは、勿論である。
【0043】
上記構成のダイポール型のマグネトロンプラズマエッチング装置では、被処理基板としての半導体ウエハWを、図示していないゲートバルブを開け、図示していないロードロック室を介して、エッチングチャンバー1内に搬入する。そして、半導体ウエハWを静電チャック8上に載置して、静電チャック8により半導体ウエハWを吸着保持する。
【0044】
ゲートバルブを閉じた後、排気配管2から排気を行うとともに、ガス導入管5からガス供給孔3aを介してエッチングチャンバー1内に所定のエッチングガスを導入し、高周波電源32から下部電極4に所定の高周波電力、例えば、周波数13.56MHzの高周波電力を供給し、エッチングガスをプラズマ化する。
【0045】
これとともに、ダイポール型永久磁石群20を回転させ、プラズマに磁場を作用させて均一化し、この均一化したプラズマによって、均一に半導体ウエハWのエッチングを行う。
また、上記エッチングの際に、電位制御用直流電源33から下部電極4に直流電圧を印加することによって、フォーカスリング9の電位を制御し、これによって、フォーカスリング9と、半導体ウエハWとの間で、表面アーキングが発生することを防止する。
【0046】
上記半導体ウエハWとして、表面に、下地層(絶縁層)と、この下地層上に形成されたメタル層と、このメタル層の上に絶縁層であるシリコン酸化膜層が形成された半導体ウエハWを使用し、エッチングガスとして、C4(流量10sccm)、CO(流量50sccm)、Ar(流量200sccm)、O2(流量5sccm)の混合ガスを使用し、圧力2.66Pa(20mTorr)、高周波電力2400Wの条件で、上記半導体ウエハWのシリコン酸化膜層をエッチングし、コンタクトホールを形成するエッチング工程を行った。
【0047】
なお、上記のエッチング条件は、通常のエッチング条件(75mTorr,1500W)より、圧力が低く、高周波電力が高く設定されており、表面アーキングが発生し易い条件である。
【0048】
上記エッチングにおいて、電位制御用直流電源33からの直流電圧の印加を行わずにエッチングを行った場合、半導体ウエハWとフォーカスリング9との電位差は、約40Vとなり、40%の頻度(10枚の半導体ウエハWを処理する際に4枚の半導体ウエハW)で表面アーキングが発生した。
【0049】
一方、この実施形態のエッチング工程において、電位制御用直流電源33から−500Vの直流電圧を印加しつつエッチングを行った場合、半導体ウエハWとフォーカスリング9との電位差は、20V以下となり、表面アーキングの発生率は0%となった。
【0050】
以上の結果から明らかなとおり、電位制御用直流電源33から直流電圧を印加することによって、半導体ウエハWとフォーカスリング9との電位差を減少させることができ、また、表面アーキングの発生を防止することができる。
【0051】
なお、上記の例において、電位制御用直流電源33からの最適な印加電圧を調べるため、印加電圧を+3000〜−3000Vの間で変更してエッチングを行った。この結果、印加電圧を、−400〜−600Vの間とした場合に、最良の結果、つまり、半導体ウエハWとフォーカスリング9との電位差が20V以下、表面アーキングの発生率が0%、を得ることができた。
【0052】
次に、本発明の第2の実施形態について説明する。図4は、下部電極(サセプタ)4及び半導体ウエハWの断面構造を拡大して模式的に示すものである。同図に示すように、下部電極4には、半導体ウエハWの裏面に冷却用のガス(ヘリウムガス等)を供給するためのガス流路4a等が設けられている。したがって、このガス流路4aの部分には、静電チャック8が設けられていない。また、同様に、半導体ウエハWを下部電極4上に支持するための支持部材(例えば、ピン)が設けられた部分にも、ピン等を配置するための孔が設けられており、この孔の部分には静電チャック8が設けられていない。
【0053】
このため、上記ガス流路4aなどの上部に位置する半導体ウエハWの部分は、下部に静電チャック8(静電チャック用電極8b)が設けられている半導体ウエハWの部分とは、電気的に異なった状態となっている。図5は、上記ガス流路4aの上部に位置する半導体ウエハWの部分の電位を模式的に示すものである。同図に示すように、半導体ウエハWの表面は、プラズマの状態によって決まる一定の電位(Vdc)となっており、この電位(Vdc)は、通常の場合、例えば、−400V〜−600V程度である。
【0054】
一方、下部電極4は、直流電圧を重畳しない場合、接地電位となっている。このため、半導体ウエハWの表面と下部電極4との間には、400V〜600V程度の電位差があり、半導体ウエハWの各部の電位は、図中点線で示すような電位となる。
【0055】
これに対して、下部電極4に直流電圧(HV)を重畳した場合、半導体ウエハWの表面と下部電極4との間の電位差は、図中実線で示すように低減され、半導体ウエハWの各部における電位差も低減される。このため、半導体ウエハW内の層間絶縁膜に加わる垂直方向の電位差も低減され、絶縁破壊が生じる可能性を大幅に低減することができる。
【0056】
また、下部電極4に直流電圧を重畳しない場合、図6に実線で示すように、接地電位となっている下部電極4と半導体ウエハWの裏面との間(ガス流路4a(バックガス)の部分)に電位差が生じており、ここで放電が生じる可能性がある。
【0057】
そして、上記のバックガスの部分で放電が生じると、図6に実線で示す半導体ウエハWの各部の電位が、バックガスの部分のインピーダンスが低下することによって、同図に点線で示すように変化し、半導体ウエハWの裏面と接地電位との電位差が低下する。このため、半導体ウエハW内の層間絶縁膜にかかる垂直方向の電位差が大きくなり、絶縁破壊が起きる可能性が高くなる。
【0058】
また、上記のような層間絶縁膜の絶縁破壊が起きなくとも、このような放電が生じると、下部電極4や半導体ウエハWが損傷を受ける。このため、かかる半導体ウエハWの裏面側で生じる放電も、防止することが必要となる。
【0059】
このため、下部電極4には、プラズマの状態によって決まる半導体ウエハの表面電位(Vdc)に応じた直流電圧を重畳することが好ましい。また、上記のように下部電極4に直流電圧を重畳すると、図7に矢印で示すように、この重畳した直流電圧に起因して、下部電極4から半導体ウエハWを横切って微量な直流電流が流れる。しかしながら、このように半導体ウエハWを横切って直流電流が流れると、半導体ウエハWの層間絶縁膜に損傷を与える可能性がある。
【0060】
このため、抵抗R1、R2を介して接地電位に接続されたサセプタ電位モニタ34を設け、このサセプタ電位モニタ34を通じて、下部電極(サセプタ)4に重畳した直流電圧に起因する直流電流がグランドに流れるようにすることが好ましい。
【0061】
このように、サセプタ電位モニタ34を通じて直流電流がグランドに流れるようにすることにより、半導体ウエハWを横切って直流電流が流れることを防止することができる。なお、抵抗R1としては、例えば5MΩ程度の抵抗値を持つ抵抗が使用され、抵抗R2としては、例えば20KΩ程度の抵抗値を持つ抵抗が使用される。
【0062】
図8は、上記サセプタ電位モニタ34によって、下部電極4に加わっている電圧を測定した結果を示すもので、高周波電力を800Wから2350Wまで変化させ、下部電極4に重畳させる直流電圧を0Vから−1000Vまで変化させた場合について示している。同図内に太線で仕切って示すように、太線より下の領域(左下側の領域)では、下部電極4に重畳している直流電圧値よりも大きな電圧値が測定された。したがって、この領域では、半導体ウエハWと下部電極4との間で、異常放電が生じているものと推測される。一方、太線より上の領域では、下部電極4に重畳している直流電圧と同じ電圧値が測定された。したがって、この領域では、上記のような異常放電が生じていないものと推測される。
【0063】
ただし、重畳する直流電圧が半導体ウエハW上の電位(Vdc)と比べてあまりにも大きいと、逆にまた異常放電が生じてしまう可能性がある。図8により、高周波電力が高い場合は、下部電極4に重畳する直流電圧も高める必要があることが分かる。また、高周波電力が2350Wまでの場合は、−500V程度の直流電圧を印加すれば良いことが分かる。また、図9は、高周波電力と半導体ウエハの表面電位(−Vdc)との関係を測定した結果を示すグラフである。同図において、縦軸は表面電位(−Vdc(V))、横軸は高周波電力(W)を示している。この図9に示されるとおり、高周波電力が大きくなると、半導体ウエハの表面電位(−Vdc)も大きくなる。
【0064】
したがって、上記図8、図9の結果から、半導体ウエハの表面電位(−Vdc)に応じた値の直流電圧を、下部電極(サセプタ)4に重畳することによって、半導体ウエハWと下部電極(サセプタ)4との間の異常放電が防止でき、さらに、半導体ウエハWの表面での表面アーキングを防止できることが分かる。
【0065】
なお、高周波電力と半導体ウエハWの表面電位(−Vdc)との関係を予めテーブルデータとして記憶しておき、高周波電力を印加する際に、そのテーブルデータに基づいて、下部電極4に重畳する直流電圧を決めるのが好まし形態である。
【0066】
図10は、本発明の第3の実施形態に係るプラズマエッチング装置の要部の構成を拡大して模式的に示すものである。同図に示すように、フォーカスリング41は、アルミニウム等からなるサセプタを兼ねる下部電極42とアルミニウム等の導電金属からなるピン43により電気的に接続されて、下部電極42側の電荷がピン43を介してフォーカスリング41側に給電されている。なおピン43は、フォーカスリング41と下部電極42の対向面に穿設した盲孔に、各端を埋め込むことにより、フォーカスリング41と下部電極42とを電気的に接続している。
【0067】
従来のプラズマエッチング装置では、フォーカスリング41と下部電極42間にはわずかな間隙が設けられていたが、本実施の形態では、ピン43を介して下部電極42の電荷がフォーカスリング41側に供給されて、フォーカスリング41の電位が制御されるように作用している。図10に示した第3の実施形態は、フォーカスリング41が下部電極42とピン43により電気的に接続されている点を除いて、図2等に示された第1の実施形態と同一構成であるので、図10に示された他の構成については図2と対応する部分に同一の符号を付して重複する説明は省略する。
【0068】
なお、ピン43は、本実施形態ではアルミニウム(Al)で構成されているが、他の導電性の材料を用いても差し支えない。
【0069】
この実施形態について、第1の実施形態におけると同一の条件で効果を測定したところ、ピン43がない従来の装置に比べて、半導体ウエハWとフォーカスリング41との電位差が減少し、表面アーキングの発生率も減少していることが認められた。
【0070】
図11は、本発明の第4の実施形態に係るプラズマエッチング装置の要部構成を拡大して示すものである。同図に示すように、半導体ウエハWが載置される下部電極(サセプタ)4上には、半導体ウエハWを吸着保持するための静電チャック8が設けられている。この静電チャック8は、タングステン等からなる静電チャック用電極8bを、例えば、Al23等のセラミックス溶射膜等からなる誘電体層8a内に配置した構成とされている。そして、静電チャック用電極8bに高圧直流電圧を印加することによって、クーロン力またはジョンソンラーベック力で半導体ウエハWを吸着するようになっている。
【0071】
また、下部電極4内には、冷却用の冷媒を循環させるための冷媒流路7cと、Heガス、Arガス、SF6ガス、Cl2ガス等の冷却用ガスを供給するためのガス流路120が形成されている。このガス流路120は、半導体ウエハWの中央部と外周部とで、供給する冷却用ガスの圧力を変更可能とするため、外周部用ガス流路120aと、中央部用ガス流路120bの2系統設けられている。
【0072】
上記下部電極4は、表面に陽極酸化処理(アルマイト処理)を施されたアルミニウム等の金属によって全体が略円板状になるよう形成されており、上部プレート101と、中間プレート102と、下部プレート103の3つの部材(いずれも略円板状に形成されている。)を接合して構成されている。そして、冷媒流路7cは、中間プレート102の上面側から穿設された溝の開口部を上部プレート101によって閉塞することによって構成されている。
【0073】
一方、ガス流路120は、下部電極4内に形成されたガス溜め部121と、このガス溜め部121に下部電極4の下方からガスを導入するためのガス導入用円孔部122と、ガス溜め部121から半導体ウエハWの裏面にガスを供給するための複数のガス供給用細孔部123とから構成されている。
【0074】
上記ガス溜め部121は、下部プレート103の上面側から穿設された溝の開口部を中間プレート102によって閉塞することによって構成されており、このガス溜め部121と下部電極4の載置面とを連通するように、中間プレート102及び上部プレート101を通じて複数のガス供給用細孔部123が形成されている。したがって、上記のガス溜め部121は、下部電極4内において冷媒流路7cの下部に位置するように設けられている。
【0075】
また、下部電極4の載置面には、上記のガス供給用細孔部123に対応して、冷却用ガスを拡散させるための複数の細溝124が形成されている。
【0076】
なお、上述したガス供給用細孔部123には、バリが形成されないよう注意する必要がある。すなわち、ドリル等にてガス供給用の細孔をプレートの一端側より穿設する際に、プレートの端面側においてバリが発生する恐れがあるが、プレートの端面側に予め細孔と同等またはそれより大きい径の孔を形成しておくことにより、端面側にバリを形成することがないので、バリによる異常放電を防止することができる。
【0077】
また、冷却用ガスが不必要に廻り込まないように、プレート等の接合部には、Oリングなどのシール部材が設けられ、充分なシール性を確保する目的で、そのシール面は、凹凸形状とされている。この凹凸形状のシール面は、アルマイトが付かない箇所において、異常放電が生じる恐れがあるので、このシール面の表面粗さ(Ra)は0.5以下とするのが好ましい。
【0078】
また、タングステンを溶射して静電チャック用電極8bを形成する際、ガス供給用細孔部123の側面やガス溜め部121の底面等に、タングステンが付着してしまうが、予めガス供給用細孔部123やガス溜め部121等の内面にSiコーティングを施すことによって、付着したタングステンを純水による超音波洗浄にて容易に除去することができる。図12は、上記の細溝124の形成パターンの一例を示すもので、図12に示す例では、細溝124は同心状に合計9本形成されている。これら9本の細溝124のうち、最も外側に形成された1本の細溝124は前述した外周部用ガス流路120aに接続されている。また、残りの8本の細溝124は、径方向に形成された細溝124によって、2本ずつ連通された形状となっており、これらの細溝124は、中央部用ガス流路120bに接続されている。
【0079】
なお、細溝124の形成パターンは、上記の例に限定されるものではなく、冷却用ガスが均一に半導体ウエハWの裏面に供給されるようなものであれば、どのようなものでも良い。
【0080】
そして、本実施形態において、上記の細溝124の深さ(図中d1で示す。)は1mm以下、例えば約100μmとされており、載置面とガス溜め部121の底部との距離(図中d2で示す。)は、10mm以上、例えば約25mmとされている。これは以下の理由による。
【0081】
すなわち、図13は、縦軸を放電開始電圧、横軸を距離×圧力として、2点間の放電開始電圧と距離×圧力との関係を示すもので、これらの関係は、一般にパッシェンカーブと言われているV字状の曲線で表される。そして、例えば133〜266Pa程度の圧力でHeガス等の冷却用ガスを流す場合、上記のパッシェンカーブの最下点となる距離は、数ミリ(例えば約4mm)となる。
【0082】
このため、d1を1mm以下(例えば約100μm)とし、d2を10mm以上(例えば約25mm)とすることにより、これらの間で放電が生じ難い状態とすることができる。
【0083】
上記構成の本実施形態では、冷媒流路7c内に循環される冷媒によって、下部電極4が所定温度に温度制御されるが、冷媒流路7cが、図20に示した装置の場合より、下部電極4の載置面に近い位置に設けられているので、半導体ウエハWの温度をより効率的にかつ精度良く制御することができる。
【0084】
また、ガス導入用円孔部122からガス溜め部121内に導入され、ここに一旦溜められた冷却用ガスが、ガス溜め部121から各ガス供給用細孔部123を通って半導体ウエハWの裏面に供給され、かつ、この冷却用ガスは細溝124内を通って拡散することにより、半導体ウエハWの裏面の広い範囲に渡って均一に供給される。このため、冷却用ガスの作用によって、半導体ウエハWの温度をより効率的にかつ精度良く制御することができる。
【0085】
また、前述したとおり、パッシェンカーブの最下点となる距離の近傍位置に、半導体ウエハWの裏面と対向するような面が形成されないように、ガス流路120が構成されているので、これらの間に、不所望な放電が発生する可能性を低減することができる。
【0086】
以上のような、半導体ウエハWの裏面との距離の関係は、半導体ウエハWを支持して昇降させるための基板支持部材、例えばピンについても適用することができる。以下に本発明の第5の実施形態を示す。
【0087】
すなわち、図14に示すように、下部電極4内には、半導体ウエハWを支持して昇降させるための基板支持部材として、例えばピン4bが複数(通常3本程度)設けられている。そして、このピン4bを下部電極4上に突出させることにより、半導体ウエハWを下部電極4の上方に支持できるようになっている。
【0088】
図15に示すように、各ピン4bは、その下端部がエッチングチャンバー1の外部で上下動プレート61の各支持部62に固着されており、この上下動プレート61は例えばパルスモータなどの駆動機構63によって上下動自在になるように構成されている。したがってこの駆動機構63を作動させて上記上下動プレート61を上下動させると、それに伴って上記各ピン4bが上昇、下降し、これらの各ピン4bの上端面は、静電チャック8の表面から突出したり、伝熱媒体流路59内に納まったりするようになっている。尚、この駆動機構63としてエアシリンダ等を用いてもよい。
【0089】
上記上下動プレート61の各支持部62と、上記エッチングチャンバー1の底部外側面との間には、ベローズ64がそれぞれ設けられており、これら各ベローズ64によって、上記各ピン4bの上下動経路となる上記伝熱媒体流路59は、大気に対して気密構造となっている。上記伝熱媒体流路59は、エッチングチャンバー1の外部から絶縁板6、下部電極4内を通じて導入されているガス供給管65と通じており、流量制御機構を備えた別設のガス供給装置66によって、例えばHeガスをこのガス供給管65内に流すと、当該Heガスには、前出冷却冷媒の冷熱が下部電極4を介して熱伝導される。そしてそのようにして冷却されたHeガスは、上記伝熱媒体流路59を通じて上記静電チャック8の表面にまで達し、その結果半導体ウエハWを所定温度、例えば150℃〜−50℃までの任意の温度に調整できる構成となっている。
【0090】
上記のようなピン4bと、半導体ウエハWの裏面との間においても、放電が発生する可能性があるため、あるエッチング条件のもとで、ピン4bの頂部と半導体ウエハWの裏面との距離d3も、上述したと同様な距離(1mm以下(例えば約100μm)、又は10mm以上(例えば約25mm))とすることが好ましい。
【0091】
なお、上記のようなパッシェンカーブは、ガス圧やガス種によって変化するので、冷却ガスの種類やガス圧によって、適宜選択する必要があり、ピン4bの頂部と半導体ウエハWの裏面との電位差が、パッシェンカーブで求められる放電開始電圧より十分低くなるような条件を選択する必要がある。
【0092】
このように、ピン4bの頂部と、半導体ウエハWの裏面との距離d3を、パッシェンカーブの最下点近傍とならないようにすることによって、半導体ウエハWの裏面とピン4bとの間で異常放電が発生することを防止することができる。そして、かかる半導体ウエハWの裏面側の異常放電を防止することによって、半導体ウエハWの表面側で生じる表面アーキングの発生も防止することができる。
【0093】
また、図15では、制御部71が高周波電源32や駆動機構63、流量制御機構を備えたガス供給装置66と電気的に繋がれている。制御部71は、パッシェンカーブに基づいて、半導体ウエハWの表面電位、半導体ウエハ裏面とピン4bとの間の距離及び圧力のうちの少なくともいずれか一つを制御して、半導体ウエハWの裏面側の異常放電を防止することができる。
【0094】
なお、半導体ウエハW表面の電位は、印加する高周波電力に対応して決まる関係なので、高周波電源32を制御することにより、半導体ウエハW表面の電位、すなわち、半導体ウエハWの裏面とピン4bの頂部との間の電位差を制御することができる。
【0095】
また、図15の例では、ピン4bが挿通されている箇所は、伝熱媒体流路59であるが、伝熱媒体流路59とは異なる、ピン4bを上下動させるためのみに使用する孔部であってもよい。この場合、伝熱媒体流路59からのHeガスは静電チャック8上の溝を通り、ピン4bを上下動させるためにのみ使用する孔部に伝わる。従って、半導体ウエハ裏面とピン4bとの間の圧力は、実質的に伝熱媒体流路59における圧力と等しくなる。
【0096】
ところで、静電チャック用電極8bと直流電源30との接続部は、図16に示すように、下部電極4に形成された透孔50に、HVピン51を挿入してその先端部に設けられた導電部52を静電チャック用電極8bに接触させるよう構成されている。また、HVピン51の周囲を囲むように設けられた絶縁材は、絶縁材53aと、絶縁材53bの2つに分割されており、これらの間に接着剤が充填される空隙54が形成されるようになっている。そして、先端側の絶縁材53aは、エポキシ系の接着剤55で下部電極4及びHVピン51に固定されており、後端側の絶縁材53bは、シリコン系の接着剤56で下部電極4及びHVピン51に固定されている。
【0097】
このように、2種類の接着剤を使用することにより、接着力の強いエポキシ系の接着剤55でHVピン51を確実に固定することができるとともに、シリコン系の接着剤56で確実に真空封止を行うことができる構成となっている。また、空隙54内にシリコン系の接着剤56が充填されることによって、さらに確実に真空封止を行うことができる構成となっている。
【0098】
次に、図17、18を参照して、参考例に係るプラズマエッチング装置の構成について説明する。
【0099】
図17、18は、参考例に係るプラズマエッチング装置のフォーカスリング9付近の要部の構成を模式的に示すものである。図17はフォーカスリング9の要部を拡大して示す縦断面図であり、図18はフォーカスリング9の全体を示す平面図である。
【0100】
同図に示すように、フォーカスリング9は、上面がプラズマ側に向く様に設けられた上側リング9aと、この上側リング9aの下部の内側に設けられた内側リング9bと、内側リング9bの外側に設けられた外側リング9cの3つのリング状部材から構成されている。また、上記の3つのリング状部材のうち、上側リング9aと、内側リング9bは、シリコン、炭化ケイ素、二酸化ケイ素等から構成されており、残りの外側リング9cは、絶縁性の部材、例えば、石英等から構成されている。
【0101】
そして、外側リング9cの上面の高さが、内側リング9bの上面の高さより僅かに高くなるようにその寸法が設定されており、これによって、外側リング9cの上に上側リング9a載置した際に、上側リング9aの下面と、内側リング9bの上面との間に僅かな間隙(例えば0.025mm〜0.075mm程度の間隙)が形成されるよう構成されている。これは、上側リング9aと内側リング9bが接触して同電位にならないようにするためである。
【0102】
上記構成のフォーカスリング9は、アルミニウム等からなる下部電極4の周縁部、及び、その周囲に設けられた絶縁部材10上に載置されるように設けられている。
【0103】
上記構成のフォーカスリング9を具備したプラズマエッチング装置では、エッチングの際に、プラズマの作用等によって、半導体ウエハW及びフォーカスリング9に電荷が蓄積して電位差を生じるが、上述したとおり、本実施の形態におけるフォーカスリング9は、上側リング9aと、内側リング9bと、外側リング9cの3つのリング状部材から構成されている。
【0104】
したがって、フォーカスリングが一体的に構成されたものに比べて、絶縁性の部材からなる外側リング9cを挿入したことによりフォーカスリング全体としてのインピーダンスが増加する。
【0105】
また、上側リング9aと内側リング9bの電位差(Vtb)は、前記した上側リング9aと半導体ウエハWとの電位差(Vtw)より大きく、さらにまた、上側リング9aと半導体ウエハWとのギャップ長(dtw)と、上側リング9aと内側リング9bとのギャップ長(dtd)とを比較すると、dtd<<dtwとなるように構成されている。このため、放電が発生する場合も、上側リング9aと半導体ウエハWとの間では発生せず、上側リング9aと内側リング9bの間において確実に発生する。
【0106】
つまり、上側リング9aと内側リング9bの間において確実に放電が発生するようになっているので、上側リング9aと半導体ウエハWとの間で放電(表面アーキング)が発生することを確実に防止できるようになっている。
【0107】
上記半導体ウエハWとして、表面に、下地層(絶縁層)と、この下地層上に形成されたメタル層と、このメタル層の上に絶縁層であるシリコン酸化膜層が形成された半導体ウエハWを使用し、エッチングガスとして、C48(流量10sccm)、CO(流量50sccm)、Ar(流量200sccm)、O2(流量5sccm)の混合ガスを使用し、圧力5.99Pa(45mTorr)、高周波電力1500Wの条件で、上記半導体ウエハWのシリコン酸化膜層をエッチングし、コンタクトホールを形成するエッチング工程を行った。
【0108】
上記エッチングにおいて、半導体ウエハW、上側リング9a、内側リング9bの電位を夫々測定したところ、半導体ウエハWの電位が−397V、上側リング9aの電位が−393V、内側リング9bの電位が−414Vであった。このエッチングにおいて、表面アーキングは、全く生じることがなかった。
【0109】
以上の結果から明らかなとおり、本参考例においては、フォーカスリング9を、上側リング9aと、内側リング9bと、外側リング9cの3つのリング状部材から構成することによって、表面アーキングの発生を防止することができた。
【0110】
すなわち、本参考例では、前述した電位制御用直流電源33を用いることなく、フォーカスリング9の電位を、表面アーキングの発生し難い電位に制御することができる。なお、上記参考例では、フォーカスリング9を、上側リング9aと、内側リング9bと、外側リング9cの3つのリング状部材から構成したが、フォーカスリングは最低2つに分割されていればよく、例えば、上側リング9aと、内側リング9bに相当する2つのリング状部材から構成することもできる。また、内側リング9bと、外側リング9cの構成を入れ替えて、外側に導電性のリング状部材、内側に絶縁性のリング状部材を配置することも可能である。
【0111】
なお、上記実施形態では、本発明をダイポール型のマグネトロンプラズマエッチング装置に適用した場合について説明したが、他のタイプのプラズマ処理は勿論、プラズマ成膜装置、プラズマスパッタ装置、プラズマアッシング装置等のプラズマエッチング装置以外のプラズマ装置に対しても、同様に適用することができる。
【0112】
産業上の利用可能性
本発明に係るプラズマ処理装置及びプラズマ処理方法は、半導体装置の製造を行う半導体製造産業等において使用することが可能である。したがって、本発明は産業上の利用可能性を有する。
【0113】
【図面の簡単な説明】
【図1】本発明の実施形態に係るプラズマエッチング装置の全体の概略構成を模式的に示す図。
【図2】 図1の装置の要部を拡大して模式的に示す図。
【図3】 電位制御用直流電源による電圧印加部分の回路構成を示す図。
【図4】 図1の装置の要部を拡大して模式的に示す図。
【図5】 半導体ウエハ内の各部の電位を示す図。
【図6】 放電が生じた際の半導体ウエハ内の各部の電位を示す図。
【図7】 サセプタ電位モニタの構成を示す図。
【図8】 サセプタ電位モニタによる測定結果を示す図。
【図9】 高周波電力とウエハ表面の電位との関係を示す図。
【図10】 他の実施形態に係るプラズマエッチング装置の要部を拡大して模式的に示す図。
【図11】 他の実施形態に係るプラズマエッチング装置の要部を拡大して模式的に示す図。
【図12】 図11のプラズマエッチング装置の要部構成を模式的に示す図。
【図13】 パッシェンカーブを説明するための図。
【図14】 図11のプラズマエッチング装置の要部を拡大して模式的に示す図。
【図15】 他の実施形態に係るプラズマエッチング装置の全体の概略構成を模式的に示す図。
【図16】 図15のプラズマエッチング装置の要部を拡大して模式的に示す図。
【図17】 参考例に係るプラズマエッチング装置の要部を拡大して模式的に示す図。
【図18】 図17のプラズマエッチング装置の要部構成を模式的に示す図。
【図19】 従来のプラズマ処理装置の要部を拡大して模式的に示す図。
【図20】 従来のプラズマ処理装置の要部を拡大して模式的に示す図。
【0114】
【符号の説明】
1……エッチングチャンバー、4……下部電極、9……フォーカスリング、33……電位制御用直流電源、W……半導体ウエハ。

Claims (6)

  1. 内部でプラズマを発生させる処理チャンバーと、
    前記処理チャンバー内に設けられ、被処理基板が載置されるサセプタと、
    前記サセプタの周囲に、前記被処理基板のりを囲むように配置されたフォーカスリングと、
    前記プラズマによる前記被処理基板のプラズマ処理中に、前記サセプタを介して前記フォーカスリングに直流電圧を印加し、前記フォーカスリングの電位を制御する直流電圧印加装置と
    を具備したことを特徴とするプラズマ処理装置。
  2. 請求項記載のプラズマ処理装置において、
    前記フォーカスリングが、シリコン、炭化ケイ素、二酸化ケイ素のいずれかから構成されたことを特徴とするプラズマ処理装置。
  3. 内部でプラズマを発生させる処理チャンバーと、
    前記処理チャンバー内に設けられ、被処理基板が載置されるサセプタと、
    前記サセプタに、前記被処理基板表面と前記サセプタとの間の電位差を低減するような直流電圧を印加する直流電圧印加装置とを具備したプラズマ処理装置であって、
    前記処理チャンバー内の電極に印加する高周波電力値と、前記高周波電力を印加した際の前記被処理基板表面の電位との関係に関するテーブルデータを有し、前記テーブルデータに基づいて、前記直流電圧印加装置により直流電圧を印加することを特徴とするプラズマ処理装置。
  4. 被処理基板を収容してプラズマ処理するための処理チャンバーと、
    前記処理チャンバー内に設けられ、前記被処理基板が載置される電極を兼ねたサセプタと、
    前記サセプタの内部に形成され、冷媒を循環させるための冷媒流路と、
    前記サセプタの内部であって前記冷媒流路より下側に形成されたガス溜め部と、
    前記ガス溜め部から前記サセプタの載置面に冷却用ガスを供給するためのガス供給用細孔部と、
    前記ガス溜め部に冷却用ガスを導入するためのガス導入部を有する冷却用ガス流路とを具備し
    前記サセプタの載置面と前記ガス溜め部の底部との間が、10mm以上離間するよう構成されていることを特徴とするプラズマ処理装置。
  5. 請求項記載のプラズマ処理装置において、
    前記サセプタの載置面に、前記ガス供給用細孔部に対応して冷却用ガスを拡散させるための複数の細溝が設けられていることを特徴とするプラズマ処理装置。
  6. 請求項記載のプラズマ処理装置において、
    前記細溝の深さが1mm以下とされていることを特徴とするプラズマ処理装置。
JP2003514608A 2001-07-10 2002-07-02 プラズマ処理装置 Expired - Lifetime JP4223396B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2001210035 2001-07-10
JP2001210035 2001-07-10
JP2001216424 2001-07-17
JP2001216424 2001-07-17
JP2002068423 2002-03-13
JP2002068423 2002-03-13
PCT/JP2002/006665 WO2003009363A1 (en) 2001-07-10 2002-07-02 Plasma processor and plasma processing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008209965A Division JP4828585B2 (ja) 2001-07-10 2008-08-18 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JPWO2003009363A1 JPWO2003009363A1 (ja) 2004-11-11
JP4223396B2 true JP4223396B2 (ja) 2009-02-12

Family

ID=27347135

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2003514608A Expired - Lifetime JP4223396B2 (ja) 2001-07-10 2002-07-02 プラズマ処理装置
JP2008209965A Expired - Lifetime JP4828585B2 (ja) 2001-07-10 2008-08-18 プラズマ処理装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008209965A Expired - Lifetime JP4828585B2 (ja) 2001-07-10 2008-08-18 プラズマ処理装置

Country Status (4)

Country Link
US (5) US8056503B2 (ja)
JP (2) JP4223396B2 (ja)
TW (2) TWI234417B (ja)
WO (1) WO2003009363A1 (ja)

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100502268B1 (ko) * 2000-03-01 2005-07-22 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 방법
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
JP4991286B2 (ja) * 2003-03-21 2012-08-01 東京エレクトロン株式会社 処理中の基板裏面堆積を減らす方法および装置。
JP4640922B2 (ja) * 2003-09-05 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置
TW200520632A (en) * 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
JP2005302848A (ja) * 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
KR100668831B1 (ko) * 2004-11-19 2007-01-16 주식회사 하이닉스반도체 반도체 소자의 랜딩 플러그 폴리 형성방법
US7731798B2 (en) * 2004-12-01 2010-06-08 Ultratech, Inc. Heated chuck for laser thermal processing
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
JP4642528B2 (ja) * 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US20070218681A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US7988814B2 (en) * 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
US8916001B2 (en) 2006-04-05 2014-12-23 Gvd Corporation Coated molds and related methods and components
KR20080001164A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 홀 휨 방지를 위한 플라즈마식각장치 및 그를 이용한 식각방법
JP4754469B2 (ja) * 2006-12-15 2011-08-24 東京エレクトロン株式会社 基板載置台の製造方法
US8491752B2 (en) 2006-12-15 2013-07-23 Tokyo Electron Limited Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism
US8222156B2 (en) 2006-12-29 2012-07-17 Lam Research Corporation Method and apparatus for processing a substrate using plasma
US9536711B2 (en) * 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
JP5015729B2 (ja) * 2007-11-13 2012-08-29 株式会社Sokudo 基板処理装置
US20090221150A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Etch rate and critical dimension uniformity by selection of focus ring material
JP5565892B2 (ja) * 2008-06-13 2014-08-06 芝浦メカトロニクス株式会社 プラズマ処理装置、プラズマ処理方法、および電子デバイスの製造方法
JP5141519B2 (ja) * 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
JP5227264B2 (ja) * 2009-06-02 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置,プラズマ処理方法,プログラム
US20110024049A1 (en) * 2009-07-30 2011-02-03 c/o Lam Research Corporation Light-up prevention in electrostatic chucks
US8900398B2 (en) 2009-08-31 2014-12-02 Lam Research Corporation Local plasma confinement and pressure control arrangement and methods thereof
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR200479167Y1 (ko) * 2010-01-27 2015-12-28 어플라이드 머티어리얼스, 인코포레이티드 반도체 제조 챔버의 링 어셈블리
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5563347B2 (ja) 2010-03-30 2014-07-30 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5486383B2 (ja) * 2010-04-13 2014-05-07 富士フイルム株式会社 ドライエッチング方法及び装置
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
JP5982758B2 (ja) * 2011-02-23 2016-08-31 東京エレクトロン株式会社 マイクロ波照射装置
JP5961917B2 (ja) * 2011-03-24 2016-08-03 住友電気工業株式会社 ウェハ保持体
JP5741124B2 (ja) * 2011-03-29 2015-07-01 東京エレクトロン株式会社 プラズマ処理装置
TWI584337B (zh) * 2011-04-11 2017-05-21 蘭姆研究公司 用以處理半導體基板之系統及方法
US9177756B2 (en) * 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
TWI830183B (zh) 2011-10-05 2024-01-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) * 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
US9153463B2 (en) * 2011-11-25 2015-10-06 Nhk Spring Co., Ltd. Substrate support device
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
JP5936361B2 (ja) * 2012-01-12 2016-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9070536B2 (en) * 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
JP5976377B2 (ja) 2012-04-25 2016-08-23 東京エレクトロン株式会社 被処理基体に対する微粒子付着の制御方法、及び、処理装置
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US9589818B2 (en) * 2012-12-20 2017-03-07 Lam Research Ag Apparatus for liquid treatment of wafer shaped articles and liquid control ring for use in same
KR102168064B1 (ko) * 2013-02-20 2020-10-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
JP6224958B2 (ja) * 2013-02-20 2017-11-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN104134624B (zh) * 2013-05-02 2017-03-29 北京北方微电子基地设备工艺研究中心有限责任公司 托盘及等离子体加工设备
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
JP2015053384A (ja) 2013-09-06 2015-03-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20150162169A1 (en) * 2013-12-05 2015-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and method
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN104743351B (zh) * 2013-12-30 2016-09-07 基准精密工业(惠州)有限公司 加工室
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
JP6219227B2 (ja) 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
JP6377479B2 (ja) * 2014-09-29 2018-08-22 株式会社ディスコ プラズマエッチング装置
CN106298417B (zh) * 2015-05-14 2018-08-24 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
JP2017092156A (ja) * 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
JP6479698B2 (ja) * 2016-02-18 2019-03-06 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
US10770270B2 (en) * 2016-06-07 2020-09-08 Applied Materials, Inc. High power electrostatic chuck with aperture-reducing plug in a gas hole
JP6146841B1 (ja) * 2016-08-04 2017-06-14 日本新工芯技株式会社 リング状電極
JP6688715B2 (ja) * 2016-09-29 2020-04-28 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
WO2018151705A1 (en) 2017-02-14 2018-08-23 Whirlpool Corporation Multi-layer encapsulation system for joint sealing of vacuum insulated cabinets
CN109216144B (zh) * 2017-07-03 2021-08-06 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11515130B2 (en) 2018-03-05 2022-11-29 Applied Materials, Inc. Fast response pedestal assembly for selective preclean
JP7055040B2 (ja) * 2018-03-07 2022-04-15 東京エレクトロン株式会社 被処理体の載置装置及び処理装置
US11328929B2 (en) 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
TWI842709B (zh) * 2018-05-01 2024-05-21 美商應用材料股份有限公司 用於降低接觸電阻的基板處理的方法、裝置、及系統
CN111095502B (zh) * 2018-06-22 2024-04-05 东京毅力科创株式会社 等离子体处理装置和等离子体蚀刻方法
KR20200030162A (ko) * 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
TWI794131B (zh) * 2018-12-21 2023-02-21 日商Toto股份有限公司 靜電吸盤
US11018046B2 (en) 2019-04-12 2021-05-25 Samsung Electronics Co., Ltd. Substrate processing apparatus including edge ring
JP7361002B2 (ja) * 2019-10-02 2023-10-13 東京エレクトロン株式会社 プラズマ処理装置
CN112687602A (zh) * 2019-10-18 2021-04-20 中微半导体设备(上海)股份有限公司 一种静电吸盘及其制造方法、等离子体处理装置
CN112768331B (zh) * 2019-11-01 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其下电极组件、静电卡盘
US20210195726A1 (en) * 2019-12-12 2021-06-24 James Andrew Leskosek Linear accelerator using a stacked array of cyclotrons
CN112992631B (zh) * 2019-12-16 2023-09-29 中微半导体设备(上海)股份有限公司 一种下电极组件,其安装方法及等离子体处理装置
KR20220086013A (ko) * 2020-12-16 2022-06-23 삼성전자주식회사 플라즈마 프로세싱을 위한 정전 척을 포함하는 반도체 공정 설비
KR102662551B1 (ko) 2021-02-25 2024-05-03 주식회사 히타치하이테크 플라스마 처리 장치
KR102608903B1 (ko) 2021-04-12 2023-12-04 삼성전자주식회사 플라즈마 식각 장치 및 방법
US20230073660A1 (en) * 2021-09-02 2023-03-09 Wonik Ips Co., Ltd. Substrate processing apparatus
TWI790138B (zh) * 2022-03-08 2023-01-11 天虹科技股份有限公司 沉積機台的承載盤控制方法
US20240153745A1 (en) * 2022-11-05 2024-05-09 Applied Materials, Inc. Protection treatments for surfaces of semiconductor fabrication equipment

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
JP2951718B2 (ja) * 1990-11-28 1999-09-20 東京エレクトロン株式会社 圧力ゲージ出力の零点調整装置
KR100297358B1 (ko) * 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
JP3170319B2 (ja) * 1991-08-20 2001-05-28 東京エレクトロン株式会社 マグネトロンプラズマ処理装置
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
KR100291108B1 (ko) * 1993-03-17 2001-06-01 히가시 데쓰로 플라즈마 처리 시스템
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
TW262566B (ja) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
KR0141659B1 (ko) * 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
TW277139B (ja) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5467249A (en) * 1993-12-20 1995-11-14 International Business Machines Corporation Electrostatic chuck with reference electrode
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
JPH07254588A (ja) 1994-03-16 1995-10-03 Toshiba Corp プラズマ表面処理装置
US5552124A (en) * 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JP3082624B2 (ja) * 1994-12-28 2000-08-28 住友金属工業株式会社 静電チャックの使用方法
JP3339243B2 (ja) 1995-03-17 2002-10-28 富士通株式会社 X線反射率解析方法及び装置
JPH08264509A (ja) * 1995-03-27 1996-10-11 Hitachi Ltd 表面処理方法および表面処理装置
JPH08293539A (ja) * 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5751537A (en) * 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US5737177A (en) * 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
US5894400A (en) * 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6074488A (en) * 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
WO1999014788A1 (en) * 1997-09-16 1999-03-25 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3792865B2 (ja) 1997-10-30 2006-07-05 松下電器産業株式会社 半導体装置の製造装置およびドライエッチング方法
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6304424B1 (en) * 1998-04-03 2001-10-16 Applied Materials Inc. Method and apparatus for minimizing plasma destabilization within a semiconductor wafer processing system
US6198616B1 (en) * 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
JP2000164583A (ja) 1998-06-24 2000-06-16 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6125025A (en) * 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6361645B1 (en) * 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
WO2000026960A1 (fr) * 1998-10-29 2000-05-11 Tokyo Electron Limited Dispositif de traitement sous vide
WO2000060658A1 (fr) * 1999-04-06 2000-10-12 Tokyo Electron Limited Electrode, etage de tranche, dispositif a plasma, et procede de fabrication d'une electrode et d'un etage de tranche
JP2001068538A (ja) * 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6409896B2 (en) * 1999-12-01 2002-06-25 Applied Materials, Inc. Method and apparatus for semiconductor wafer process monitoring
JP4417574B2 (ja) * 2000-02-14 2010-02-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6364958B1 (en) * 2000-05-24 2002-04-02 Applied Materials, Inc. Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges
US6489249B1 (en) * 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
US6494958B1 (en) * 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
JP4559595B2 (ja) * 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4676074B2 (ja) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
JP2003060019A (ja) * 2001-08-13 2003-02-28 Hitachi Ltd ウエハステージ
JP4098975B2 (ja) * 2001-10-29 2008-06-11 キヤノンアネルバ株式会社 プラズマ支援ウェハー処理装置の二重電極ウェハーホルダ
TWI272877B (en) * 2001-12-13 2007-02-01 Tokyo Electron Ltd Ring mechanism, and plasma processing device using the ring mechanism
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
JP4991286B2 (ja) * 2003-03-21 2012-08-01 東京エレクトロン株式会社 処理中の基板裏面堆積を減らす方法および装置。
JP5492578B2 (ja) * 2003-04-24 2014-05-14 東京エレクトロン株式会社 プラズマ処理装置
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
JP4607517B2 (ja) * 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
TW200520632A (en) * 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
KR100578129B1 (ko) * 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
JP2005303099A (ja) * 2004-04-14 2005-10-27 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006351887A (ja) * 2005-06-17 2006-12-28 Hitachi High-Technologies Corp プラズマ処理装置
US7988814B2 (en) * 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
KR100849179B1 (ko) * 2007-01-10 2008-07-30 삼성전자주식회사 갭 발생방지구조 및 이를 갖는 플라즈마 처리설비
US20090056627A1 (en) * 2007-08-30 2009-03-05 Asm Japan K.K. Method and apparatus for monitoring plasma-induced damage using dc floating potential of substrate
JP5281811B2 (ja) * 2008-03-13 2013-09-04 東京エレクトロン株式会社 プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
JP2010034416A (ja) * 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP5371466B2 (ja) * 2009-02-12 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP5227264B2 (ja) * 2009-06-02 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置,プラズマ処理方法,プログラム
JP2011176228A (ja) * 2010-02-25 2011-09-08 Oki Semiconductor Co Ltd プラズマ処理装置及びフォーカスリング

Also Published As

Publication number Publication date
JP2008306212A (ja) 2008-12-18
US20130174983A1 (en) 2013-07-11
US9728381B2 (en) 2017-08-08
TW200401589A (en) 2004-01-16
TWI234417B (en) 2005-06-11
US20040177927A1 (en) 2004-09-16
US20150083333A1 (en) 2015-03-26
JP4828585B2 (ja) 2011-11-30
US8904957B2 (en) 2014-12-09
JPWO2003009363A1 (ja) 2004-11-11
WO2003009363A1 (en) 2003-01-30
US8056503B2 (en) 2011-11-15
US20120006492A1 (en) 2012-01-12
US8387562B2 (en) 2013-03-05
US9437402B2 (en) 2016-09-06
TWI246873B (en) 2006-01-01
US20150083332A1 (en) 2015-03-26

Similar Documents

Publication Publication Date Title
JP4223396B2 (ja) プラズマ処理装置
KR100613198B1 (ko) 플라즈마 처리 장치, 포커스 링 및 서셉터
US7331307B2 (en) Thermally sprayed member, electrode and plasma processing apparatus using the electrode
JP4547182B2 (ja) プラズマ処理装置
US5556500A (en) Plasma etching apparatus
US7799238B2 (en) Plasma processing method and plasma processing apparatus
JP5492578B2 (ja) プラズマ処理装置
KR100635845B1 (ko) 정전기 척 및 그 제조 방법
US20080106842A1 (en) Mounting device, plasma processing apparatus and plasma processing method
US20080083703A1 (en) Method of plasma processing
JP2003060019A (ja) ウエハステージ
US20080242086A1 (en) Plasma processing method and plasma processing apparatus
JPS63238288A (ja) ドライエツチング方法
JP4322484B2 (ja) プラズマ処理方法及びプラズマ処理装置
JPH09289201A (ja) プラズマ処理装置
JP4602528B2 (ja) プラズマ処理装置
JP4115155B2 (ja) プラズマ処理装置の真空処理室内部品の帯電抑制方法
JPH07201818A (ja) ドライエッチング装置
JP2004047653A (ja) プラズマ処理装置用基板載置台及びプラズマ処理装置
JP3695429B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080617

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080818

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081118

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081119

R150 Certificate of patent or registration of utility model

Ref document number: 4223396

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111128

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111128

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141128

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term