JP3768480B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP3768480B2
JP3768480B2 JP2003021077A JP2003021077A JP3768480B2 JP 3768480 B2 JP3768480 B2 JP 3768480B2 JP 2003021077 A JP2003021077 A JP 2003021077A JP 2003021077 A JP2003021077 A JP 2003021077A JP 3768480 B2 JP3768480 B2 JP 3768480B2
Authority
JP
Japan
Prior art keywords
film
dielectric constant
low dielectric
semiconductor device
barrier metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003021077A
Other languages
Japanese (ja)
Other versions
JP2003309170A (en
Inventor
丘 利根川
幸司 有田
達矢 宇佐美
昇 森田
光市 大音
洋一 佐々木
貞之 大西
良平 北尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2003021077A priority Critical patent/JP3768480B2/en
Publication of JP2003309170A publication Critical patent/JP2003309170A/en
Application granted granted Critical
Publication of JP3768480B2 publication Critical patent/JP3768480B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の構造及びその製造方法に関し、特に、MSQ等の有機成分からなる基とSiとの結合をもつ低誘電率膜を用いたダマシンプロセスにおけるバリアメタルと前記低誘電率膜の界面構造およびその表面処理方法に関する。
【0002】
【従来の技術】
近年、半導体装置の高集積化及びチップサイズの縮小化に伴い、配線の微細化及び多層配線化が進められており、多層配線構造を形成する方法として、ビアホール及び配線トレンチパターンにCuを同時に埋め込み、CMP(Chemical Mechanical Polishing)法により平坦化して配線を形成する、いわゆるダマシンプロセスが一般的に行われている。このダマシンプロセスでは、配線パターンの高密度化が可能であるが、配線パターンが近接すると配線パターン間の寄生容量による配線遅延の問題が発生する。そこで、配線遅延を改善するために配線容量の低減が重要な課題となる。
【0003】
配線容量の低減を図る方法としては、層間絶縁膜として、従来から使われているSiO2系の絶縁膜に代えて誘電率の低い材料を用いる方法が検討されている。ここで、層間絶縁膜として低誘電率膜を用いた従来のダマシンプロセスについて図面を参照して説明する。図19乃至図21は、従来のダマシンプロセスの一形態であるビアファーストプロセスの手順を示す工程断面図である。
【0004】
まず、図19(a)に示すように、Cuからなる下層配線6が形成された基板2上に、Cuの拡散を防止し、ビアホールのエッチングストッパとなる第1エッチングストップ膜7、SiO2からなる第1層間絶縁膜8、配線トレンチパターンのエッチングストッパとなる第2エッチングストップ膜9、ハイドロゲンシルセスキオキサン(hydrogen silsesquioxane:以下HSQという)、メチルシルセスキオキサン(methyl silsesquioxane:以下MSQという)等の低誘電率膜からなる第2層間絶縁膜18を順次堆積する。そして、第2層間絶縁膜18上に第1反射防止膜11a、フォトレジストを順次塗布し、露光、現像によってビアホール3を形成するための第1レジストパターン12aを形成する。
【0005】
次に、図19(b)に示すように、第1レジストパターン12aをマスクとして公知のドライエッチング技術を用いて、第1反射防止膜11a、第2層間絶縁膜18、第2エッチングストップ膜9、第1層間絶縁膜8を順次エッチングして、これらを貫通するビアホール3を形成する。
【0006】
次に、第1レジストパターン12a及び第1反射防止膜11aを除去した後、図19(c)に示すように、第2反射防止膜11b、フォトレジストを順次塗布し、露光、現像によって配線トレンチパターンをエッチングするための第2レジストパターン12bを形成する。その後、公知のドライエッチング技術を用いて第2反射防止膜11b、第2層間絶縁膜18を順次エッチングして配線トレンチパターン13を形成する(図20(a)参照)。
【0007】
そして、図20(b)に示すように、下層配線6上部の第1エッチングストップ膜7を除去した後、図20(c)に示すように、配線材料の下地となるバリアメタル4を形成し、配線トレンチパターン13及びビアホール3内部にCu等の配線材料5を埋め込み、CMP法によって表面を平坦化してデュアルダマシン構造を形成する(図21(a)、(b)参照)。
【0008】
上述した従来のダマシンプロセスにおいて、第2層間絶縁膜18としてHSQを用いる場合には、HSQが無機系の低誘電率膜であるため、同じく無機系の材料であるバリアメタルやシリコン酸化膜、シリコン窒化膜との密着性はよく、HSQ界面でこれらの無機材料が剥がれるという問題はなかった。しかし、第2層間絶縁膜18としてMSQのような有機成分からなる基とSiとの結合をもつ低誘電率膜を用いる場合には、無機材料、特にバリアメタルとの密着性が悪く、図21(b)に示すように、CMP時にバリアメタルがMSQ系低誘電率膜から剥がれ、MSQ系低誘電率膜表面にスクラッチ21が発生したり、多層化によってストレスが生じ、密着性の悪いバリアメタル/MSQ界面で剥がれる膜剥がれ20が生じるという問題があった。ただHSQと比較してMSQ系低誘電率膜は誘電率が低いため、次世代層間膜として有望であるため、MSQ系低誘電率膜とバリアメタル界面の密着性の解決は非常に重要となる。
【0009】
このようにバリアメタル4との密着性がHSQとMSQとで異なるのは、HSQはシリコン原子に酸素や水素が結合している構造であるのに対し、MSQは誘電率を下げるためにメチル基のような分子構造の大きい有機成分を含んでおり、MSQ界面の有機成分がタンタル(Ta)や窒化タンタル(TaN)等のバリアメタル4とSiとの結合を妨げるためと考えられる。
【0010】
そこで、このような剥離を防止するために、溝形成後に溝側壁を保護する構造が検討されている。例えば、特許文献1では、低誘電率層間膜に形成された溝パターンにSi34やSiO2のサイドウォールを形成して側壁を保護する方法が開示されている。また、MSQ成膜後にオゾン処理、UVオゾン処理又は酸素プラズマ処理を行う方法も検討されており、特許文献2には、酸素ガスをイオン化した荷電ビームを照射して、層間絶縁膜の表面を過剰シリコンを含有するシリコン酸化膜あるいは二酸化シリコン膜に改質する方法が開示されている。
【0011】
【特許文献1】
特開平10−284600公報(図1〜3)
【特許文献2】
特開2001−223269号公報(図5〜7)
【0012】
【発明が解決しようとする課題】
しかしながら特許文献1の方法では、厚膜を形成した場合には誘電率の増加が、薄膜を形成した場合にはピンホールに伴う密着性の劣化が避けられない。 また、上記の低密着性による剥離を防止するために、MSQ成膜後、その表面に対して様々な表面処理を行い、密着性の向上を図る方法が検討されている。例えば、半導体装置の製造では様々な工程でArガスを用いたスパッタによるクリーニングが行われており、配線トレンチパターン13を形成後バリアメタル4成膜前に、ビアホール3底部の下層配線6表面を清浄化するためにArスパッタ処理が行われる場合もあるが、Arスパッタ処理では、被スパッタ材をエッチングするのみであるため、MSQ表面の改質効果は認められない。
【0013】
一方、特許文献2等のオゾン処理、UVオゾン処理又は酸素プラズマ処理を行う方法では、膜中に水分が侵入したり絶縁膜の誘電率が上昇する上、膜の表面があれて残さが生じるといった問題が発生する。
【0014】
このように配線容量の低減を図るためにはMSQのような有機成分を含む低誘電率膜を層間絶縁膜として用いることが必須であるが、前記低誘電率膜と無機材料、特にバリアメタルとの密着不良に起因する信頼性の低下が深刻であり、前記低誘電率膜とバリアメタルの密着性が向上できる構造、及び前記低誘電率膜の表面を改質することができるプロセスの開発が求められている。この問題は、上述したビアファーストデュアルダマシンプロセスに限らず、デュアルハードマスクプロセス、シングルダマシン等の他のダマシンプロセスや有機成分からなる基とSiとの結合をもつ低誘電率膜を用いる他のプロセスにおいても同様に生じる。
【0015】
本発明は、上記問題点に鑑みてなされたものであって、その主たる目的は、ダマシンプロセスにおいてMSQ等の有機成分からなる基とSiとの結合をもつ低誘電率膜とバリアメタルの界面での密着性を向上することができる半導体装置の構造及びその製造方法、特に上記低誘電率層間膜とバリアメタルの界面から有機成分を除去し、バリアメタル等の無機材料との密着性を向上させることができる半導体装置の構造、及び半導体装置の製造方法を提供することにある。
【0017】
上記目的を達成するため、本発明の半導体装置の構造は、有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層に形成されるビアホール、又は配線溝にバリアメタルを介して配線材料を埋め込む工程を含む半導体装置において、前記低誘電率膜の前記バリアメタルと接する領域に、前記低誘電率層間膜内部より有機成分濃度が相対的に低い濃度の領域である層を有し、前記低い濃度の領域層のカーボン(C)濃度が、7atm%以下であることを特徴とするものである。
【0018】
また本発明の半導体装置においては、前記有機成分濃度が前記低誘電率層間膜より相対的に低い濃度である層のカーボン(C)濃度が、7atm%以下であり、且つ2atm%以上ことが好ましい。
【0019】
上記目的を達成するため、本発明の半導体装置の構造は、有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層に形成されるビアホール、又は配線溝にバリアメタルを介して配線材料を埋め込む工程を含む半導体装置において、前記低誘電率膜の前記バリアメタルと接する領域に、前記低誘電率層間膜内部より有機成分濃度が相対的に低い濃度の領域である層を有し、前記低い濃度の領域層が25nm以下であることを特徴とするものである。
【0020】
また本発明の半導体装置においては、前記有機成分濃度が前記低誘電率層間膜より相対的に低い濃度である層がSi−H結合を有することを特徴とすることもできる。
【0021】
また本発明の半導体装置においては、前記低誘電率膜が、メチルシルセスキオキサン(MSQ)、メチレーテッドハイドロシルセスキオキサン(MHSQ)、炭化シリコン(SiC)、炭素含有シリコン酸化膜(SiOC又はSiCOH)、炭窒化シリコン(SiCN)、またはこれらの膜をポーラス化したもののいずれか一つからなることが好ましい。
【0022】
上記目的を達成するため、本発明の半導体装置の構造は、有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層に形成されるビアホール、又は配線溝にバリアメタルを介して配線材料を埋め込む工程を含む半導体装置において、前記低誘電率膜の前記バリアメタルと接する領域に、前記低誘電率層間膜内部より有機成分濃度が相対的に低い濃度の領域を有し、前記バリアメタルが、前記低誘電率膜側が窒化タンタル(TaN)、前記配線材料側がタンタル(Ta)で形成されていることを特徴とするものである。
【0023】
また本発明の半導体装置の製造方法は、有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層に形成されるビアホール、又は配線溝にバリアメタルを介して配線材料を埋め込む工程を含む半導体装置の製造方法において、前記バリアメタル成膜前に、露出した前記低誘電率膜表面の有機成分からなる基の少なくとも一部を水素に置換可能なガス、又は、前記有機成分からなる基の少なくとも一部を分解してダングリングボンドを形成可能なガスを用いたプラズマ処理を行い、前記バリアメタルを前記低誘電率膜上に窒化タンタル(TaN)を形成した後、タンタル(Ta)を前記配線材料側になるように形成するものである。
【0024】
また、本発明の半導体装置の製造方法は、配線パターンが形成された基板上に、少なくとも第1の層間絶縁膜と第2の層間絶縁膜とを順次形成する工程と、前記第2の層間絶縁膜上に形成した第1のレジストパターンを用いて、前記第1の層間絶縁膜及び前記第2の層間絶縁膜を貫通するビアホールを形成する工程と、前記第1のレジストパターンを除去した後、前記第2の層間絶縁膜上に形成した第2のレジストパターンを用いて、前記第2の層間絶縁膜をエッチングしてトレンチパターンを形成する工程と、前記第2の層間絶縁膜と前記ビアホール及び前記トレンチパターン内壁とにバリアメタルを成膜する工程と、配線材料を堆積後、CMP法により前記ビアホール及び前記トレンチパターン内部に前記配線材料を埋め込む工程とを少なくとも有する半導体装置の製造方法において、前記第1の層間絶縁膜又は前記第2の層間絶縁膜の少なくとも一方が有機成分からなる基とSiとの結合をもつ低誘電率膜からなり、前記バリアメタル成膜前に、露出した前記低誘電率膜表面の有機成分からなる基の少なくとも一部を水素に置換可能なガス、又は、前記有機成分からなる基の少なくとも一部を分解してダングリングボンドを形成可能なガスを用いたプラズマ処理を行うものである。
【0025】
また、本発明の半導体装置の製造方法は、配線パターンが形成された基板上に、少なくとも第1の層間絶縁膜と第2の層間絶縁膜とハードマスク材料とを堆積する工程と、前記ハードマスク材料上に形成した第1のレジストパターンを用いて、前記ハードマスク材料をエッチングしてハードマスクを形成する工程と、前記ハードマスク上に形成した第2のレジストパターンを用いて、前記第1の層間絶縁膜及び前記第2の層間絶縁膜を貫通するビアホールを形成する工程と、前記第2のレジストパターンを除去した後、前記ハードマスクを用いて前記第2の層間絶縁膜をエッチングしてトレンチパターンを形成する工程と、前記第2の層間絶縁膜上と前記ビアホール及び前記トレンチパターン内壁とにバリアメタルを成膜する工程と、配線材料を堆積後、CMP法により前記ビアホール及び前記トレンチパターン内部に前記配線材料を埋め込む工程とを少なくとも有する半導体装置の製造方法において、前記第1の層間絶縁膜、前記第2の層間絶縁膜又は前記ハードマスクの少なくとも一つが有機成分からなる基とSiとの結合をもつ低誘電率膜からなり、前記バリアメタル成膜前に、露出した前記低誘電率膜表面の有機成分からなる基の少なくとも一部を水素に置換可能なガス、又は、前記有機成分からなる基の少なくとも一部を分解してダングリングボンドを形成可能なガスを用いたプラズマ処理を行うものである。
【0026】
本発明においては、前記プラズマ処理と前記バリアメタルの成膜とを、同一の装置内又は真空を維持した状態で行う、又は、前記プラズマ処理の前にArガスを用いたスパッタ処理工程を有し、前記Arスパッタと前記プラズマ処理と前記バリアメタルの成膜とを、同一の装置内又は真空を維持した状態で行う構成とすることができる。
【0027】
また、本発明においては、前記低誘電率膜が、メチルシルセスキオキサン(MSQ)、メチレーテッドハイドロシルセスキオキサン(MHSQ)、炭化シリコン(SiC)、炭素含有シリコン酸化膜(SiOC又はSiCOH)、炭窒化シリコン(SiCN)、またはこれらの膜をポーラス化したもののいずれか一つからなることが好ましい。
【0028】
また、本発明においては、前記プラズマ処理のガスとして水素と希ガスとの混合ガスを用いる構成、又は、前記プラズマ処理のガスとして希ガスを用い、前記プラズマ処理に際してRFバイアスを印加する構成とすることが好ましい。
【0029】
また、本発明においては、前記希ガスとして、He、Ne、Ar、Kr、Xe、Rnのいずれか一を含むことが好ましい。
【0030】
また、本発明においては、前記プラズマ処理のガスとしてHeを用いる場合に、前記RFバイアス・パワーを略250W以上、略400W以下に設定する構成とすることもできる。
【0031】
このように、本発明は、MSQ等の有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層を有するダマシンプロセスにおいて、前記低誘電率膜とバリアメタルの間の密着性を確保できる構造を提供することにより、CMP時にバリアメタルが剥がれて前記低誘電率膜にスクラッチが発生するという問題や、多層化によるストレスに起因するバリアメタル/低誘電率膜界面での膜剥がれを防止することができ、前記低誘電率膜を用いたダマシンプロセスの信頼性を向上させることができる。
【0032】
また本発明は、MSQ等の有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層にトレンチパターンやビアホールを形成後、バリアメタルを成膜する前工程として、H2とHeとの混合ガスやHeガス等を用いたプラズマ処理を実施することにより、低誘電率膜の表面の有機成分(MSQの場合はメチル基)を水素に置換したり、有機成分を分解してダングリングボンドを形成し、低誘電率膜とバリアメタルとの密着性を向上させることができる。これにより、CMP時にバリアメタルが剥がれて前記低誘電率膜表面にスクラッチが発生するという問題や、多層化によるストレスに起因するバリアメタル/低誘電率膜界面での膜剥がれを防止することができ、前記低誘電率膜を用いたダマシンプロセスの信頼性を向上させることができる。
【0033】
【発明の実施の形態】
従来技術において示したように、半導体装置の製造に際して、Arガスを用いたスパッタは表面層のクリーニングとして広く利用されており、Arスパッタ処理により被スパッタ材表面に付着した不純物や異物を除去することができるが、MSQ等の有機成分からなる基とSiとの結合をもつ低誘電率膜にArスパッタ処理を施しても低誘電率膜とバリアメタルとの密着性は改善されない。
【0034】
この原因は、スパッタに用いるArのサイズが大きく、またRFバイアスにより大きなエネルギーを持っているため、MSQ表面を覆うメチル基のみならすMSQ分子そのものをスパッタしてしまい、スパッタ面には新たなMSQ分子が出現し、そのメチル基が再び表面を覆うためと考えられる。従って、MSQのメチル基のみを有効に除去するためには、原子量が小さく、また、メチル基との置換反応性のあるガスを用いればよいと考えられる。そこで、ガス種の代表として反応性の高いガスと希ガスとの混合ガス又は希ガス単体(具体的には、H2とHeとの混合ガス及びHeガス)を用いて以下の実験を行った。
【0035】
まず、MSQ膜上に、スパッタ法を用いてバリアメタルとしてタンタル(Ta)、窒化タンタル(TaN)層とCu層を成膜したサンプルを作製した。膜構造は、Cu100nm/Ta15nm/TaN15nm/MSQ300nmであり、プリクリーニングチャンバにて以下に示すクリーニングプロセスを行った後、バリアメタル用のPVDチャンバにてTa、TaN層を成膜し、次に、Cu用のPVDチャンバにてCu層の成膜を行った。なお、各チャンバ間のウエハの搬送は真空中(10−7torr以下)で行い、MSQ表面の汚染を防止した。
【0036】
クリーニングプロセスとしては、Arガスを用いたエッチングのみを行うプロセスと、Arガスを用いたエッチング後に、同一のチャンバにてHe/H2の混合ガスを用いたプラズマ処理を行うプロセスと、Arガスを用いたエッチング後に、同一のチャンバにてHeガスを用いたプラズマ処理を行うプロセスの3種類を行い、各々のプロセスでクリーニングを行ったサンプルに対して、粘着テープを用いたテープテストを行った。その結果を表1に示す。
【0037】
【表1】

Figure 0003768480
【0038】
表1より、ArエッチングのみのプロセスやArエッチング後にHeプラズマ処理を行うプロセスではバリアメタル/MSQ界面の密着性は十分ではなく、全てのサンプルでバリアメタル層とCu層がバリアメタル/MSQ界面で剥離したが、Arエッチング後にHe/H2プラズマ処理を行うプロセスではバリアメタル/MSQ界面の密着性は改善され、剥離は生じなかった。この結果から、H2を含むガスを用いたプラズマ処理がMSQの密着性改善に効果があることが分かる。
【0039】
ここで、HeはH2とは異なり反応性は低いが、Arに比べて原子量は小さいため、条件によってはMSQのメチル基のみを除去できる可能性がある。そこで、Heプラズマ処理に際してRFバイアスを徐々に印加して、Heプラズマのエネルギー大きくしてクリーニングを行ったサンプルを作製し、同様にテープテストを行った。その結果を表2に示す。
【0040】
【表2】
Figure 0003768480
【0041】
表2より、RFバイアスを印加しない処理では密着性改善効果は認められないが、RFバイアスを250W以上印加すると剥離が生じなくなっていることから密着性が改善されていることがわかる。これは、RFバイアスを印加しない状態ではHeプラズマのエネルギーが小さく、メチル基を分解するには至っていないからと考えられ、反応性のない又は低いガス種を用いた場合でもRFバイアスを印加して適度のエネルギーを与えることによってメチル基の分解が可能であることを示している。なお、Arの場合は、RFバイアスを印加しても密着性は改善されないことから、適切なガスを選択しなければ効果が得られないことが分かる。
【0042】
表1及び表2の結果から、ガス種として反応性の高いガスを含む混合ガス(He/H2)又は希ガス(He)を用いることにより、MSQとバリアメタル界面の密着性が改善されることは分かるが、上記改善効果がMSQ表面のメチル基の除去に起因しているかどうかは不明である。一般に、メチル基は疎水性であり、水素基やダングリングボンドは親水性であることから、メチル基有効に除去されればMSQ表面の親水性の度合いが高まって濡れ性が向上し、接触角が低下することが予想される。そこで、表1と同様のプロセスでクリーニングを行ったサンプルを作製し、MSQ表面の水との接触角を測定した。その結果を表3及び表4に示す。
【0043】
【表3】
Figure 0003768480
【0044】
【表4】
Figure 0003768480
【0045】
表3より、テープテストでは差が明確ではなかったが、Arエッチングのみのプロセスに比べて、Arエッチング後にHeプラズマ処理(RFバイアスなし)を行うプロセスは接触角がわずかに低下しており、Heプラズマ処理自体に密着性改善効果があることが分かる。また、Arエッチング後にHe/H2プラズマ処理を行うプロセスでは更に接触角が低下しており、H2ガスの表面改質効果が高いことが分かる。また、表4より、Heプラズマ処理でもRFバイアスを印加することにより接触角が徐々に小さくなっており、特にRFバイアス・パワー250W以上ではHe/H2プラズマ処理よりも表面改質効果が高いことを示している。
【0046】
このプラズマ処理による効果を図1を用いて説明すると、未処理の状態ではMSQ表面はメチル基に覆われているが、例えば、MSQ表面をH2プラズマに曝すことにより、図1(a)に示すようにMSQ表面のSi-CH3結合がSi-H結合に置き換わり、分子構造の大きいメチル基が水素に置換されることによってSiとバリアメタル金属との距離が短くなり結合力が向上するためと考えられる。また、Heプラズマ処理の場合は、RFバイアスが大きくなるに従い、図1(b)に示すように、MSQ表面のSi-CH3結合が破壊されてダングリングボンドとなり、Siとバリアメタルとの結合力が向上するためと考えられる。
【0047】
以上の実験結果より、本発明の密着性改善効果を得るためには、ガス種としてメチル基を分子構造の小さい基、例えば水素に置換可能な反応性の高いガスを含有する混合ガス、又はMSQを分子ごと分解することなく表面を覆うメチル基のみを分解可能なガスを用いればよく、He、He/H2の他に、H2とNe、Ar、Kr、Xe、Rn等の希ガスとの混合ガス、アンモニアを含むガス等を用いることができる。
【0048】
なお、He/H2プラズマ処理においてもRFバイアスを印加する方法が考えられるが、この場合、水素原子がプラズマに曝される物質の内部にまで浸透してしまい、特に配線材料であるCuが露出している状態ではCuが脆弱化するという問題が生じるため、水素ガスを用いる場合はRFバイアスを最適化する必要がある。
【0049】
また、H2ガスと希ガスの成分比は、H2ガスの割合が大きくなると反応性が高くなって制御が困難になり、表面層のみならず内部までメチル基の置換反応が進行してMSQの誘電率が大きくなる恐れがあることから、H2等の反応性の高いガスを1〜10%程度含む成分比(一例として、H2とHeの場合はH2:4%、He:96%程度)とすることが好ましい。また、メチル基との反応性を考慮するとアンモニアガスも考えられるが、この場合はアンモニアにより配線材料であるCuが窒化されて配線信頼性への影響が懸念されるため、アンモニア濃度、RFバイアス、処理時間等を最適化する必要がある。
【0050】
また、上記ガスを用いたプラズマ処理により密着性の改善が可能な材料としては、有機成分を含む材料や分子構造の大きい基を含む材料であればよく、MSQの他に、メチレーテッドハイドロゲンシルセスキオキサン(methylated hydrogen silsesquioxane:MHSQ)や炭化シリコン(SiC)、炭窒化シリコン(SiCN)、炭素含有シリコン酸化膜(SiOC又はSiCOH)等でもよく、これらの膜をポーラス化したものであってもよい。また、これらの膜を成膜する方法は問わず、CVD法、塗布法等、任意の方法を用いることができる。
【0051】
MSQ表面のSi-CH3結合をSi-H結合に置き換えることが可能な上記プラズマ処理をダマシンプロセスに適用した場合の概略工程を図2に示す。基板2上に成膜したMSQ1を含む絶縁層にビアホール3を形成すると、表面やビアホール3内壁のMSQ露出面は図2(a)に示すようにメチル基で覆われており、ここでHe/H2の混合ガスを用いたプラズマ処理を施すと、図2(b)に示すようにメチル基が水素に置換されて表面が親水性になり、無機材料との密着性が向上する。この状態で図2(c)に示すようにバリアメタル4を成膜すればMSQ1とバリアメタル4とは強く結合し、CMP法により配線金属をビアホール3内に埋め込んでもMSQ表面やビアホール3内壁のバリアメタル4は剥がれることはなく、多層配線の接続信頼性を向上させることができる。
なお、He/H2の混合ガスやHeガスを用いたプラズマ処理自体は公知であるが、このプラズマ処理により、MSQ等の低誘電率膜のメチル基を水素に置換したりメチル基を分解してダングリングボンドを生成し、バリアメタルとの密着性を改善できるという効果は、本願発明者の知見によって得られた新規な事実である。
【0052】
MSQ表面のSi-CH3結合が破壊されてダングリングボンドを形成することが可能な上記プラズマ処理をダマシンプロセスに適用した場合の概略工程を図3に示す。基板2上に成膜したMSQ1を含む絶縁層に配線トレンチパターン13を形成すると、表面や配線トレンチパターン13内壁のMSQ露出面は図3(a)に示すようにメチル基で覆われており、ここでHeガスを用いてRFバイアスを印加したプラズマ処理を施すと、図3(b)に示すようにSi-CH3結合が破壊されてダングリングボンド層25が形成される。
【0053】
前記ダングリングボンド層25はプラズマ処理条件により、Cの脱離度及びその厚さを変えることができる。そこで図3(c)に示すように、配線トレンチパターン13にバリアメタル4及び配線材料5であるCuを形成したサンプルを準備して、Cの脱離度及びその厚さを変化させた場合の、CMP法による剥がれ起因のスクラッチを調査した。表5に局所EDX法により測定した前記ダングリングボンド層25のC濃度と膜厚に対するスクラッチ欠陥数及び配線間容量の結果を示した。
【0054】
【表5】
Figure 0003768480
【0055】
表5より、ダングリングボンド層25のC濃度が低下すると顕著に密着性起因のスクラッチ欠陥が減少することが確認された。特にC濃度が7atm%以下では良好な結果が得られている。ただ前記ダングリングボンド層25の膜厚が41nmでは、配線間容量が上昇していることが確認されており、前記ダングリングボンド層25のC濃度は2atm%以上であり、膜厚は25nm以下であることが好ましい。
【0056】
前記ダングリングボンド層25が形成された配線溝にバリアメタル4としてTa単層、TaN単層、Ta/TaN積層を形成したサンプルを準備して上記と同様の評価を行なった。表6に各バリアメタルに対するスクラッチ欠陥数の結果を示した。
【0057】
【表6】
Figure 0003768480
【0058】
表6より、ダングリングボンド層25のC濃度が7atm%の場合では、スクラッチ欠陥数はすべてのバリアメタル構造で良好であるのに対して、ダングリングボンド層25のC濃度が10atm%の場合では、Ta単層のみスクラッチ欠陥数が多く、ダングリングボンド層25と接するバリアメタル材はTaNであることが好ましい。Cuとの濡れ性及び密着性はTaの方が良好であることから、バリアメタルはTa/TaNの積層構造であることが好ましい。
【0059】
なお、Ta/TaN積層構造のバリアメタル自体は公知であるが、有機成分からなる基とSiとの結合をもつ低誘電率膜を用いたダマシンプロセスにおいて、ダングリングボンド層を形成した配線溝或いはビアホールにバリアメタルを形成する際にTa/TaN積層構造が最適であるという事実は、本願発明者の知見によって得られた新規な事実である。
【0060】
【実施例】
上記した本発明の実施の形態についてさらに詳細に説明すべく、本発明構造及びプラズマ処理を適用したダマシンプロセスの具体的な実施例について図面を参照して説明する。
[実施例1]
まず、本発明の第1の実施例に係るデュアルダマシンプロセスについて、図4乃至図6を参照して説明する。図4乃至図6は、本発明の構造及びプラズマ処理を適用したビアファーストプロセスの手順を示す工程断面図であり、作図の都合上分図したものである。
【0061】
まず、図4(a)に示すように、公知の方法により、基板2上にCu、Cu合金等からなる下層配線6を形成した後、CVD法、プラズマCVD法等を用いて、第1エッチングストップ膜7、第1層間絶縁膜8、第2エッチングストップ膜9、第2層間絶縁膜10を順次、所定の膜厚で形成する。なお、本発明のプラズマ処理の効果が得られる膜としては、メチル基を含むMSQのように分子構造が大きく疎水性を呈する基を含む低誘電率膜であればよく、MHSQ、SiC、SiCN、SiOC、SiCOH等やこれらの膜をポーラス化した膜であってもよい。また、この低誘電率膜はCVDや塗布等、どのような方法で成膜された膜であってもよい。
【0062】
以下の説明では、第2層間絶縁膜10としてMSQを用いる場合について説明するが、第1層間絶縁膜8又はその両方に上記低誘電率膜を用いてもよい。また、低誘電率膜以外の膜の材料は特に限定されず、エッチングの選択比が得られる材料の組み合わせであればよく、SiO2、SiN、SiON等の中から適宜選択することができる。また、第2層間絶縁膜10としてSiO2以外の材料を用いた場合は、配線のCMP工程で問題が生じる場合もあるため、その場合は第2層間絶縁膜10上にキャップ絶縁膜を形成してもよい。
【0063】
その後、第2層間絶縁膜10の上に、露光の光の反射を抑制するための反射防止膜11aを50nm程度堆積した後、ビアホールパターンを形成するための化学増幅型レジストを600nm程度塗布し、KrFフォトリソグラフィーによる露光、現像を行い、第1レジストパターン12aを形成する。
【0064】
次に、図4(b)に示すように、公知のドライエッチングにより反射防止膜11a、第2層間絶縁膜10、第2エッチングストップ膜9、第1層間絶縁膜8を順次エッチングして、それらを貫通するビアホール3を形成する。その後、酸素プラズマアッシング及び有機剥離液を用いたウェット処理によりレジストパターン12aと反射防止膜11aとを剥離し、ドライエッチングの残留物を除去する。
【0065】
次に、図4(c)に示すように、反射防止膜11bを50nm程度堆積した後、その上に化学増幅型レジストを600nm程度塗布し、ベークを行った後、KrFフォトリソグラフィーによる露光、現像により配線トレンチパターン形成用の第2レジストパターン12bを形成し、ドライエッチング法により露出した第2反射防止膜11bを除去する。
【0066】
次に、第2エッチングストップ膜9をエッチングストッパとして第2層間絶縁膜10をエッチングして配線トレンチパターン13を形成し、酸素プラズマアッシング及び有機剥離液を用いたウェット処理により第2レジストパターン12bと第2反射防止膜11bとを剥離し、ドライエッチングの残留物を除去する(図5(a)参照)。
【0067】
次に、図5(b)に示すように、露出した第1エッチングストップ膜7をドライエッチング法により除去した後、Arガスを用いたスパッタにより、ビアホール3底部の下層配線6表面のクリーニングを行う。
【0068】
この状態では、第2層間絶縁膜(MSQ)10表面や配線トレンチパターン13、ビアホール3の側壁はメチル基で覆われており、その上にバリアメタルを形成しても良好な密着性が得られない。そこで、図5(c)に示すように、Arスパッタと同一のチャンバ、装置内又は真空を維持した状態で本発明の特徴であるプラズマ処理を施す。このプラズマ処理としては、He/H2の混合ガスを用いたプラズマ処理や、Heガスを用い所定のRFバイアスを印加したプラズマ処理が好ましく、例えば、He/H2の混合ガスを用いたプラズマ処理を施すと、表面及びトレンチ側壁に露出したMSQのメチル基が水素に置換されて、バリアメタルとの密着性が向上する。
【0069】
上記Arスパッタ処理、He/H2プラズマ処理、Heプラズマ処理としては下記に示す条件で行うことが好ましい。
【0070】
[Arプラズマ処理の条件]
ガス圧:約0.2〜5mTorr
ガス種:アルゴン100%
RFパワーソース:約200W〜600W
RFバイアスソース:約200W〜400W
時間:約60秒
【0071】
[H2/Heプラズマ処理の条件]
ガス圧:約20〜100mTorr
ガス種:水素とヘリウムの混合ガス、好ましくは水素4%ヘリウム96%の混合比を用いる
RFパワーソース:約200W〜600W
時間:約60秒
【0072】
[Heプラズマ処理の条件]
ガス圧:約20〜100mTorr
ガス種:ヘリウム100%
RFパワーソース:約200W〜600W
RFバイアスソース:約200W〜400W
時間:約60秒
【0073】
上記プラズマ処理でMSQ表面を改質した後、同一チャンバ、装置内又は真空を維持した状態で、図6(a)に示すように、配線材料の拡散を防止し、密着性の向上を図るためのタンタル(Ta)、窒化タンタル(TaN)等のバリアメタル4を、例えば30nm程度の厚さで形成し、続いて、配線材料となるCuのめっき成長を容易にするためのCuのシードメタル19を100nm程度の膜厚で形成する。この時、窒化タンタル(TaN)中の窒素濃度は10atom%〜50atom%に設定されていることが望ましい。
【0074】
その後、図6(b)に示すように、配線材料5となるCuをめっき法により形成して配線トレンチパターン13、ビアホール3内をCuで埋設した後、図6(c)に示すように、CMP法を用いて余分なCuを研磨して表面を平坦化することにより、デュアルダマシン構造が完成する。
【0075】
上記方法で形成した半導体装置では、従来例で示したようなMSQ界面でのバリアメタルの剥がれやMSQ表面のスクラッチは認められず、本発明の構造及びプラズマ処理がMSQを用いたプロセスに効果があることを確認した。
[実施例2]
次に、本発明の第2の実施例に係るデュアルダマシンプロセスについて、図7乃至図10を参照して説明する。図7乃至図10は、本発明の構造及びプラズマ処理を適用したデュアルハードマスクプロセスの手順を示す工程断面図であり、作図の都合上分図したものである。
【0076】
まず、図7(a)に示すように、前記した第1の実施例と同様に、公知の方法により、基板2上にCu、Cu合金等からなる下層配線6を形成した後、CVD法、プラズマCVD法等を用いて、第1エッチングストップ膜7、第1層間絶縁膜8、第2エッチングストップ膜9、第2層間絶縁膜10を順次形成する。次に、本実施例では、その上に配線トレンチパターンのエッチングマクスとなる第1ハードマスク膜16及び第2ハードマスク膜17を堆積する。
【0077】
なお、本実施例でも、第2層間絶縁膜10としてMSQを用いる場合について説明するが、第2層間絶縁膜10に代えて、又は第2層間絶縁膜10と共に、第1層間絶縁膜8、第1ハードマスク膜16、第2ハードマスク膜17の少なくとも一つにMSQやMHSQ、SiC、SiCN、SiOC、SiCOH等の有機成分からなる基とSiとの結合を含む低誘電率膜を用いてもよい。
【0078】
その後、第2ハードマスク膜17上に、反射防止膜11aを50nm程度、化学増幅型レジストを600nm程度塗布し、KrFフォトリソグラフィーによる露光、現像を行い、第1レジストパターン12aを形成する。
【0079】
次に、図7(b)に示すように、公知のドライエッチングにより、第1レジストパターン12aを用いて第1反射防止膜11aおよび第2ハードマスク膜17をエッチングして、配線トレンチパターンをエッチングするための開口を形成する。その後、酸素プラズマアッシング及び有機剥離液を用いたウェット処理によりレジストパターン12aと反射防止膜11aとを剥離し、ドライエッチングの残留物を除去する。
【0080】
次に、図7(c)に示すように、第2反射防止膜11bを50nm程度、化学増幅型レジストを600nm程度塗布し、ベークを行った後、KrFフォトリソグラフィーによる露光、現像により、第2ハードマスク膜17のエッチング領域内側に開口を有するビアホール形成用の第2レジストパターン12bを形成する。
【0081】
次に、図8(a)に示すように、第2レジストパターン2bをマスクとして公知のドライエッチングにより、第2反射防止膜11b、第1ハードマスク膜16、第2層間絶縁膜10、第2エッチングストップ膜9、第1層間絶縁膜8をエッチングし、それらを貫通するビアホール3を形成する。
【0082】
その後、図8(b)に示すように、酸素プラズマアッシング及び有機剥離液を用いたウェット処理により、第2レジストパターン12bと第2反射防止膜11bとを剥離し、ドライエッチングの残留物を除去する。
【0083】
次に、図8(c)に示すように、第2ハードマスク膜17をマスクとして公知のドライエッチング法を用いて、第1ハードマスク膜16及び第2層間絶縁膜10をエッチングして、配線トレンチパターン13を形成する。
【0084】
次に、図9(a)に示すように、露出した第1エッチングストップ膜7をドライエッチング法により除去した後、Arガスを用いたスパッタにより、ビアホール3底部の下層配線6表面のクリーニングを行い、続いて、図9(b)に示すように、Arスパッタと同一のチャンバ、装置内又は真空を維持した状態で本発明の特徴であるプラズマ処理を施す。このプラズマ処理の方法、条件、ガス種等は前記した第1の実施例と同様である。
【0085】
上記プラズマ処理でMSQ表面を改質した後、同一チャンバ、装置内又は真空を維持した状態で、図9(c)に示すように、配線材料の拡散を防止し、密着性の向上を図るためのタンタル(Ta)、窒化タンタル(TaN)等のバリアメタル4を、例えば30nm程度の厚さで形成し、続いて、配線材料となるCuのめっき成長を容易にするためのCuのシードメタル19を100nm程度の膜厚で形成する。この時、窒化タンタル(TaN)中の窒素濃度は10atom%〜50atom%に設定されていることが望ましい。
【0086】
その後、図10(a)に示すように、配線材料5となるCuをめっき法により形成し、配線トレンチパターン13及びビアホール3内をCuで埋設した後、図10(b)に示すように、CMP法を用いて余分なCuを研磨して表面を平坦化することにより、デュアルダマシン構造が完成する。
【0087】
なお、層間絶縁膜を全て有機膜で形成した場合には、図8(a)の工程で第2レジストパターン12bを用いて、第2反射防止膜11b、第1ハードマスク膜16、第2層間絶縁膜10、第2エッチングストップ膜9までエッチングし、図8(c)の工程で、第2ハードマスク膜17を用いて、第1ハードマスク膜16、第2層間絶縁膜10をエッチングして配線トレンチパターン13を形成すると同時に、第1層間絶縁膜8をエッチングして第1エッチングストップ膜7まで貫通するビアホール3を形成する構成とすることもできる。
【0088】
このようにして形成した半導体装置は、前記した第1の実施例と同様に、MSQ界面でのバリアメタルの剥がれやMSQ表面のスクラッチは認められず、本発明の構造及びプラズマ処理がMSQを用いたプロセスに効果があることを確認した。
[実施例3]
まず、本発明の第3の実施例に係るシングルダマシンプロセスについて、図11乃至図14を参照して説明する。図11乃至図14は、本発明の構造及びプラズマ処理を適用したシングルダマシンプロセスの手順を示す工程断面図であり、作図の都合上分図したものである。
【0089】
まず、図11(a)に示すように、下層配線6上に、CVD法、プラズマCVD法等を用いて、第1エッチングストップ膜7、第1層間絶縁膜8を順次、所定の膜厚で形成する。その後、第1層間絶縁膜8の上に、露光の光の反射を抑制するための反射防止膜11aを50nm程度堆積した後、ビアホールパターンを形成するための化学増幅型レジストを500nm程度塗布し、ArFフォトリソグラフィーによる露光、現像を行い、第1レジストパターン12aを形成する。
【0090】
なお、本発明のプラズマ処理の効果が得られる膜としては、メチル基を含むMSQのように分子構造が大きく疎水性を呈する基を含む低誘電率膜であればよく、MHSQ、SiC、SiCN、SiOC、SiCOH等やこれらの膜をポーラス化した膜であってもよい。また、この低誘電率膜はCVDや塗布等、どのような方法で成膜された膜であってもよい。
【0091】
以下の説明では、第1層間絶縁膜8及び第2層間絶縁膜10としてMSQを用いる場合について説明するが、第1層間絶縁膜8又はその両方に上記低誘電率膜を用いてもよい。また、低誘電率膜以外の膜の材料は特に限定されず、エッチングの選択比が得られる材料の組み合わせであればよく、SiO2、SiN、SiON、SiC、SiCN等の中から適宜選択することができる。
【0092】
次に、図11(b)に示すように、公知のドライエッチングにより反射防止膜11a、第1層間絶縁膜8を順次エッチングして、それらを貫通するビアホール3を形成する。その後、酸素プラズマアッシング及び有機剥離液を用いたウェット処理によりレジストパターン12aと反射防止膜11aとを剥離し、ドライエッチングの残留物を除去する。
【0093】
次に、図11(c)に示すように、露出した第1エッチングストップ膜7をドライエッチング法により除去した後、Arガスを用いたスパッタにより、ビアホール3底部の下層配線6表面のクリーニングを行った後、Arスパッタと同一のチャンバ、装置内又は真空を維持した状態で本発明の特徴であるプラズマ処理を施す。このプラズマ処理の方法、条件、ガス種等は前記した第1及び2の実施例と同様である。
【0094】
本実施例ではHeプラズマ処理を適用した場合について説明する。Heプラズマ処理でMSQ表面にダングリングボンド層を形成した後、同一チャンバ、装置内又は真空を維持した状態で、図12(a)に示すように、配線材料の拡散を防止し、密着性の向上を図るためのタンタル(Ta)、窒化タンタル(TaN)等のバリアメタル4を、例えば30nm程度の厚さで形成し、続いて、配線材料となるCuのめっき成長を容易にするためのCuのシードメタル19を100nm程度の膜厚で形成する。この時、窒化タンタル(TaN)中の窒素濃度は10atom%〜50atom%に設定されていることが望ましい。
【0095】
その後、配線材料5となるCuをめっき法により形成して、ビアホール3内をCuで埋設した後、図12(b)に示すように、CMP法を用いて余分なCuを研磨して表面を平坦化することにより、ビアプラグが完成する。その後、図12(c)に示すように上層配線用として第2エッチングストップ膜9、第2層間絶縁膜10を所定の膜厚で順次形成する。
次に図13(a)に示すように、第2層間絶縁膜10の上に、露光の光の反射を抑制するための反射防止膜11bを50nm程度堆積した後、ビアホールパターンを形成するための化学増幅型レジストを400nm程度塗布し、ArFフォトリソグラフィーによる露光、現像を行い、第2レジストパターン12bを形成する。
【0096】
次に、図13(b)に示すように、公知のドライエッチングにより反射防止膜11b、第2層間絶縁膜10を順次エッチングして、それらを貫通する配線トレンチパターン13を形成する。その後、酸素プラズマアッシング及び有機剥離液を用いたウェット処理によりレジストパターン12bと反射防止膜11bとを剥離し、ドライエッチングの残留物を除去する。
【0097】
次に、図14(a)に示すように、露出した第2エッチングストップ膜9をドライエッチング法により除去した後、Arガスを用いたスパッタにより、配線トレンチパターン13底部のビアパターン表面のクリーニングを行った後、Arスパッタと同一のチャンバ、装置内又は真空を維持した状態で本発明の特徴であるプラズマ処理を施す。このプラズマ処理の方法、条件、ガス種等は前記したビアプラグ形成時と同様である。
【0098】
本実施例ではHeプラズマ処理を適用した場合について説明する。Heプラズマ処理でMSQ表面にダングリングボンド層を形成した後、同一チャンバ、装置内又は真空を維持した状態で、図14(b)に示すように、配線材料の拡散を防止し、密着性の向上を図るためのタンタル(Ta)、窒化タンタルTaN等のバリアメタル4を、例えば30nm程度の厚さで形成し、続いて、配線材料となるCuのめっき成長を容易にするためのCuのシードメタル19を100nm程度の膜厚で形成する。この時、窒化タンタル(TaN)中の窒素濃度は10atom%〜50atom%に設定されていることが望ましい。
【0099】
その後、配線材料5となるCuをめっき法により形成して、配線トレンチパターン13内をCuで埋設した後、図14(c)に示すように、CMP法を用いて余分なCuを研磨して表面を平坦化することにより、シングルダマシン構造を完成する。
【0100】
このようにして形成した半導体装置は、前記した第1及び2の実施例と同様に、MSQ界面でのバリアメタルの剥がれやMSQ表面のスクラッチは認められず、本発明の構造及びプラズマ処理がMSQを用いたプロセスに効果があることを確認した。
[実施例4]
まず、本発明の第4の実施例に係るシングルダマシンプロセスについて、図15乃至図18を参照して説明する。図15乃至図18は、本発明の構造及びプラズマ処理を適用したシングルダマシンプロセスの手順を示す工程断面図であり、作図の都合上分図したものである。
【0101】
まず、図15(a)に示すように、下層配線6上に、CVD法、プラズマCVD法等を用いて、第1エッチングストップ膜7、第1層間絶縁膜8、第1キャップ絶縁膜23を順次、所定の膜厚で形成する。その後、第1キャップ絶縁膜23の上に、露光の光の反射を抑制するための反射防止膜11aを50nm程度堆積した後、ビアホールパターンを形成するための化学増幅型レジストを500nm程度塗布し、ArFフォトリソグラフィーによる露光、現像を行い、第1レジストパターン12aを形成する。
【0102】
なお、本発明のプラズマ処理の効果が得られる膜としては、メチル基を含むMSQのように分子構造が大きく疎水性を呈する基を含む低誘電率膜であればよく、MHSQ、SiC、SiCN、SiOC、SiCOH等やこれらの膜をポーラス化した膜であってもよい。また、この低誘電率膜はCVDや塗布等、どのような方法で成膜された膜であってもよい。
【0103】
本実施例では、第1層間絶縁膜8及び第2層間絶縁膜10としてSiOCを用いる場合について説明するが、第1層間絶縁膜8又はその両方に上記低誘電率膜を用いてもよい。また、低誘電率膜以外の膜の材料は特に限定されず、エッチングの選択比が得られる材料の組み合わせであればよく、SiO2、SiN、SiON、SiC、SiCN等の中から適宜選択することができる。
【0104】
次に、図15(b)に示すように、公知のドライエッチングにより反射防止膜11a、第1キャップ絶縁膜23、第1層間絶縁膜8を順次エッチングして、それらを貫通するビアホール3を形成する。その後、酸素プラズマアッシング及び有機剥離液を用いたウェット処理によりレジストパターン12aと反射防止膜11aとを剥離し、ドライエッチングの残留物を除去する。
【0105】
次に、図15(c)に示すように、露出した第1エッチングストップ膜7をドライエッチング法により除去した後、Arガスを用いたスパッタにより、ビアホール3底部の下層配線6表面のクリーニングを行った後、Arスパッタと同一のチャンバ、装置内又は真空を維持した状態で本発明の特徴であるプラズマ処理を施す。このプラズマ処理の方法、条件、ガス種等は前記した第1及至3の実施例と同様である。
【0106】
本実施例ではHeプラズマ処理を適用した場合について説明する。Heプラズマ処理でSiOCの露出した側面にダングリングボンド層を形成した後、同一チャンバ、装置内又は真空を維持した状態で、図16(a)に示すように、配線材料の拡散を防止し、密着性の向上を図るためのタンタル(Ta)、窒化タンタル(TaN)等のバリアメタル4を、例えば30nm程度の厚さで形成し、続いて、配線材料となるCuのめっき成長を容易にするためのCuのシードメタル19を100nm程度の膜厚で形成する。この時、窒化タンタル(TaN)中の窒素濃度は10atom%〜50atom%に設定されていることが望ましい。
【0107】
その後、配線材料5となるCuをめっき法により形成して、ビアホール3内をCuで埋設した後、図16(b)に示すように、CMP法を用いて余分なCuを研磨して表面を平坦化することにより、ビアプラグが完成する。その後、図16(c)に示すように上層配線用として第2エッチングストップ膜9、第2層間絶縁膜10、第2キャップ絶縁膜24を所定の膜厚で順次形成する。
次に図17(a)に示すように、第2キャップ絶縁膜24の上に、露光の光の反射を抑制するための反射防止膜11bを50nm程度堆積した後、ビアホールパターンを形成するための化学増幅型レジストを400nm程度塗布し、ArFフォトリソグラフィーによる露光、現像を行い、第2レジストパターン12bを形成する。
次に、図17(b)に示すように、公知のドライエッチングにより反射防止膜11b、第2キャップ絶縁膜24、第2層間絶縁膜10を順次エッチングして、それらを貫通する配線トレンチパターン13を形成する。その後、酸素プラズマアッシング及び有機剥離液を用いたウェット処理によりレジストパターン12bと反射防止膜11bとを剥離し、ドライエッチングの残留物を除去する。
【0108】
次に、図18(a)に示すように、露出した第2エッチングストップ膜9をドライエッチング法により除去した後、Arガスを用いたスパッタにより、配線トレンチパターン13底部のビアパターン表面のクリーニングを行った後、Arスパッタと同一のチャンバ、装置内又は真空を維持した状態で本発明の特徴であるプラズマ処理を施す。このプラズマ処理の方法、条件、ガス種等は前記したビアプラグ形成時と同様である。
【0109】
本実施例ではHeプラズマ処理を適用した場合について説明する。Heプラズマ処理でSiOCの露出した側面にダングリングボンド層を形成した後、同一チャンバ、装置内又は真空を維持した状態で、図18(b)に示すように、配線材料の拡散を防止し、密着性の向上を図るためのタンタル(Ta)、窒化タンタル(TaN)等のバリアメタル4を、例えば30nm程度の厚さで形成し、続いて、配線材料となるCuのめっき成長を容易にするためのCuのシードメタル19を100nm程度の膜厚で形成する。この時、窒化タンタル(TaN)中の窒素濃度は10atom%〜50atom%に設定されていることが望ましい。
【0110】
その後、配線材料5となるCuをめっき法により形成して、配線トレンチパターン13内をCuで埋設した後、図18(c)に示すように、CMP法を用いて余分なCuを研磨して表面を平坦化することにより、シングルダマシン構造を完成する。
【0111】
このようにして形成した半導体装置は、前記した第1及至3の実施例と同様に、SiOC界面でのバリアメタルの剥がれは認められず、本発明の構造及びプラズマ処理がSiOCを用いたプロセスに効果があることを確認した。
【0112】
なお、上記各実施例では、本発明の構造及びHe/H2の混合ガス又はHeガスを用いたプラズマ処理を、デュアルダマシンプロセスであるビアファーストプロセスやデュアルハードマスクプロセス、及びシングルダマシンプロセスに適用した場合について説明したが、本発明は上記実施例に限定されるものではなく、メチル基等の分子構造の大きい基を含む低誘電率膜の露出面にバリアメタル等の金属膜の成膜を行う工程を含む任意の半導体プロセスに適用することができる。
【0113】
【発明の効果】
以上説明したように、本発明の半導体装置の製造方法によれば、MSQ、MHSQ、SiC、SiCN、SiOC、SiCOH等の低誘電率膜を含む絶縁層に形成したトレンチやビアホールにバリアメタル等の金属膜を成膜する工程を含むダマシンプロセスにおいて、CMP時にバリアメタルが剥がれて上記低誘電率絶縁膜表面にスクラッチが発生したり、多層化によるストレスに起因して、バリアメタル/低誘電率絶縁膜界面での膜剥がれが生じるという問題を回避することができる。
【0114】
その理由は、配線トレンチパターンやビアホール形成後、バリアメタルの成膜の前工程として、He/H2の混合ガスを用いたプラズマ処理やHeガスを用いRFバイアスを印加したプラズマ処理を実施し、本発明の構造を形成することにより、MSQ等の低誘電率膜表面のメチル基を水素に置換又は分解して親水性に改質し、無機材料との密着性を向上させることができるからである。
【図面の簡単な説明】
【図1】本発明のプラズマ処理のメカニズムを模式的に示す図である。
【図2】本発明のプラズマ処理を含む製造工程の一部を示す工程断面図である。
【図3】本発明の構造及びプラズマ処理を含む製造工程の一部を示す工程断面図である。
【図4】本発明の第1の実施例に係るビアファーストプロセスの手順を示す工程断面図である。
【図5】本発明の第1の実施例に係るビアファーストプロセスの手順を示す工程断面図である。
【図6】本発明の第1の実施例に係るビアファーストプロセスの手順を示す工程断面図である。
【図7】本発明の第2の実施例に係るデュアルハードマスクプロセスの手順を示す工程断面図である。
【図8】本発明の第2の実施例に係るデュアルハードマスクプロセスの手順を示す工程断面図である。
【図9】本発明の第2の実施例に係るデュアルハードマスクプロセスの手順を示す工程断面図である。
【図10】本発明の第2の実施例に係るデュアルハードマスクプロセスの手順を示す工程断面図である。
【図11】本発明の第3の実施例に係るシングルダマシンプロセスの手順を示す工程断面図である。
【図12】本発明の第3の実施例に係るシングルダマシンプロセスの手順を示す工程断面図である。
【図13】本発明の第3の実施例に係るシングルダマシンプロセスの手順を示す工程断面図である。
【図14】本発明の第3の実施例に係るシングルダマシンプロセスの手順を示す工程断面図である。
【図15】本発明の第4の実施例に係るシングルダマシンプロセスの手順を示す工程断面図である。
【図16】本発明の第4の実施例に係るシングルダマシンプロセスの手順を示す工程断面図である。
【図17】本発明の第4の実施例に係るシングルダマシンプロセスの手順を示す工程断面図である。
【図18】本発明の第4の実施例に係るシングルダマシンプロセスの手順を示す工程断面図である。
【図19】従来のビアファーストプロセスの手順を示す工程断面図である。
【図20】従来のビアファーストプロセスの手順を示す工程断面図である。
【図21】従来のビアファーストプロセスの手順を示す工程断面図である。
【符号の説明】
1 MSQ
2 基板
3 ビアホール
4 バリアメタル
5 配線材料
6 下層配線
7 第1エッチングストップ膜
8 第1層間絶縁膜
9 第2エッチングストップ膜
10 第2層間絶縁膜(MSQ)
11a 第1反射防止膜
11b 第2反射防止膜
12a 第1レジストパターン
12b 第2レジストパターン
13 配線トレンチパターン
14 Ar
15 He/H2プラズマ
16 第1ハードマスク膜
17 第2ハードマスク膜
18 第2層間絶縁膜
19 シードメタル
20 剥がれ
21 スクラッチ
22 Heプラズマ
23 第1キャップ絶縁膜
24 第2キャップ絶縁膜[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a structure of a semiconductor device and a method of manufacturing the same, and more particularly to a barrier metal in a damascene process using a low dielectric constant film having a bond between Si and a group composed of an organic component such as MSQ and the low dielectric constant film. The present invention relates to an interface structure and a surface treatment method thereof.
[0002]
[Prior art]
In recent years, with the high integration of semiconductor devices and the reduction in chip size, miniaturization of wiring and multilayer wiring have been promoted, and as a method of forming a multilayer wiring structure, Cu is simultaneously embedded in via holes and wiring trench patterns. A so-called damascene process is generally performed in which a wiring is formed by flattening by a CMP (Chemical Mechanical Polishing) method. In this damascene process, it is possible to increase the density of wiring patterns. However, if the wiring patterns are close to each other, a problem of wiring delay due to parasitic capacitance between the wiring patterns occurs. Therefore, reduction of wiring capacitance is an important issue in order to improve wiring delay.
[0003]
As a method for reducing the wiring capacitance, the conventionally used SiO 2 layer is used as an interlayer insulating film. 2 A method of using a material having a low dielectric constant instead of the insulating film of the system has been studied. Here, a conventional damascene process using a low dielectric constant film as an interlayer insulating film will be described with reference to the drawings. 19 to 21 are process cross-sectional views showing the procedure of a via first process which is one form of a conventional damascene process.
[0004]
First, as shown in FIG. 19A, a first etching stop film 7 that prevents diffusion of Cu and serves as an etching stopper for a via hole is formed on a substrate 2 on which a lower wiring 6 made of Cu is formed. 2 A first interlayer insulating film 8 comprising: a second etching stop film 9 serving as an etching stopper for a wiring trench pattern; hydrogen silsesquioxane (hereinafter referred to as HSQ); and methyl silsesquioxane (hereinafter referred to as MSQ). The second interlayer insulating film 18 made of a low dielectric constant film is sequentially deposited. Then, a first antireflection film 11a and a photoresist are sequentially applied on the second interlayer insulating film 18, and a first resist pattern 12a for forming the via hole 3 is formed by exposure and development.
[0005]
Next, as shown in FIG. 19B, the first antireflection film 11a, the second interlayer insulating film 18, and the second etching stop film 9 are formed using a known dry etching technique using the first resist pattern 12a as a mask. The first interlayer insulating film 8 is sequentially etched to form a via hole 3 penetrating therethrough.
[0006]
Next, after removing the first resist pattern 12a and the first antireflection film 11a, as shown in FIG. 19C, a second antireflection film 11b and a photoresist are sequentially applied, and exposed and developed to form a wiring trench. A second resist pattern 12b for etching the pattern is formed. Thereafter, the second antireflection film 11b and the second interlayer insulating film 18 are sequentially etched using a known dry etching technique to form a wiring trench pattern 13 (see FIG. 20A).
[0007]
Then, as shown in FIG. 20B, after removing the first etching stop film 7 on the upper part of the lower layer wiring 6, as shown in FIG. 20C, a barrier metal 4 serving as a base of the wiring material is formed. Then, a wiring material 5 such as Cu is embedded in the wiring trench pattern 13 and the via hole 3, and the surface is flattened by a CMP method to form a dual damascene structure (see FIGS. 21A and 21B).
[0008]
In the above-described conventional damascene process, when HSQ is used as the second interlayer insulating film 18, since HSQ is an inorganic low dielectric constant film, a barrier metal, silicon oxide film, silicon, which is also an inorganic material, is used. Adhesion with the nitride film was good, and there was no problem that these inorganic materials were peeled off at the HSQ interface. However, when a low dielectric constant film having a bond made of an organic component such as MSQ and Si is used as the second interlayer insulating film 18, the adhesion with an inorganic material, particularly a barrier metal, is poor, and FIG. As shown in (b), the barrier metal is peeled off from the MSQ low dielectric constant film during CMP, and scratches 21 are generated on the surface of the MSQ low dielectric constant film, or stress is generated due to multilayering, resulting in poor adhesion. There was a problem that film peeling 20 occurred at the / MSQ interface. However, since the MSQ-based low dielectric constant film has a low dielectric constant compared to HSQ, it is promising as a next-generation interlayer film. Therefore, it is very important to resolve the adhesion between the MSQ-based low dielectric constant film and the barrier metal interface. .
[0009]
Thus, the adhesion between the barrier metal 4 differs between the HSQ and the MSQ. The HSQ has a structure in which oxygen or hydrogen is bonded to a silicon atom, whereas the MSQ has a methyl group to lower the dielectric constant. It is considered that the organic component having a large molecular structure as described above is included, and the organic component at the MSQ interface hinders the bond between the barrier metal 4 such as tantalum (Ta) or tantalum nitride (TaN) and Si.
[0010]
Therefore, in order to prevent such peeling, a structure for protecting the groove sidewall after the groove formation has been studied. For example, in Patent Document 1, the groove pattern formed in the low dielectric constant interlayer film is formed on Si. Three N Four And SiO 2 A method of forming the side wall to protect the side wall is disclosed. Also, a method of performing ozone treatment, UV ozone treatment or oxygen plasma treatment after the MSQ film formation has been studied, and Patent Document 2 discloses that the surface of the interlayer insulating film is excessively irradiated with a charged beam ionized with oxygen gas. A method for modifying a silicon oxide film or silicon dioxide film containing silicon is disclosed.
[0011]
[Patent Document 1]
JP-A-10-284600 (FIGS. 1 to 3)
[Patent Document 2]
JP 2001-223269 A (FIGS. 5 to 7)
[0012]
[Problems to be solved by the invention]
However, in the method of Patent Document 1, an increase in dielectric constant is unavoidable when a thick film is formed, and a deterioration in adhesion due to a pinhole is unavoidable when a thin film is formed. In order to prevent the peeling due to the low adhesion, a method for improving the adhesion by performing various surface treatments on the surface of the MSQ after film formation has been studied. For example, in the manufacture of a semiconductor device, cleaning by sputtering using Ar gas is performed in various processes, and the surface of the lower layer wiring 6 at the bottom of the via hole 3 is cleaned after forming the wiring trench pattern 13 and before forming the barrier metal 4. In some cases, an Ar sputtering process is performed in order to make it easier. However, since the Ar sputtering process only etches the material to be sputtered, the MSQ surface modification effect is not recognized.
[0013]
On the other hand, in the method of performing ozone treatment, UV ozone treatment, or oxygen plasma treatment described in Patent Document 2, moisture penetrates into the film, the dielectric constant of the insulating film increases, and the film surface is left to produce a residue. A problem occurs.
[0014]
In order to reduce the wiring capacitance in this way, it is essential to use a low dielectric constant film containing an organic component such as MSQ as an interlayer insulating film. However, the low dielectric constant film and an inorganic material, particularly a barrier metal, The deterioration of reliability due to poor adhesion is serious, and there is a development of a structure that can improve the adhesion between the low dielectric constant film and the barrier metal, and a process that can modify the surface of the low dielectric constant film. It has been demanded. This problem is not limited to the above-mentioned via first dual damascene process, other damascene processes such as a dual hard mask process and single damascene, and other processes using a low dielectric constant film having a bond between an organic component group and Si. It occurs in the same way.
[0015]
The present invention has been made in view of the above problems, and its main purpose is the interface between a low dielectric constant film having a bond between Si and a group consisting of organic components such as MSQ in a damascene process and a barrier metal. Structure of a semiconductor device capable of improving the adhesion of the metal and its manufacturing method, in particular, removing organic components from the interface between the low dielectric constant interlayer film and the barrier metal, thereby improving the adhesion with an inorganic material such as a barrier metal An object of the present invention is to provide a structure of a semiconductor device and a method for manufacturing the semiconductor device.
[0017]
In order to achieve the above object, the structure of the semiconductor device of the present invention is a via hole formed in an insulating layer including a low dielectric constant film having a bond between an organic component group and Si, or a wiring groove through a barrier metal. In the semiconductor device including the step of embedding the wiring material, the region of the low dielectric constant film in contact with the barrier metal is a region having a concentration of organic component relatively lower than that in the low dielectric constant interlayer film. The carbon (C) concentration of the low concentration region layer is 7 atm% or less. It is a feature.
[0018]
In the semiconductor device of the present invention, the carbon (C) concentration of the layer in which the organic component concentration is relatively lower than that of the low dielectric constant interlayer film is preferably 7 atm% or less and preferably 2 atm% or more. .
[0019]
In order to achieve the above object, the structure of the semiconductor device of the present invention is a via hole formed in an insulating layer including a low dielectric constant film having a bond between an organic component group and Si, or a wiring groove through a barrier metal. In the semiconductor device including the step of embedding the wiring material, the region of the low dielectric constant film in contact with the barrier metal is a region having a concentration of organic component relatively lower than that in the low dielectric constant interlayer film. And the low concentration region layer is 25 nm or less. It is characterized by.
[0020]
In the semiconductor device of the present invention, the layer having the organic component concentration relatively lower than the low dielectric constant interlayer film may have a Si—H bond.
[0021]
In the semiconductor device of the present invention, the low dielectric constant film may be methyl silsesquioxane (MSQ), methylated hydrosilsesquioxane (MHSQ), silicon carbide (SiC), carbon-containing silicon oxide film (SiOC). Or SiCOH), silicon carbonitride (SiCN), or a porous film of these films.
[0022]
In order to achieve the above object, the structure of the semiconductor device of the present invention is a via hole formed in an insulating layer including a low dielectric constant film having a bond between an organic component group and Si, or a wiring groove through a barrier metal. In the semiconductor device including the step of embedding a wiring material, the region of the low dielectric constant film in contact with the barrier metal has a region having a concentration of organic components relatively lower than that in the low dielectric constant interlayer film. The barrier metal is formed of tantalum nitride (TaN) on the low dielectric constant film side and tantalum (Ta) on the wiring material side. It is a feature.
[0023]
Also, in the method of manufacturing a semiconductor device of the present invention, a wiring material is embedded in a via hole or a wiring groove formed in an insulating layer including a low dielectric constant film having a bond between an organic component group and Si, through a barrier metal. In the method for manufacturing a semiconductor device including a step, before the barrier metal film formation, from the gas capable of substituting at least part of the group consisting of the organic component on the exposed surface of the low dielectric constant film with hydrogen, or from the organic component Plasma treatment using a gas capable of decomposing at least part of the group to form dangling bonds The barrier metal is formed such that tantalum nitride (TaN) is formed on the low dielectric constant film and then tantalum (Ta) is formed on the wiring material side. Is.
[0024]
According to another aspect of the present invention, there is provided a method for manufacturing a semiconductor device, comprising: sequentially forming at least a first interlayer insulating film and a second interlayer insulating film on a substrate on which a wiring pattern is formed; Using the first resist pattern formed on the film, forming a via hole that penetrates the first interlayer insulating film and the second interlayer insulating film; and removing the first resist pattern; Etching the second interlayer insulating film using the second resist pattern formed on the second interlayer insulating film to form a trench pattern; the second interlayer insulating film; the via hole; The steps of forming a barrier metal film on the inner wall of the trench pattern and embedding the wiring material in the via hole and the trench pattern by CMP after depositing the wiring material are reduced. In the method of manufacturing a semiconductor device, at least one of the first interlayer insulating film and the second interlayer insulating film is formed of a low dielectric constant film having a bond between an organic component group and Si, and the barrier Before metal film formation, dangling is performed by decomposing at least a part of the group consisting of organic components on the exposed low-dielectric film surface with a gas capable of substituting at least a part of the group consisting of organic components with hydrogen or the group consisting of the organic components. Plasma treatment using a gas capable of forming a bond is performed.
[0025]
According to another aspect of the present invention, there is provided a method of manufacturing a semiconductor device, comprising: depositing at least a first interlayer insulating film, a second interlayer insulating film, and a hard mask material on a substrate on which a wiring pattern is formed; Etching the hard mask material with a first resist pattern formed on the material to form a hard mask, and using the second resist pattern formed on the hard mask with the first resist pattern Forming a via hole penetrating the interlayer insulating film and the second interlayer insulating film; and removing the second resist pattern; then etching the second interlayer insulating film using the hard mask to form a trench Forming a pattern, forming a barrier metal on the second interlayer insulating film and on the inner wall of the via hole and the trench pattern, and a wiring material And depositing the wiring material in the via hole and the trench pattern by a CMP method. In the method of manufacturing a semiconductor device, the first interlayer insulating film, the second interlayer insulating film, or the hard At least one of the masks is made of a low dielectric constant film having a bond between an organic component group and Si, and at least a part of the organic component group on the exposed surface of the low dielectric constant film before forming the barrier metal. Plasma treatment using a gas capable of substituting hydrogen with a gas or a gas capable of forming a dangling bond by decomposing at least a part of the organic component group.
[0026]
In the present invention, the plasma treatment and the film formation of the barrier metal are performed in the same apparatus or in a state of maintaining a vacuum, or a sputtering treatment step using Ar gas is performed before the plasma treatment. The Ar sputtering, the plasma treatment, and the film formation of the barrier metal can be performed in the same apparatus or in a state where a vacuum is maintained.
[0027]
In the present invention, the low dielectric constant film may be methyl silsesquioxane (MSQ), methylated hydrosilsesquioxane (MHSQ), silicon carbide (SiC), carbon-containing silicon oxide film (SiOC or SiCOH). ), Silicon carbonitride (SiCN), or a porous material of these films.
[0028]
In the present invention, a mixed gas of hydrogen and a rare gas is used as the plasma processing gas, or a rare gas is used as the plasma processing gas and an RF bias is applied during the plasma processing. It is preferable.
[0029]
In the present invention, the rare gas preferably contains any one of He, Ne, Ar, Kr, Xe, and Rn.
[0030]
In the present invention, when He is used as the plasma processing gas, the RF bias power may be set to about 250 W or more and about 400 W or less.
[0031]
As described above, the present invention provides an adhesion between the low dielectric constant film and the barrier metal in a damascene process having an insulating layer including a low dielectric constant film having a bond of Si and an organic component group such as MSQ. By providing a structure that can ensure the resistance, the barrier metal is peeled off during CMP and scratches are generated in the low dielectric constant film, and the film is peeled off at the barrier metal / low dielectric constant film interface due to stress due to multilayering. And the reliability of the damascene process using the low dielectric constant film can be improved.
[0032]
In addition, the present invention provides a pre-process for forming a barrier metal after forming a trench pattern and a via hole in an insulating layer including a low dielectric constant film having a bond between Si and an organic component group such as MSQ. 2 By performing plasma treatment using a mixed gas of He and He, He gas, etc., the organic component (methyl group in the case of MSQ) on the surface of the low dielectric constant film is replaced with hydrogen, or the organic component is decomposed. Thus, dangling bonds can be formed, and the adhesion between the low dielectric constant film and the barrier metal can be improved. As a result, it is possible to prevent the barrier metal from being peeled off at the time of CMP and causing scratches on the surface of the low dielectric constant film, and the film peeling at the barrier metal / low dielectric constant film interface due to the stress due to multilayering. The reliability of the damascene process using the low dielectric constant film can be improved.
[0033]
DETAILED DESCRIPTION OF THE INVENTION
As shown in the prior art, sputtering using Ar gas is widely used for cleaning the surface layer in the manufacture of semiconductor devices, and impurities and foreign matters adhering to the surface of the material to be sputtered are removed by Ar sputtering treatment. However, the adhesion between the low dielectric constant film and the barrier metal is not improved even if Ar sputtering treatment is performed on the low dielectric constant film having a bond of Si and a group composed of an organic component such as MSQ.
[0034]
This is because the Ar used for sputtering is large in size and has a large energy due to the RF bias. Therefore, the MSQ molecules themselves, which are made of only methyl groups covering the MSQ surface, are sputtered, and new MSQ molecules are formed on the sputter surface. Appears and the methyl group covers the surface again. Therefore, in order to effectively remove only the methyl group of MSQ, it is considered that a gas having a small atomic weight and having a substitution reactivity with the methyl group may be used. Therefore, the following experiment was performed using a mixed gas of a highly reactive gas and a rare gas or a single rare gas (specifically, a mixed gas of He and He and He gas) as a representative gas species.
[0035]
First, a sample in which a tantalum (Ta), tantalum nitride (TaN) layer and a Cu layer were formed as barrier metals on a MSQ film by sputtering was prepared. The film structure is Cu100nm / Ta15nm / TaN15nm / MSQ300nm. After performing the following cleaning process in the pre-cleaning chamber, Ta and TaN layers are formed in the PVD chamber for barrier metal, and then Cu A Cu layer was formed in a PVD chamber for use. The wafers were transferred between the chambers in a vacuum (10-7 torr or less) to prevent contamination of the MSQ surface.
[0036]
As a cleaning process, only etching using Ar gas is performed, and after etching using Ar gas, He / H is performed in the same chamber. 2 A process for performing plasma treatment using a mixed gas and a process for performing plasma treatment using He gas in the same chamber after etching using Ar gas were performed, and cleaning was performed in each process. A tape test using an adhesive tape was performed on the sample. The results are shown in Table 1.
[0037]
[Table 1]
Figure 0003768480
[0038]
From Table 1, the adhesion of the barrier metal / MSQ interface is not sufficient in the process of only Ar etching or the process of performing the He plasma treatment after the Ar etching, and the barrier metal layer and the Cu layer are in the barrier metal / MSQ interface in all the samples. Although peeled, He / H after Ar etching 2 In the plasma treatment process, the adhesion at the barrier metal / MSQ interface was improved and no peeling occurred. From this result, H 2 It can be seen that the plasma treatment using a gas containing gas is effective in improving the adhesion of MSQ.
[0039]
Where He is H 2 Unlike the case of Ar, the reactivity is low, but since the atomic weight is smaller than Ar, depending on the conditions, there is a possibility that only the methyl group of MSQ can be removed. Therefore, an RF bias was gradually applied during the He plasma treatment to prepare a sample that was cleaned by increasing the energy of He plasma, and a tape test was conducted in the same manner. The results are shown in Table 2.
[0040]
[Table 2]
Figure 0003768480
[0041]
From Table 2, it can be seen that the effect of improving the adhesion is not observed in the treatment without applying the RF bias, but the adhesion is improved because peeling does not occur when the RF bias is applied at 250 W or more. This is thought to be because the energy of the He plasma is small when no RF bias is applied, and the methyl group has not been decomposed. Even when a non-reactive or low gas species is used, the RF bias is applied. It shows that the methyl group can be decomposed by applying appropriate energy. In the case of Ar, since the adhesion is not improved even if an RF bias is applied, it can be seen that the effect cannot be obtained unless an appropriate gas is selected.
[0042]
From the results of Tables 1 and 2, the gas mixture (He / H) containing a highly reactive gas as a gas species. 2 ) Or noble gas (He), it can be seen that the adhesion between the MSQ and the barrier metal interface is improved, but it is unclear whether the above improvement is due to the removal of methyl groups on the MSQ surface. . In general, methyl groups are hydrophobic, and hydrogen groups and dangling bonds are hydrophilic. Therefore, if the methyl groups are removed effectively, the hydrophilicity of the MSQ surface increases and wettability is improved. Is expected to decline. Therefore, a sample cleaned by the same process as in Table 1 was prepared, and the contact angle of the MSQ surface with water was measured. The results are shown in Tables 3 and 4.
[0043]
[Table 3]
Figure 0003768480
[0044]
[Table 4]
Figure 0003768480
[0045]
From Table 3, although the difference was not clear in the tape test, the contact angle slightly decreased in the process of performing the He plasma treatment (without RF bias) after the Ar etching compared to the process of only the Ar etching. It can be seen that the plasma treatment itself has an effect of improving adhesion. Also, after Ar etching, He / H 2 In the process of performing plasma treatment, the contact angle is further reduced. 2 It turns out that the surface modification effect of gas is high. Also, from Table 4, the contact angle is gradually reduced by applying the RF bias even in the He plasma treatment, and especially when the RF bias power is 250 W or more, He / H. 2 It shows that the surface modification effect is higher than the plasma treatment.
[0046]
The effect of this plasma treatment will be described with reference to FIG. 1. In an untreated state, the MSQ surface is covered with methyl groups. 2 By exposure to plasma, the Si-CH on the MSQ surface as shown in FIG. Three This is probably because the bond is replaced with a Si—H bond, and a methyl group having a large molecular structure is replaced with hydrogen, thereby shortening the distance between Si and the barrier metal metal and improving the bonding force. In the case of He plasma treatment, as the RF bias increases, as shown in FIG. Three It is considered that the bond is broken to form a dangling bond and the bonding force between Si and the barrier metal is improved.
[0047]
From the above experimental results, in order to obtain the adhesion improvement effect of the present invention, a mixed gas containing a highly reactive gas capable of substituting a methyl group with a group having a small molecular structure, for example, hydrogen, as a gas species, or MSQ It is sufficient to use a gas capable of decomposing only the methyl group covering the surface without decomposing all the molecules, He, He / H 2 Besides, H 2 And a mixed gas of a rare gas such as Ne, Ar, Kr, Xe, and Rn, a gas containing ammonia, or the like can be used.
[0048]
He / H 2 In plasma processing, a method of applying an RF bias is conceivable. In this case, however, hydrogen atoms permeate into the substance exposed to the plasma, and Cu is particularly exposed in a state where Cu as a wiring material is exposed. Therefore, when hydrogen gas is used, it is necessary to optimize the RF bias.
[0049]
H 2 The component ratio of gas and noble gas is H 2 As the gas ratio increases, the reactivity increases and control becomes difficult, and the substitution reaction of the methyl group proceeds not only to the surface layer but also to the inside, which may increase the dielectric constant of the MSQ. 2 A component ratio including about 1 to 10% of a highly reactive gas (for example, H 2 H for He and He 2 : 4%, He: about 96%). In consideration of reactivity with methyl groups, ammonia gas is also considered. In this case, Cu, which is a wiring material, is nitrided by ammonia, and there is a concern about influence on wiring reliability. Therefore, ammonia concentration, RF bias, It is necessary to optimize the processing time and the like.
[0050]
In addition, the material whose adhesion can be improved by the plasma treatment using the gas may be a material containing an organic component or a material containing a group having a large molecular structure. In addition to MSQ, methylated hydrogensil Sesquioxane (methylated hydrogen silsesquioxane: MHSQ), silicon carbide (SiC), silicon carbonitride (SiCN), carbon-containing silicon oxide film (SiOC or SiCOH), etc. may be used. Good. In addition, any method such as a CVD method or a coating method can be used regardless of a method for forming these films.
[0051]
Si-CH on MSQ surface Three FIG. 2 shows a schematic process in the case where the above plasma treatment capable of replacing the bond with the Si—H bond is applied to the damascene process. When the via hole 3 is formed in the insulating layer containing the MSQ 1 formed on the substrate 2, the surface and the MSQ exposed surface of the inner wall of the via hole 3 are covered with methyl groups as shown in FIG. H 2 When the plasma treatment using this mixed gas is performed, the methyl group is replaced with hydrogen as shown in FIG. 2B, the surface becomes hydrophilic, and the adhesion to the inorganic material is improved. In this state, if the barrier metal 4 is formed as shown in FIG. 2C, the MSQ 1 and the barrier metal 4 are strongly coupled, and even if the wiring metal is buried in the via hole 3 by the CMP method, the surface of the MSQ or the inner wall of the via hole 3 is formed. The barrier metal 4 is not peeled off, and the connection reliability of the multilayer wiring can be improved.
He / H 2 Plasma treatment using a mixed gas or He gas is known, but by this plasma treatment, the dangling bond is generated by substituting the methyl group of the low dielectric constant film such as MSQ with hydrogen or by decomposing the methyl group. And the effect that adhesiveness with a barrier metal can be improved is a novel fact obtained by the knowledge of the present inventor.
[0052]
Si-CH on MSQ surface Three FIG. 3 shows a schematic process in the case where the above plasma treatment capable of forming a dangling bond by breaking the bond is applied to the damascene process. When the wiring trench pattern 13 is formed in the insulating layer containing MSQ1 formed on the substrate 2, the MSQ exposed surface of the surface and the inner wall of the wiring trench pattern 13 is covered with a methyl group as shown in FIG. Here, when a plasma treatment with an RF bias applied using He gas is performed, as shown in FIG. Three The bond is broken and the dangling bond layer 25 is formed.
[0053]
The dangling bond layer 25 can change the degree of C desorption and its thickness depending on the plasma processing conditions. Therefore, as shown in FIG. 3C, a sample in which Cu as the barrier metal 4 and the wiring material 5 is formed in the wiring trench pattern 13 is prepared, and the degree of desorption of C and the thickness thereof are changed. Then, scratches due to peeling by the CMP method were investigated. Table 5 shows the results of the number of scratch defects and the capacitance between wirings with respect to the C concentration and film thickness of the dangling bond layer 25 measured by the local EDX method.
[0054]
[Table 5]
Figure 0003768480
[0055]
From Table 5, it was confirmed that when the C concentration of the dangling bond layer 25 is decreased, scratch defects due to adhesion are remarkably reduced. In particular, good results are obtained when the C concentration is 7 atm% or less. However, when the film thickness of the dangling bond layer 25 is 41 nm, it is confirmed that the capacitance between wirings is increased. The C concentration of the dangling bond layer 25 is 2 atm% or more and the film thickness is 25 nm or less. It is preferable that
[0056]
Samples in which a Ta single layer, a TaN single layer, and a Ta / TaN stack were formed as the barrier metal 4 in the wiring groove in which the dangling bond layer 25 was formed were prepared and evaluated in the same manner as described above. Table 6 shows the results of the number of scratch defects for each barrier metal.
[0057]
[Table 6]
Figure 0003768480
[0058]
From Table 6, when the C concentration of the dangling bond layer 25 is 7 atm%, the number of scratch defects is good in all barrier metal structures, whereas the C concentration of the dangling bond layer 25 is 10 atm%. Then, only the Ta single layer has a large number of scratch defects, and the barrier metal material in contact with the dangling bond layer 25 is preferably TaN. Since Ta has better wettability and adhesion with Cu, the barrier metal preferably has a Ta / TaN laminated structure.
[0059]
Although a barrier metal itself having a Ta / TaN laminated structure is known, in a damascene process using a low dielectric constant film having a bond between an organic component group and Si, a wiring groove in which a dangling bond layer is formed or The fact that the Ta / TaN laminated structure is optimal when forming the barrier metal in the via hole is a novel fact obtained by the knowledge of the present inventor.
[0060]
【Example】
In order to describe the above-described embodiment of the present invention in more detail, a specific example of a damascene process to which the structure of the present invention and plasma treatment are applied will be described with reference to the drawings.
[Example 1]
First, a dual damascene process according to the first embodiment of the present invention will be described with reference to FIGS. 4 to 6 are process sectional views showing the procedure of the via first process to which the structure of the present invention and the plasma treatment are applied, and are divided for convenience of drawing.
[0061]
First, as shown in FIG. 4A, after a lower layer wiring 6 made of Cu, Cu alloy or the like is formed on the substrate 2 by a known method, the first etching is performed using a CVD method, a plasma CVD method or the like. A stop film 7, a first interlayer insulating film 8, a second etching stop film 9, and a second interlayer insulating film 10 are sequentially formed with a predetermined film thickness. In addition, as a film | membrane from which the effect of the plasma processing of this invention is obtained, what is necessary is just a low dielectric constant film | membrane containing the group which has a molecular structure large and exhibits hydrophobicity like MSQ containing a methyl group, MHSQ, SiC, SiCN, SiOC, SiCOH or the like or a porous film of these films may be used. The low dielectric constant film may be a film formed by any method such as CVD or coating.
[0062]
In the following description, the case where MSQ is used as the second interlayer insulating film 10 will be described. However, the low dielectric constant film may be used for the first interlayer insulating film 8 or both. Further, the material of the film other than the low dielectric constant film is not particularly limited, and may be a combination of materials that can provide an etching selection ratio. 2 , SiN, SiON, or the like. The second interlayer insulating film 10 is made of SiO. 2 When a material other than the above is used, a problem may occur in the CMP process of the wiring. In this case, a cap insulating film may be formed on the second interlayer insulating film 10.
[0063]
Thereafter, an antireflection film 11a for suppressing reflection of light for exposure is deposited on the second interlayer insulating film 10 by about 50 nm, and then a chemically amplified resist for forming a via hole pattern is applied by about 600 nm. Exposure and development are performed by KrF photolithography to form the first resist pattern 12a.
[0064]
Next, as shown in FIG. 4B, the antireflection film 11a, the second interlayer insulating film 10, the second etching stop film 9, and the first interlayer insulating film 8 are sequentially etched by publicly known dry etching. A via hole 3 penetrating through is formed. Thereafter, the resist pattern 12a and the antireflection film 11a are peeled off by oxygen plasma ashing and wet treatment using an organic stripping solution, and the dry etching residue is removed.
[0065]
Next, as shown in FIG. 4C, after depositing about 50 nm of the antireflection film 11b, about 600 nm of chemically amplified resist is applied thereon, and after baking, exposure and development by KrF photolithography are performed. Then, a second resist pattern 12b for forming a wiring trench pattern is formed, and the second antireflection film 11b exposed by a dry etching method is removed.
[0066]
Next, the second interlayer insulating film 10 is etched using the second etching stop film 9 as an etching stopper to form a wiring trench pattern 13, and the second resist pattern 12b and the second resist pattern 12b are formed by oxygen plasma ashing and wet treatment using an organic stripping solution. The second antireflection film 11b is peeled off, and the dry etching residue is removed (see FIG. 5A).
[0067]
Next, as shown in FIG. 5B, after the exposed first etching stop film 7 is removed by dry etching, the surface of the lower layer wiring 6 at the bottom of the via hole 3 is cleaned by sputtering using Ar gas. .
[0068]
In this state, the surface of the second interlayer insulating film (MSQ) 10, the wiring trench pattern 13, and the sidewalls of the via hole 3 are covered with methyl groups, and good adhesion can be obtained even if a barrier metal is formed thereon. Absent. Therefore, as shown in FIG. 5C, the plasma processing, which is a feature of the present invention, is performed in the same chamber, apparatus, or vacuum as in Ar sputtering. As this plasma treatment, He / H 2 A plasma treatment using a mixed gas or a plasma treatment using a He gas and applying a predetermined RF bias is preferable. For example, He / H 2 When the plasma treatment using this mixed gas is performed, the methyl group of the MSQ exposed on the surface and the trench sidewall is replaced with hydrogen, and the adhesion to the barrier metal is improved.
[0069]
Ar sputtering treatment, He / H 2 The plasma treatment and the He plasma treatment are preferably performed under the following conditions.
[0070]
[Ar plasma treatment conditions]
Gas pressure: about 0.2-5mTorr
Gas type: Argon 100%
RF power source: About 200W to 600W
RF bias source: about 200W to 400W
Time: about 60 seconds
[0071]
[H 2 / He plasma treatment conditions]
Gas pressure: about 20-100mTorr
Gas type: a mixed gas of hydrogen and helium, preferably a mixture ratio of hydrogen 4% helium 96%
RF power source: About 200W to 600W
Time: about 60 seconds
[0072]
[He plasma treatment conditions]
Gas pressure: about 20-100mTorr
Gas type: 100% helium
RF power source: About 200W to 600W
RF bias source: about 200W to 400W
Time: about 60 seconds
[0073]
After the MSQ surface is modified by the above plasma treatment, in order to prevent the diffusion of the wiring material and improve the adhesion as shown in FIG. A barrier metal 4 such as tantalum (Ta) or tantalum nitride (TaN) is formed to a thickness of, for example, about 30 nm, and subsequently a Cu seed metal 19 for facilitating the growth of Cu plating as a wiring material. Is formed with a film thickness of about 100 nm. At this time, the nitrogen concentration in tantalum nitride (TaN) is preferably set to 10 atom% to 50 atom%.
[0074]
Thereafter, as shown in FIG. 6B, Cu to be the wiring material 5 is formed by a plating method, and the wiring trench pattern 13 and the via hole 3 are buried with Cu, and as shown in FIG. The dual damascene structure is completed by polishing the excess Cu using the CMP method and planarizing the surface.
[0075]
In the semiconductor device formed by the above method, the peeling of the barrier metal at the MSQ interface and the scratch on the MSQ surface as shown in the conventional example are not recognized, and the structure and plasma treatment of the present invention are effective in the process using MSQ. I confirmed that there was.
[Example 2]
Next, a dual damascene process according to the second embodiment of the present invention will be described with reference to FIGS. 7 to 10 are process sectional views showing the procedure of the dual hard mask process to which the structure of the present invention and the plasma treatment are applied, and are divided for convenience of drawing.
[0076]
First, as shown in FIG. 7A, similarly to the first embodiment described above, a lower layer wiring 6 made of Cu, Cu alloy or the like is formed on the substrate 2 by a known method, and then a CVD method, A first etching stop film 7, a first interlayer insulating film 8, a second etching stop film 9, and a second interlayer insulating film 10 are sequentially formed using a plasma CVD method or the like. Next, in this embodiment, a first hard mask film 16 and a second hard mask film 17 which are etching masks of the wiring trench pattern are deposited thereon.
[0077]
In this embodiment, the case where MSQ is used as the second interlayer insulating film 10 will be described. However, instead of the second interlayer insulating film 10 or together with the second interlayer insulating film 10, the first interlayer insulating film 8, Even if at least one of the first hard mask film 16 and the second hard mask film 17 is a low dielectric constant film containing a bond of Si and a group made of an organic component such as MSQ, MHSQ, SiC, SiCN, SiOC, and SiCOH. Good.
[0078]
After that, on the second hard mask film 17, an antireflection film 11a is applied to about 50 nm and a chemically amplified resist is applied to about 600 nm, and exposure and development are performed by KrF photolithography to form a first resist pattern 12a.
[0079]
Next, as shown in FIG. 7B, the first antireflection film 11a and the second hard mask film 17 are etched using the first resist pattern 12a by known dry etching to etch the wiring trench pattern. An opening is formed. Thereafter, the resist pattern 12a and the antireflection film 11a are peeled off by oxygen plasma ashing and wet treatment using an organic stripping solution, and the dry etching residue is removed.
[0080]
Next, as shown in FIG. 7C, the second antireflection film 11b is applied to about 50 nm and a chemically amplified resist is applied to about 600 nm. After baking, the second antireflection film 11b is exposed and developed by KrF photolithography. A second resist pattern 12b for forming a via hole having an opening inside the etching region of the hard mask film 17 is formed.
[0081]
Next, as shown in FIG. 8A, the second antireflection film 11b, the first hard mask film 16, the second interlayer insulating film 10, and the second antireflection film 11b are formed by known dry etching using the second resist pattern 2b as a mask. The etching stop film 9 and the first interlayer insulating film 8 are etched, and the via hole 3 penetrating them is formed.
[0082]
Thereafter, as shown in FIG. 8 (b), the second resist pattern 12b and the second antireflection film 11b are stripped by oxygen plasma ashing and wet processing using an organic stripping solution, and the dry etching residue is removed. To do.
[0083]
Next, as shown in FIG. 8C, the first hard mask film 16 and the second interlayer insulating film 10 are etched by using a known dry etching method using the second hard mask film 17 as a mask to form wiring. A trench pattern 13 is formed.
[0084]
Next, as shown in FIG. 9A, after the exposed first etching stop film 7 is removed by dry etching, the surface of the lower layer wiring 6 at the bottom of the via hole 3 is cleaned by sputtering using Ar gas. Subsequently, as shown in FIG. 9B, the plasma processing which is a feature of the present invention is performed in the same chamber, apparatus, or vacuum state as Ar sputtering. The plasma processing method, conditions, gas type, and the like are the same as in the first embodiment.
[0085]
After modifying the MSQ surface by the above plasma treatment, in order to prevent the diffusion of the wiring material and improve the adhesion, as shown in FIG. A barrier metal 4 such as tantalum (Ta) or tantalum nitride (TaN) is formed to a thickness of, for example, about 30 nm, and subsequently a Cu seed metal 19 for facilitating the growth of Cu plating as a wiring material. Is formed with a film thickness of about 100 nm. At this time, the nitrogen concentration in tantalum nitride (TaN) is preferably set to 10 atom% to 50 atom%.
[0086]
Thereafter, as shown in FIG. 10 (a), Cu to be the wiring material 5 is formed by plating, and the wiring trench pattern 13 and the via hole 3 are buried with Cu, and as shown in FIG. 10 (b), The dual damascene structure is completed by polishing the excess Cu using the CMP method and planarizing the surface.
[0087]
When the interlayer insulating film is entirely formed of an organic film, the second antireflection film 11b, the first hard mask film 16, and the second interlayer are formed using the second resist pattern 12b in the step of FIG. The insulating film 10 and the second etching stop film 9 are etched, and the first hard mask film 16 and the second interlayer insulating film 10 are etched using the second hard mask film 17 in the step of FIG. At the same time when the wiring trench pattern 13 is formed, the first interlayer insulating film 8 may be etched to form the via hole 3 penetrating to the first etching stop film 7.
[0088]
In the semiconductor device formed in this way, as in the first embodiment described above, no peeling of the barrier metal at the MSQ interface and no scratch on the MSQ surface are observed, and the structure and plasma treatment of the present invention uses MSQ. The process was effective.
[Example 3]
First, a single damascene process according to a third embodiment of the present invention will be described with reference to FIGS. 11 to 14 are process sectional views showing the procedure of the single damascene process to which the structure of the present invention and the plasma treatment are applied, and are divided for convenience of drawing.
[0089]
First, as shown in FIG. 11A, a first etching stop film 7 and a first interlayer insulating film 8 are sequentially formed at a predetermined thickness on the lower wiring 6 by using a CVD method, a plasma CVD method, or the like. Form. Thereafter, an antireflection film 11a for suppressing the reflection of exposure light is deposited on the first interlayer insulating film 8 by about 50 nm, and then a chemically amplified resist for forming a via hole pattern is applied by about 500 nm. The first resist pattern 12a is formed by performing exposure and development by ArF photolithography.
[0090]
In addition, as a film | membrane from which the effect of the plasma processing of this invention is obtained, what is necessary is just a low dielectric constant film | membrane containing the group which has a molecular structure large and exhibits hydrophobicity like MSQ containing a methyl group, MHSQ, SiC, SiCN, SiOC, SiCOH or the like or a porous film of these films may be used. The low dielectric constant film may be a film formed by any method such as CVD or coating.
[0091]
In the following description, the case where MSQ is used as the first interlayer insulating film 8 and the second interlayer insulating film 10 will be described, but the low dielectric constant film may be used for the first interlayer insulating film 8 or both. Further, the material of the film other than the low dielectric constant film is not particularly limited, and may be a combination of materials that can provide an etching selection ratio. 2 , SiN, SiON, SiC, SiCN, or the like.
[0092]
Next, as shown in FIG. 11B, the antireflection film 11a and the first interlayer insulating film 8 are sequentially etched by known dry etching to form a via hole 3 penetrating them. Thereafter, the resist pattern 12a and the antireflection film 11a are peeled off by oxygen plasma ashing and wet treatment using an organic stripping solution, and the dry etching residue is removed.
[0093]
Next, as shown in FIG. 11C, after the exposed first etching stop film 7 is removed by a dry etching method, the surface of the lower wiring 6 at the bottom of the via hole 3 is cleaned by sputtering using Ar gas. After that, plasma processing, which is a feature of the present invention, is performed in the same chamber as in Ar sputtering, in the apparatus, or in a state of maintaining a vacuum. The plasma processing method, conditions, gas type, and the like are the same as those in the first and second embodiments.
[0094]
In this embodiment, a case where He plasma treatment is applied will be described. After forming a dangling bond layer on the MSQ surface by He plasma treatment, in the same chamber, in the apparatus or in a vacuum state, as shown in FIG. A barrier metal 4 such as tantalum (Ta) or tantalum nitride (TaN) for improvement is formed with a thickness of, for example, about 30 nm, and subsequently Cu for facilitating the plating growth of Cu as a wiring material. The seed metal 19 is formed with a film thickness of about 100 nm. At this time, the nitrogen concentration in tantalum nitride (TaN) is preferably set to 10 atom% to 50 atom%.
[0095]
Thereafter, Cu to be the wiring material 5 is formed by a plating method, and the inside of the via hole 3 is filled with Cu, and then, as shown in FIG. By planarizing, a via plug is completed. Thereafter, as shown in FIG. 12C, a second etching stop film 9 and a second interlayer insulating film 10 are sequentially formed with a predetermined film thickness for the upper layer wiring.
Next, as shown in FIG. 13A, an antireflection film 11b for suppressing reflection of exposure light is deposited on the second interlayer insulating film 10 to a thickness of about 50 nm, and then a via hole pattern is formed. A chemically amplified resist is applied to about 400 nm, and exposure and development are performed by ArF photolithography to form a second resist pattern 12b.
[0096]
Next, as shown in FIG. 13B, the antireflection film 11b and the second interlayer insulating film 10 are sequentially etched by known dry etching to form a wiring trench pattern 13 penetrating them. Thereafter, the resist pattern 12b and the antireflection film 11b are peeled off by oxygen plasma ashing and wet treatment using an organic peeling solution, and the dry etching residue is removed.
[0097]
Next, as shown in FIG. 14A, after the exposed second etching stop film 9 is removed by dry etching, the via pattern surface at the bottom of the wiring trench pattern 13 is cleaned by sputtering using Ar gas. After performing, the plasma processing which is the feature of the present invention is performed in the same chamber, apparatus, or vacuum state as Ar sputtering. The plasma processing method, conditions, gas type, and the like are the same as those in forming the via plug.
[0098]
In this embodiment, a case where He plasma treatment is applied will be described. After the dangling bond layer is formed on the MSQ surface by He plasma treatment, in the same chamber, in the apparatus or in a vacuum state, as shown in FIG. A barrier metal 4 such as tantalum (Ta) or tantalum nitride TaN for improvement is formed with a thickness of, for example, about 30 nm, and subsequently a Cu seed for facilitating the growth of Cu plating as a wiring material. The metal 19 is formed with a film thickness of about 100 nm. At this time, the nitrogen concentration in tantalum nitride (TaN) is preferably set to 10 atom% to 50 atom%.
[0099]
Thereafter, Cu to be the wiring material 5 is formed by a plating method, and the wiring trench pattern 13 is filled with Cu, and then, as shown in FIG. 14C, the excess Cu is polished by using the CMP method. A single damascene structure is completed by planarizing the surface.
[0100]
In the semiconductor device formed in this way, as in the first and second embodiments, the barrier metal peeling at the MSQ interface and the scratch on the MSQ surface are not observed. It has been confirmed that the process using is effective.
[Example 4]
First, a single damascene process according to a fourth embodiment of the present invention will be described with reference to FIGS. 15 to 18 are process cross-sectional views showing the procedure of a single damascene process to which the structure of the present invention and plasma treatment are applied, and are divided for convenience of drawing.
[0101]
First, as shown in FIG. 15A, the first etching stop film 7, the first interlayer insulating film 8, and the first cap insulating film 23 are formed on the lower wiring 6 by using a CVD method, a plasma CVD method, or the like. Sequentially with a predetermined film thickness. Thereafter, an antireflection film 11a for suppressing the reflection of exposure light is deposited on the first cap insulating film 23 by about 50 nm, and then a chemically amplified resist for forming a via hole pattern is applied by about 500 nm. The first resist pattern 12a is formed by performing exposure and development by ArF photolithography.
[0102]
In addition, as a film | membrane from which the effect of the plasma processing of this invention is obtained, what is necessary is just a low dielectric constant film | membrane containing the group which has a molecular structure large and exhibits hydrophobicity like MSQ containing a methyl group, MHSQ, SiC, SiCN, SiOC, SiCOH or the like or a porous film of these films may be used. The low dielectric constant film may be a film formed by any method such as CVD or coating.
[0103]
In this embodiment, the case where SiOC is used as the first interlayer insulating film 8 and the second interlayer insulating film 10 will be described. However, the low dielectric constant film may be used for the first interlayer insulating film 8 or both. Further, the material of the film other than the low dielectric constant film is not particularly limited, and may be a combination of materials that can provide an etching selection ratio. 2 , SiN, SiON, SiC, SiCN, or the like.
[0104]
Next, as shown in FIG. 15B, the antireflection film 11a, the first cap insulating film 23, and the first interlayer insulating film 8 are sequentially etched by known dry etching to form a via hole 3 penetrating them. To do. Thereafter, the resist pattern 12a and the antireflection film 11a are peeled off by oxygen plasma ashing and wet treatment using an organic stripping solution, and the dry etching residue is removed.
[0105]
Next, as shown in FIG. 15C, after the exposed first etching stop film 7 is removed by dry etching, the surface of the lower layer wiring 6 at the bottom of the via hole 3 is cleaned by sputtering using Ar gas. After that, plasma processing, which is a feature of the present invention, is performed in the same chamber as in Ar sputtering, in the apparatus, or in a state where a vacuum is maintained. The plasma processing method, conditions, gas type, and the like are the same as those in the first to third embodiments.
[0106]
In this embodiment, a case where He plasma treatment is applied will be described. After the dangling bond layer is formed on the exposed side surface of SiOC by He plasma treatment, the diffusion of the wiring material is prevented as shown in FIG. A barrier metal 4 such as tantalum (Ta) or tantalum nitride (TaN) for improving adhesion is formed with a thickness of, for example, about 30 nm, and subsequently facilitates plating growth of Cu serving as a wiring material. A Cu seed metal 19 is formed to a thickness of about 100 nm. At this time, the nitrogen concentration in tantalum nitride (TaN) is preferably set to 10 atom% to 50 atom%.
[0107]
Thereafter, Cu to be the wiring material 5 is formed by a plating method, and the inside of the via hole 3 is filled with Cu, and then, as shown in FIG. By planarizing, a via plug is completed. Thereafter, as shown in FIG. 16C, a second etching stop film 9, a second interlayer insulating film 10, and a second cap insulating film 24 are sequentially formed with a predetermined film thickness for upper layer wiring.
Next, as shown in FIG. 17A, an antireflection film 11b for suppressing reflection of exposure light is deposited on the second cap insulating film 24 to a thickness of about 50 nm, and then a via hole pattern is formed. A chemically amplified resist is applied to about 400 nm, and exposure and development are performed by ArF photolithography to form a second resist pattern 12b.
Next, as shown in FIG. 17B, the antireflection film 11b, the second cap insulating film 24, and the second interlayer insulating film 10 are sequentially etched by publicly known dry etching, and the wiring trench pattern 13 penetrating them. Form. Thereafter, the resist pattern 12b and the antireflection film 11b are stripped by oxygen plasma ashing and wet processing using an organic stripper, and the dry etching residue is removed.
[0108]
Next, as shown in FIG. 18A, after the exposed second etching stop film 9 is removed by dry etching, the surface of the via pattern at the bottom of the wiring trench pattern 13 is cleaned by sputtering using Ar gas. After performing, the plasma processing which is the feature of the present invention is performed in the same chamber, apparatus, or vacuum state as Ar sputtering. The plasma processing method, conditions, gas type, and the like are the same as those in forming the via plug.
[0109]
In this embodiment, a case where He plasma treatment is applied will be described. After forming a dangling bond layer on the exposed side surface of SiOC by He plasma treatment, in the same chamber, in the apparatus or in a vacuum state, as shown in FIG. A barrier metal 4 such as tantalum (Ta) or tantalum nitride (TaN) for improving adhesion is formed with a thickness of, for example, about 30 nm, and subsequently facilitates plating growth of Cu serving as a wiring material. A Cu seed metal 19 is formed to a thickness of about 100 nm. At this time, the nitrogen concentration in tantalum nitride (TaN) is preferably set to 10 atom% to 50 atom%.
[0110]
Thereafter, Cu to be the wiring material 5 is formed by plating, and the wiring trench pattern 13 is filled with Cu, and then, as shown in FIG. A single damascene structure is completed by planarizing the surface.
[0111]
In the semiconductor device formed in this way, as in the first to third embodiments described above, no peeling of the barrier metal at the SiOC interface was observed, and the structure and plasma treatment of the present invention were applied to a process using SiOC. It was confirmed that there was an effect.
[0112]
In each of the above embodiments, the structure of the present invention and the He / H 2 The case where the plasma treatment using the mixed gas or He gas is applied to the dual damascene process, the via first process, the dual hard mask process, and the single damascene process has been described. However, the present invention is limited to the above-described embodiment. The present invention can be applied to any semiconductor process including a step of forming a metal film such as a barrier metal on an exposed surface of a low dielectric constant film including a group having a large molecular structure such as a methyl group.
[0113]
【The invention's effect】
As described above, according to the method of manufacturing a semiconductor device of the present invention, a barrier metal or the like is formed in a trench or a via hole formed in an insulating layer including a low dielectric constant film such as MSQ, MHSQ, SiC, SiCN, SiOC, and SiCOH. In a damascene process that includes a step of forming a metal film, the barrier metal is peeled off during CMP, causing scratches on the surface of the low dielectric constant insulating film, and barrier metal / low dielectric constant insulation due to stress due to multilayering. The problem of film peeling at the film interface can be avoided.
[0114]
The reason for this is that, after the formation of the wiring trench pattern and the via hole, as a pre-process for forming the barrier metal, He / H 2 A plasma treatment using a mixed gas of the above and a plasma treatment using He gas and applying an RF bias are performed to form a structure of the present invention, whereby the methyl group on the surface of a low dielectric constant film such as MSQ is replaced with hydrogen. This is because it can be decomposed and modified to be hydrophilic to improve the adhesion to an inorganic material.
[Brief description of the drawings]
FIG. 1 is a diagram schematically showing the plasma processing mechanism of the present invention.
FIG. 2 is a process cross-sectional view showing a part of a manufacturing process including plasma processing according to the present invention.
FIG. 3 is a process cross-sectional view showing a part of the manufacturing process including the structure and plasma treatment of the present invention.
FIG. 4 is a process sectional view showing the procedure of the via first process according to the first embodiment of the present invention.
FIG. 5 is a process sectional view showing the procedure of the via first process according to the first embodiment of the invention.
FIG. 6 is a process sectional view showing the procedure of the via first process according to the first embodiment of the invention.
FIG. 7 is a process sectional view showing a procedure of a dual hard mask process according to a second embodiment of the present invention.
FIG. 8 is a process sectional view showing a procedure of a dual hard mask process according to a second embodiment of the present invention.
FIG. 9 is a process cross-sectional view illustrating a procedure of a dual hard mask process according to a second embodiment of the present invention.
FIG. 10 is a process cross-sectional view illustrating a procedure of a dual hard mask process according to a second embodiment of the present invention.
FIG. 11 is a process sectional view showing the procedure of a single damascene process according to a third embodiment of the present invention.
FIG. 12 is a process sectional view showing the procedure of a single damascene process according to a third embodiment of the present invention.
FIG. 13 is a process sectional view showing the procedure of a single damascene process according to a third embodiment of the present invention.
FIG. 14 is a process sectional view showing the procedure of a single damascene process according to a third embodiment of the present invention.
FIG. 15 is a process cross-sectional view illustrating the procedure of a single damascene process according to a fourth embodiment of the present invention.
FIG. 16 is a process cross-sectional view illustrating the procedure of a single damascene process according to a fourth embodiment of the present invention.
FIG. 17 is a process sectional view showing the procedure of a single damascene process according to the fourth embodiment of the present invention;
FIG. 18 is a process sectional view showing the procedure of a single damascene process according to the fourth embodiment of the present invention.
FIG. 19 is a process cross-sectional view illustrating a procedure of a conventional via first process.
FIG. 20 is a process cross-sectional view illustrating a procedure of a conventional via first process.
FIG. 21 is a process sectional view showing the procedure of a conventional via first process.
[Explanation of symbols]
1 MSQ
2 Substrate
3 Beer hall
4 Barrier metal
5 Wiring material
6 Lower layer wiring
7 First etching stop film
8 First interlayer insulating film
9 Second etching stop film
10 Second interlayer insulating film (MSQ)
11a First antireflection film
11b Second antireflection film
12a First resist pattern
12b Second resist pattern
13 Wiring trench pattern
14 Ar
15 He / H 2 plasma
16 First hard mask film
17 Second hard mask film
18 Second interlayer insulating film
19 Seed Metal
20 Peel
21 scratch
22 He plasma
23 First cap insulating film
24 Second cap insulating film

Claims (19)

有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層に形成されるビアホール、又は配線溝にバリアメタルを介して配線材料が埋め込まれている構造を有する半導体装置において、前記低誘電率膜の前記バリアメタルと接する領域に、前記低誘電率層間膜内部より有機成分濃度が相対的に低い濃度の領域である層を有し、前記有機成分濃度が相対的に低い濃度の領域層のカーボン(C)濃度が、7atm%以下であることを特徴とする半導体装置。In a semiconductor device having a structure in which a wiring material is embedded via a barrier metal in a via hole formed in an insulating layer including a low dielectric constant film having a bond between an organic component group and Si, or the wiring groove, In the region of the low dielectric constant film that is in contact with the barrier metal, there is a layer that is a region having a relatively lower organic component concentration than the inside of the low dielectric constant interlayer film . A semiconductor device characterized in that the carbon (C) concentration in the region layer is 7 atm% or less . 有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層に形成されるビアホール、又は配線溝にバリアメタルを介して配線材料が埋め込まれている構造を有する半導体装置において、前記低誘電率膜の前記バリアメタルと接する領域に、前記低誘電率層間膜内部より有機成分濃度が相対的に低い濃度の領域である層を有し、前記有機成分濃度が相対的に低い濃度の領域層が25nm以下であることを特徴とする半導体装置。In a semiconductor device having a structure in which a wiring material is embedded via a barrier metal in a via hole formed in an insulating layer including a low dielectric constant film having a bond between an organic component group and Si, or the wiring groove, In the region of the low dielectric constant film that is in contact with the barrier metal, there is a layer that is a region having a relatively lower organic component concentration than the inside of the low dielectric constant interlayer film . A semiconductor device having a region layer of 25 nm or less . 有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層に形成されるビアホール、又は配線溝にバリアメタルを介して配線材料が埋め込まれている構造を有する半導体装置において、前記低誘電率膜の前記バリアメタルと接する領域に、前記低誘電率層間膜内部より有機成分濃度が相対的に低い濃度の領域を有し、前記バリアメタルが、前記低誘電率膜側が窒化タンタル(TaN)、前記配線材料側がタンタル(Ta)で形成されていることを特徴とする半導体装置。In a semiconductor device having a structure in which a wiring material is embedded via a barrier metal in a via hole formed in an insulating layer including a low dielectric constant film having a bond between an organic component group and Si, or the wiring groove, a region in contact with the barrier metal of the low dielectric constant film, the low dielectric constant interlayer film inside than the organic component concentration have a region of relatively low concentration, the barrier metal, the low dielectric constant film side tantalum nitride ( TaN), and the wiring material side is formed of tantalum (Ta) . 有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層に形成されるビアホール、又は配線溝にバリアメタルを介して配線材料が埋め込まれている構造を有する半導体装置において、前記低誘電率膜の前記バリアメタルと接する領域に、前記低誘電率層間膜内部より有機成分濃度が相対的に低い濃度の領域である層を有し、前記有機成分濃度が相対的に低い濃度の領域層のカーボン(C)濃度が、7atm%以下であり、前記領域層が25nm以下であり、前記バリアメタルが、前記低誘電率膜側が窒化タンタル(TaN)、前記配線材料側がタンタル(Ta)で形成されていることを特徴とする半導体装置。In a semiconductor device having a structure in which a wiring material is embedded via a barrier metal in a via hole formed in an insulating layer including a low dielectric constant film having a bond between an organic component group and Si, or the wiring groove, In the region of the low dielectric constant film that is in contact with the barrier metal, there is a layer that is a region having a relatively lower organic component concentration than the inside of the low dielectric constant interlayer film . The region layer has a carbon (C) concentration of 7 atm% or less, the region layer is 25 nm or less, the barrier metal is tantalum nitride (TaN) on the low dielectric constant film side, and tantalum (Ta) on the wiring material side. A semiconductor device formed of 前記有機成分濃度が前記低誘電率層間膜より相対的に低い濃度である層のカーボン(C)濃度が、7atm%以下であることを特徴とする請求項2または3に記載の半導体装置。4. The semiconductor device according to claim 2 , wherein a carbon (C) concentration of a layer in which the organic component concentration is relatively lower than that of the low dielectric constant interlayer film is 7 atm% or less. 前記有機成分濃度が前記低誘電率層間膜より相対的に低い濃度である層が25nm以下であることを特徴とする請求項3に記載の半導体装置。4. The semiconductor device according to claim 3 , wherein the layer whose organic component concentration is relatively lower than that of the low dielectric constant interlayer film is 25 nm or less. 前記有機成分濃度が前記低誘電率層間膜より相対的に低い濃度である層のカーボン(C)濃度が、7atm%以下であり、且つ2atm%以上であることを特徴とする請求項1乃至6のいずれか一に記載の半導体装置。Carbon (C) Concentration of the organic component concentration layer wherein a relatively lower concentration than the low-dielectric-constant interlayer film is not more than 7 atm%, according to claim 1 to 6, characterized in that and 2 atm% or more The semiconductor device according to any one of the above. 前記有機成分濃度が前記低誘電率層間膜より相対的に低い濃度である層がSi−H結合を有することを特徴とする請求項1乃至7のいずれか一に記載の半導体装置。8. The semiconductor device according to claim 1, wherein the layer having the organic component concentration relatively lower than the low dielectric constant interlayer film has a Si-H bond. 前記低誘電率膜が、メチルシルセスキオキサン(MSQ)、メチレーテッドハイドロシルセスキオキサン(MHSQ)、炭化シリコン(SiC)、炭素含有シリコン酸化膜(SiOC又はSiCOH)、炭窒化シリコン(SiCN)、またはこれらの膜をポーラス化したもののいずれか一つからなることを特徴とする請求項1乃至8のいずれか一に記載の半導体装置。The low dielectric constant film is methyl silsesquioxane (MSQ), methylated hydrosilsesquioxane (MHSQ), silicon carbide (SiC), carbon-containing silicon oxide film (SiOC or SiCOH), silicon carbonitride (SiCN). 9) or a porous material of these films. 9. The semiconductor device according to claim 1 , wherein the film is made porous. 有機成分からなる基とSiとの結合をもつ低誘電率膜を含む絶縁層に形成されるビアホール、又は配線溝にバリアメタルを介して配線材料を埋め込む工程を含む半導体装置の製造方法において、前記バリアメタル成膜前に、露出した前記低誘電率膜表面の有機成分からなる基の少なくとも一部を水素に置換可能なガス、又は、前記有機成分からなる基の少なくとも一部を分解してダングリングボンドを形成可能なガスを用いたプラズマ処理を行い、前記バリアメタルを前記低誘電率膜上に窒化タンタル(TaN)を形成した後、タンタル(Ta)を前記配線材料側になるように形成することを特徴とする半導体装置の製造方法。In a method for manufacturing a semiconductor device, including a step of burying a wiring material through a barrier metal in a via hole or a wiring groove formed in an insulating layer including a low dielectric constant film having a bond between an organic component group and Si, Before the barrier metal film is formed, a gas capable of substituting at least a part of the organic component group on the exposed surface of the low dielectric constant film with hydrogen or at least a part of the organic component group is decomposed and dung. There line plasma treatment using a formable gas ring bonds, then the barrier metal was formed tantalum nitride (TaN) on the low dielectric constant film, so that tantalum (Ta) to the wiring material side A method for manufacturing a semiconductor device, comprising: forming a semiconductor device. 配線パターンが形成された基板上に、少なくとも第1の層間絶縁膜と第2の層間絶縁膜とを順次形成する工程と、前記第2の層間絶縁膜上に形成した第1のレジストパターンを用いて、前記第1の層間絶縁膜及び前記第2の層間絶縁膜を貫通するビアホールを形成する工程と、前記第1のレジストパターンを除去した後、前記第2の層間絶縁膜上に形成した第2のレジストパターンを用いて、前記第2の層間絶縁膜をエッチングしてトレンチパターンを形成する工程と、前記第2の層間絶縁膜と前記ビアホール及び前記トレンチパターン内壁とにバリアメタルを成膜する工程と、配線材料を堆積後、CMP法により前記ビアホール及び前記トレンチパターン内部に前記配線材料を埋め込む工程とを少なくとも有する半導体装置の製造方法において、前記第1の層間絶縁膜又は前記第2の層間絶縁膜の少なくとも一方が有機成分からなる基とSiとの結合をもつ低誘電率膜からなり、前記バリアメタル成膜前に、露出した前記低誘電率膜表面の有機成分からなる基の少なくとも一部を水素に置換可能なガス、又は、前記有機成分からなる基の少なくとも一部を分解してダングリングボンドを形成可能なガスを用いたプラズマ処理を行うことを特徴とする半導体装置の製造方法。  A step of sequentially forming at least a first interlayer insulating film and a second interlayer insulating film on a substrate on which a wiring pattern is formed, and a first resist pattern formed on the second interlayer insulating film are used. Forming a via hole penetrating through the first interlayer insulating film and the second interlayer insulating film, and removing the first resist pattern and then forming a first via hole formed on the second interlayer insulating film. And etching the second interlayer insulating film using the resist pattern 2 to form a trench pattern, and forming a barrier metal on the second interlayer insulating film, the via hole, and the inner wall of the trench pattern. And a method of manufacturing a semiconductor device including at least a step of embedding the wiring material in the via hole and the trench pattern by CMP after depositing the wiring material. In addition, at least one of the first interlayer insulating film or the second interlayer insulating film is made of a low dielectric constant film having a bond between an organic component group and Si, and is exposed before the formation of the barrier metal. A gas capable of substituting at least a part of the organic component group on the surface of the low dielectric constant film with hydrogen or a gas capable of forming a dangling bond by decomposing at least a part of the organic component group is used. A method for manufacturing a semiconductor device, comprising performing plasma processing. 配線パターンが形成された基板上に、少なくとも第1の層間絶縁膜と第2の層間絶縁膜とハードマスク材料とを堆積する工程と、前記ハードマスク材料上に形成した第1のレジストパターンを用いて、前記ハードマスク材料をエッチングしてハードマスクを形成する工程と、前記ハードマスク上に形成した第2のレジストパターンを用いて、前記第1の層間絶縁膜及び前記第2の層間絶縁膜を貫通するビアホールを形成する工程と、前記第2のレジストパターンを除去した後、前記ハードマスクを用いて前記第2の層間絶縁膜をエッチングしてトレンチパターンを形成する工程と、前記第2の層間絶縁膜上と前記ビアホール及び前記トレンチパターン内壁とにバリアメタルを成膜する工程と、配線材料を堆積後、CMP法により前記ビアホール及び前記トレンチパターン内部に前記配線材料を埋め込む工程とを少なくとも有する半導体装置の製造方法において、前記第1の層間絶縁膜、前記第2の層間絶縁膜又は前記ハードマスクの少なくとも一つが有機成分からなる基とSiとの結合をもつ低誘電率膜からなり、前記バリアメタル成膜前に、露出した前記低誘電率膜表面の有機成分からなる基の少なくとも一部を水素に置換可能なガス、又は、前記有機成分からなる基の少なくとも一部を分解してダングリングボンドを形成可能なガスを用いたプラズマ処理を行うことを特徴とする半導体装置の製造方法。  A step of depositing at least a first interlayer insulating film, a second interlayer insulating film, and a hard mask material on a substrate on which a wiring pattern is formed, and a first resist pattern formed on the hard mask material are used. Etching the hard mask material to form a hard mask, and using the second resist pattern formed on the hard mask, the first interlayer insulating film and the second interlayer insulating film are formed. A step of forming a via hole penetrating; a step of removing the second resist pattern; and etching the second interlayer insulating film using the hard mask to form a trench pattern; and the second interlayer A barrier metal film is formed on the insulating film and on the inner wall of the via hole and the trench pattern, and after depositing a wiring material, the via hole is formed by CMP. And at least one of the first interlayer insulating film, the second interlayer insulating film, and the hard mask is made of an organic component. A gas having a low dielectric constant film having a bond between a group and Si, and capable of substituting at least a part of the group consisting of an organic component on the exposed surface of the low dielectric constant film with hydrogen before forming the barrier metal, or A method for manufacturing a semiconductor device, wherein plasma treatment is performed using a gas capable of decomposing at least a part of the organic component group to form a dangling bond. 前記プラズマ処理と前記バリアメタルの成膜とを、同一の装置内又は真空を維持した状態で行うことを特徴とする請求項10乃至12のいずれか一に記載の半導体装置の製造方法。13. The method of manufacturing a semiconductor device according to claim 10, wherein the plasma treatment and the film formation of the barrier metal are performed in the same apparatus or in a state where a vacuum is maintained. 前記プラズマ処理の前にArガスを用いたスパッタ処理工程を有し、前記Arスパッタと前記プラズマ処理と前記バリアメタルの成膜とを、同一の装置内又は真空を維持した状態で行うことを特徴とする請求項10乃至12のいずれか一に記載の半導体装置の製造方法。A sputtering treatment step using Ar gas is performed before the plasma treatment, and the Ar sputtering, the plasma treatment, and the film formation of the barrier metal are performed in the same apparatus or in a vacuum state. A method for manufacturing a semiconductor device according to claim 10 . 前記低誘電率膜が、メチルシルセスキオキサン(MSQ)、メチレーテッドハイドロシルセスキオキサン(MHSQ)、炭化シリコン(SiC)、炭素含有シリコン酸化膜(SiOC又はSiCOH)、炭窒化シリコン(SiCN)、またはこれらの膜をポーラス化したもののいずれか一つからなることを特徴とする請求項10乃至14のいずれか一に記載の半導体装置の製造方法。The low dielectric constant film is methyl silsesquioxane (MSQ), methylated hydrosilsesquioxane (MHSQ), silicon carbide (SiC), carbon-containing silicon oxide film (SiOC or SiCOH), silicon carbonitride (SiCN). The method for manufacturing a semiconductor device according to any one of claims 10 to 14 , wherein the film is made of any one of these films made porous. 前記プラズマ処理のガスとして水素と希ガスとの混合ガスを用いることを特徴とする請求項10乃至15のいずれか一に記載の半導体装置の製造方法。 16. The method for manufacturing a semiconductor device according to claim 10 , wherein a mixed gas of hydrogen and a rare gas is used as the plasma treatment gas. 前記プラズマ処理のガスとして希ガス、または水素と希ガスとの混合ガスを用い、前記プラズマ処理に際してRFバイアスを印加することを特徴とする請求項10乃至15のいずれか一に記載の半導体装置の製造方法。 16. The semiconductor device according to claim 10 , wherein a rare gas or a mixed gas of hydrogen and a rare gas is used as the plasma treatment gas, and an RF bias is applied during the plasma treatment. Production method. 前記希ガスとして、He、Ne、Ar、Kr、Xe、Rnのいずれか一を含むことを特徴とする請求項16又は17に記載の半導体装置の製造方法。18. The method of manufacturing a semiconductor device according to claim 16 , wherein the rare gas includes any one of He, Ne, Ar, Kr, Xe, and Rn. 前記プラズマ処理のガスとしてHeを用いる場合に、前記RFバイアス・パワーを略250W以上、略400W以下に設定することを特徴とする請求項17記載の半導体装置の製造方法。18. The method of manufacturing a semiconductor device according to claim 17 , wherein, when He is used as the plasma processing gas, the RF bias power is set to about 250 W or more and about 400 W or less.
JP2003021077A 2002-02-14 2003-01-29 Semiconductor device and manufacturing method thereof Expired - Fee Related JP3768480B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003021077A JP3768480B2 (en) 2002-02-14 2003-01-29 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002036312 2002-02-14
JP2002-36312 2002-12-18
JP2003021077A JP3768480B2 (en) 2002-02-14 2003-01-29 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2003309170A JP2003309170A (en) 2003-10-31
JP3768480B2 true JP3768480B2 (en) 2006-04-19

Family

ID=29405137

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003021077A Expired - Fee Related JP3768480B2 (en) 2002-02-14 2003-01-29 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP3768480B2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005167081A (en) 2003-12-04 2005-06-23 Renesas Technology Corp Semiconductor device and its manufacturing method
WO2005069367A1 (en) * 2004-01-13 2005-07-28 Tokyo Electron Limited Method for manufacturing semiconductor device and film-forming system
JP2006147895A (en) * 2004-11-22 2006-06-08 Fujitsu Ltd Manufacturing method of semiconductor device
KR100779337B1 (en) 2006-08-24 2007-11-23 동부일렉트로닉스 주식회사 Method of making semiconductor devices
JP5522979B2 (en) * 2009-06-16 2014-06-18 国立大学法人東北大学 Film forming method and processing system
JP2011199059A (en) * 2010-03-19 2011-10-06 Renesas Electronics Corp Semiconductor device and method of manufacturing the same
JP5862353B2 (en) * 2011-08-05 2016-02-16 東京エレクトロン株式会社 Manufacturing method of semiconductor device
WO2014021411A1 (en) * 2012-08-02 2014-02-06 独立行政法人産業技術総合研究所 Optical waveguide and optical waveguide mirror
JP6315694B2 (en) * 2014-09-19 2018-04-25 東京エレクトロン株式会社 Semiconductor device manufacturing method, and film forming method and forming apparatus
US20170349996A1 (en) * 2016-06-01 2017-12-07 Applied Materials, Inc. High pressure ammonia nitridation of tunnel oxide for 3dnand applications

Also Published As

Publication number Publication date
JP2003309170A (en) 2003-10-31

Similar Documents

Publication Publication Date Title
KR100516337B1 (en) Semiconductor device and manufacturing method thereof
US7799693B2 (en) Method for manufacturing a semiconductor device
TWI402887B (en) Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7314828B2 (en) Repairing method for low-k dielectric materials
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
TWI528454B (en) Semiconductor device and method of manufacturing semiconductor device
US6614096B2 (en) Method for manufacturing a semiconductor device and a semiconductor device
KR20000017275A (en) Semiconductor device and process for producing the same
JP4746829B2 (en) Semiconductor device and manufacturing method thereof
WO2007091574A1 (en) Multilayer wiring structure, and method for fabricating multilayer wiring
US7202160B2 (en) Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
US7232763B2 (en) Method of manufacturing semiconductor device
JP3768480B2 (en) Semiconductor device and manufacturing method thereof
JP2006216809A (en) Semiconductor device and its manufacturing method
WO2007043634A1 (en) Method for manufacturing multilayer wiring
JP4684866B2 (en) Manufacturing method of semiconductor device
US7985675B2 (en) Method for fabricating a semiconductor device that includes processing an insulating film to have an upper portion with a different composition than an other portion
JP2009004633A (en) Multilayer interconnection structure and method of manufacturing the same
JP5200436B2 (en) Manufacturing method of semiconductor device
JP2006073569A (en) Semiconductor apparatus and its manufacturing method
JP4447433B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2006156519A (en) Method of manufacturing semiconductor device
JP2011124472A (en) Method of manufacturing semiconductor device
JP2009117739A (en) Electronic device, and manufacturing method thereof
JP2005019802A (en) Semiconductor device manufacturing method and wafer structure

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040524

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050920

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060201

R150 Certificate of patent or registration of utility model

Ref document number: 3768480

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100210

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100210

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110210

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110210

Year of fee payment: 5

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110210

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110210

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120210

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130210

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140210

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees