JP3655013B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP3655013B2
JP3655013B2 JP18905496A JP18905496A JP3655013B2 JP 3655013 B2 JP3655013 B2 JP 3655013B2 JP 18905496 A JP18905496 A JP 18905496A JP 18905496 A JP18905496 A JP 18905496A JP 3655013 B2 JP3655013 B2 JP 3655013B2
Authority
JP
Japan
Prior art keywords
film
silicon
oxidation
forming
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP18905496A
Other languages
Japanese (ja)
Other versions
JPH09312270A (en
Inventor
一明 中嶋
清孝 宮野
泰志 赤坂
恭一 須黒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP18905496A priority Critical patent/JP3655013B2/en
Priority to US08/701,716 priority patent/US5907188A/en
Publication of JPH09312270A publication Critical patent/JPH09312270A/en
Priority to US09/267,376 priority patent/US6133150A/en
Application granted granted Critical
Publication of JP3655013B2 publication Critical patent/JP3655013B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

【0001】
【発明の属する技術分野】
本発明は、積層構造の電極(配線)に特徴があり、良好な不純物拡散防止性能を有する半導体装置およびその製造方法に関する。
【0002】
【従来の技術】
近年、半導体装置の電極や配線の材料として、多結晶シリコンが広く使用されている。しかし、半導体装置の高集積化、高速化に伴い、電極や配線の抵抗による信号伝達の遅延が重大な問題になってきている。
【0003】
この種の遅延は電極や配線の低抵抗化により抑制できる。例えば、MOSトランジスタ等のゲート電極の場合であれば、金属シリサイド膜と多結晶シリコン膜との2層構造のポリサイドゲートの採用により抑制できる。
【0004】
しかし、ゲート長0.25μm世代以降では、ポリサイドゲートよりも低抵抗のゲート電極が求められ、最近、高融点金属膜と反応障壁層と多結晶シリコン膜との積層構造のポリメタルゲートが注目されている。
【0005】
高融点金属としてタングステン(W)を用いれば、タングステンの比抵抗はタングステンシリサイド(WSix )に比べ約1桁小さいので、RC遅延時間の大幅な短縮が可能である。タングステンは多結晶シリコンと600℃程度の加熱処理で容易に反応する材料であるが、W膜と多結晶シリコン膜との間に反応障壁層が挟まれているので問題にはならない。
【0006】
また、将来的にはポリメタルゲートではなく高融点金属単層のメタルゲートが有望とされている。このようにゲート電極の低抵抗化には高融点金属の採用が必須である。
【0007】
しかし、タングステンをはじめとする高融点金属は非常に酸化され易く、例えば、タングステンは400℃程度で酸化される。タングステンの酸化物は絶縁体であり、さらにタングステンは酸化とともに体積膨張を引き起こす。
【0008】
一般に、LSI製造工程においては、ゲート電極パターンを形成した後にゲート酸化膜などの酸化膜の信頼性向上を目的とした再酸化を行う工程が必要とされる。例えば、多結晶シリコンゲートの場合、シリコン基板上に多結晶シリコン膜を形成し、これをパターニングしてゲート電極を形成した後、ゲート酸化膜端部にバーズビークと呼ばれる膜厚の酸化部分が形成される。この結果、ゲート電極の下部端部が丸められ、ゲート部の電界が緩和されるので、素子の特性や信頼性の向上が図られる。以降この工程を後酸化と称する。この種の後酸化を金属シリサイドとしてWSix を用いたポリサイドゲートに適用すると、WSix としては、通常、正規組成x=2.0よりもSiリッチのものが用いられるため、後酸化工程で、WSix 中の余剰シリコンが酸化され、WSix 表面にもSiO2 が形成され、結晶シリコンと同様の酸化方法で同様の絶縁効果を得ることができる。
【0009】
一方、この種の後酸化を高融点金属としてWを用いたポリメタルゲートに適用すると、Wは通常の酸化工程でも酸化されるため、通常の酸化工程でWO3 が形成される。このとき、大きな体積膨張を伴うため、膜の剥離等が起こり、以後の工程を続けることができなくなる。
【0010】
また、大気から混入するO2 やH2 Oなどの酸化剤により、酸化工程を開始する前に、Wの酸化が起こり、同様の問題が発生する可能性がある。したがって、ポリメタルゲートの場合には、高融点金属を酸化せずシリコンのみを酸化する技術(選択酸化技術)が、後酸化工程で必要になる。
【0011】
ポリメタルゲートの場合のように、同一基板上にシリコンの露出部分とW等の高融点金属の露出する部分が混在する場合において、高融点金属の露出部分を酸化せずシリコンのみを選択的に酸化する選択酸化法が知られている(特開昭60−9166)。
【0012】
この選択酸化法は、酸化剤であるH2 Oと還元剤であるH2 との混合雰囲気中で酸化を行なう際に、H2 O/H2 の分圧比を一定範囲に設定して行なうというものである。
【0013】
この技術の適用例として、W単層のメタルゲートをH2 /H2 O雰囲気中で酸化した報告がある(R.F.Kwasnick et al., J.Electrochem.Soc., Vol 135, pp176 (1988))。報告者らの実験結果によると、厚さ5nmの薄いシリコン酸化膜(ゲート酸化膜)上に厚さ200nmのW膜(ゲート電極)を積層した試料を用い、H2 /H2 O雰囲気中で900℃30分間程度の酸化を行った結果、W膜直下のシリコン酸化膜は20nmまで厚くなった。
【0014】
この現象は、酸化剤がW膜の粒界を通じて拡散することに因る。つまり、上記選択酸化技術は、確かにW膜は酸化しないが、W膜直下のシリコン酸化膜中のシリコンは酸化される。したがって、上記選択酸化をメタルゲートに適用すると、ゲート酸化膜の膜厚が増加することになるので、トランジスタの駆動力が低下するという致命的な問題が生じる。
【0015】
また、上記選択酸化をW膜と多結晶シリコン膜との積層構造のポリメタルゲートに適用することを考えると、W膜直下の多結晶シリコン膜が同様に酸化されることが容易に推測できる。W膜と多結晶シリコン膜との界面における多結晶シリコン膜の酸化は、この界面におけるコンタクト抵抗の上昇を招き、これによりRC遅延が増大するという問題が生じる。
【0016】
上述のように、ゲート電極の抵抗を下げるには、電導度の大きい金属を多結晶シリコンと積層して、ゲート絶縁膜や基板との高い整合性と、高い導電性とを兼ね備えた電極構造を用いればよいが、通常の金属との組み合わせではLSIの製造工程中の高温度に耐えることができない。特に最近素子の微細化、高速化と共に導入された、ゲート電極をマスクとするセルフアラインイオン注入技術では、不純物注入後の活性化熱処理をゲート電極形成後に行う必要があるので、ゲート電極に対して高い耐熱性が要求される。
【0017】
さらに、上記後酸化工程も含んだ800〜900℃のイオン注入後の高温熱処理において、多結晶シリコンからSi原子または添加不純物原子が、高融点金属またはそのシリサイド中に熱拡散することにより、シリコン中の不純物濃度低下によるゲートの空乏化が生じたり、CMOS(相補型MOS)において、不純物が上記高融点金属またはシリサイドを通って、n,p領域を相互拡散することにより、仕事関数が変化し、閾値電圧が変動する等の問題を生じていた。
【0018】
【発明が解決しようとする課題】
上述のごとく、従来のポリメタルゲートでは後酸化の工程でポリメタルゲートを構成する高融点金属膜下の多結晶シリコンが酸化され、RC遅延が増大するという問題があった。また、従来のメタルゲートでは後酸化の工程でメタルゲートである高融点金属下のゲート酸化膜が酸化されて厚膜化され、トランジスタの駆動能力が低下するという問題があった。
【0019】
本発明は、上記事情を考慮して為されたもので、その目的とするところは、高融点金属を用いた電極や配線における前記高融点膜下の半導体膜の酸化を抑制できる半導体装置の製造方法を提供することにある。
【0025】
【課題を解決するための手段】
本発明に係わる半導体装置の製造方法は、基板上にシリコン膜を形成する工程と、高融点金属として、Mo,W,Cr,Coの中の少なくとも1つを用いて、前記シリコン膜上に窒素および炭素の少なくとも一方と前記高融点金属とからなる化合物膜を形成する工程と、前記化合物膜上に前記高融点金属からなる金属膜を形成する工程と、熱処理により、前記化合物膜を前記高融点金属に変えて前記金属膜と一体化するとともに、前記一体化した金属膜と前記シリコン膜との界面に、窒素および炭素の少なくとも一方と前記高融点金属とシリコンとを含む導電性の酸化防止膜を形成して、前記金属膜、前記酸化防止膜および前記シリコン膜の積層膜を含む電極および配線の少なくとも一方を形成する工程と、前記酸化防止膜を形成した後に、前記シリコン膜に酸化処理を施す工程とを有することを特徴とする。
【0026】
本発明に係わる他の半導体装置の製造方法は、基板上に半導体膜を形成する工程と、前記半導体膜上に、Mo,W,Cr,Coの中の少なくとも1つの高融点金属と、窒素および炭素の少なくとも一方と、シリコンからなる化合物を含む導電性の酸化防止膜を形成する工程と、前記酸化防止膜上に前記高融点金属からなる金属膜を形成する工程と、前記金属膜、前記酸化防止膜および前記半導体膜から成る積層膜をエッチングして、前記積層膜を含む電極および配線の少なくとも一方を形成する工程と、前記酸化防止膜を形成した後に、前記半導体膜に酸化処理を施す工程とを有することを特徴とする。
【0027】
本発明に係わる他の半導体装置の製造方法は、半導体領域上に絶縁膜を形成する工程と、前記絶縁膜上に、Mo,W,Cr,Coの中の少なくとも1つの高融点金属と、窒素および炭素の少なくとも一方と、シリコンからなる化合物を含む導電性の酸化防止膜を形成する工程と、前記酸化防止膜上に前記高融点金属からなる金属膜を形成する工程と、前記金属膜、前記酸化防止膜から成る積層膜をエッチングして、前記積層膜を含む電極および配線の少なくとも一方を形成する工程と、前記酸化防止膜を形成した後に、前記半導体領域に酸化処理を施す工程とを有することを特徴とする。
【0028】
本発明に係わる他の半導体装置の製造方法は、上記半導体装置の製造方法において、前記酸化処理を施す工程は、水素、水を含む雰囲気下で行われることを特徴とする。
【0033】
また、本発明者等は、高融点金属膜とシリコン膜との間に設ける反応防止膜研究する過程において、窒素および炭素の少なくとも一方と、高融点金属と、シリコンとからなる膜が、高融点金属膜とシリコン膜との間の反応を防止するだけではなく、酸化剤が高融点金属膜を通ってシリコン酸化膜に拡散するのを防止する機能も有することを見いだした。これにより、高融点金属からなる金属膜の下地のシリコンを含む膜(シリコン膜、シリコン酸化膜)において、後酸化におけるシリコンを含む膜の酸化および該膜と金属膜との反応を防止できるようになる。また、本発明者等の研究によれば、高融点金属として、高融点金属の窒化物(炭化物)を形成する際に生じるギブスの自由エネルギー低下値から、シリコンの窒化物(炭化物)を形成する際に生じるギブスの自由エネルギー低下値を差し引いた値が負となるものを用いれば、容易に高融点金属と窒素(炭素)とシリコンとからなる酸化防止膜を形成できることが分かった。具体的には、Mo、W、Cr、Co等の高融点金属を用いることが好ましい。また、上述した条件が満たされていれば、酸化防止膜内に酸素が20%程度含まれていても良いことも分かった。
【0034】
なお、上記発明におけるシリコンの選択酸化を安全に実施する半導体装置の製造方法は、処理容器内にシリコンの露出部分を有する被処理基体を収容し、前記処理容器内にH2 ガス、H2 OガスおよびH2 ガスとは異なる非酸化性ガスを導入するとともに、前記処理容器内の前記H2 ガスの分圧を4%未満に設定し、かつ前記被処理基体の温度を600℃以上に設定して、前記シリコンの露出部分を選択的に酸化するのが望ましい。
【0035】
また、上記選択酸化を行う半導体製造装置は、被処理基板を収容して酸化処理を行なう処理容器と、この処理容器内にH2 ガス、H2 OガスおよびH2 ガスとは異なる非酸化性ガスを導入するガス導入手段と、前記処理容器内の前記H2 ガスの分圧を4%未満に設定する分圧制御手段と、前記被処理基板を600℃以上の温度で加熱する加熱手段を備えているとよい。
【0036】
さらに、上記半導体装置の製造方法、半導体製造装置は以下のような特徴を備えていることが望ましい。
【0037】
(1)処理容器内の圧力を酸化処理を大気圧よりも負圧に保ちながら酸化処理を行なう。
【0038】
(2)処理容器内を一旦1Pa以下に減圧した後、酸化処理を行なう。
【0039】
本発明の望ましい半導体装置の製造方法によれば、基体温度を酸化限界以上の600℃以上の温度に設定した状態で、H2 ガスの分圧を爆発限界以下の低圧力(低濃度)に設定しているので、安全にシリコンの選択酸化を行なえるようになる。
【0040】
また、本発明の望ましい半導体製造装置によれば、H2 ガスの分圧を爆発限界以下の低圧力(低濃度)に設定できるので、H2 ガスを不活性ガスと同じように扱うことができる。したがって、装置構成の複雑化、高価格を招かずに、安全にシリコンの選択酸化を行なうことができる。
【0041】
本発明の応用として、高融点金属を用いた電極や配線において、この高融点金属中に、その下の半導体膜からの不純物の拡散を抑制できる半導体装置および製造方法を提供することができる。
【0042】
この目的に係わる半導体装置は、少なくとも多結晶シリコンから成る第1の層と、前記第1の層上に形成され、金属および金属シリサイドのうちの1つから成る第2の層と、前記第1の層と前記第2の層との間に形成され、少なくともタングステン、シリコンおよび窒素を含む合金から成る第3の層とを具備し、前記第3の層は前記第1の層に含まれる不純物の前記第2の層への拡散を抑制する。
【0043】
また、この半導体装置の製造方法は、シリコン基板上に多結晶シリコン層を堆積する第1の工程と、前記多結晶シリコン層上に、少なくともタングステン、シリコンおよび窒素を含む合金から成り、前記多結晶シリコン層からの不純物拡散を抑制する不純物拡散抑制層を形成する第2の工程と、前記不純物拡散抑制層上に金属および金属シリサイド層のうちの1つを形成する第3の工程と、少なくとも前記第1ないし第3の工程により得られた積層構造を、パターニングする工程とを具備する。
【0044】
上記の半導体装置およびその製造方法によれば、ポリサイドまたはポリメタル構造の電極または配線において、多結晶シリコン中の不純物の金属または金属シリサイド中への拡散を抑制することができるので、電気的特性に優れ、かつ信頼性の高い半導体装置とその製造方法を得ることができる。
【0045】
【発明の実施の形態】
以下、図面を参照しながら実施形態を説明する。
(第1の実施形態)
本発明者等は次のような試料を作成し、その評価を行なった。
【0046】
まず、図1(a)に示すように、単結晶のシリコン基板1上に、WをターゲットにArとN2 をスパッタリングガスとして用いた反応性スパッタリング法によって、窒化タングステン膜2(膜厚5nm)を堆積する。引き続いて、スパッタリング法によってタングステン膜3(膜厚100nm)を堆積する。
【0047】
次に図1(b)に示すように、N2 /H2 /H2 O雰囲気中において1000℃の温度範囲で30分間の酸化処理をシリコン基板1に施して、シリコン基板1と窒化タングステン膜2との界面に酸化膜4を形成する。なお、酸化雰囲気の分圧比はP(N2 ) /P(H2 ) /P(H2 O)=0.9951/0.040/0.009[atm]である。
【0048】
最後に、タングステン膜(W膜)3および窒化タングステン膜(WNX 膜)2を硫酸と過酸化水素水との混合液によって剥離する。
このようにして得られた試料の、各酸化温度におけるW膜3/WNX 膜2直下の酸化膜4の膜厚(酸化膜厚)を、エリプソメトリー法を用いて測定した。
【0049】
図2に、その測定結果(図中の白丸)を示す。また、比較例として、表面に何も形成していないシリコン基板1を同じ条件で酸化した場合の酸化膜厚の測定結果(図中の黒丸)も示してある。図2から、W膜3/WNX 膜2を形成した試料は比較例に比べて酸化膜厚をかなり薄くでき、800℃でほとんど酸化されないことが分かる。
【0050】
前述のように、H2 /H2 O雰囲気中の酸化についてはW単層メタルゲートに適用した報告例がある(J.Electrochem.Soc., Vol 135, pp176 (1988))。この論文の報告者 R.F.Kwasnick 等の報告によれば、シリコン基板上に薄いシリコン酸化膜を形成し、その上にW膜を積層した試料を用い、H2 /H2 O雰囲気中で酸化を行った場合、W膜直下の薄いシリコン酸化膜は厚くなる。これは、酸化剤がW膜の粒界を通じて拡散するためである。
【0051】
ここで、我々の実験がこれと異なる点は、WNX 膜2をW膜3とシリコン基板1との間に挿入していることにある。WNX 膜2はW膜3とシリコン基板1との反応防止を目的としているが、800℃程度の加熱処理によってWNX 膜2中の窒素はほとんど脱離する。したがって、上記加熱処理後はWNX 膜2はW膜とほぼ同じになり反応防止膜としての機能は低くなる。
【0052】
加熱処理後のW膜3とシリコン基板1と間の界面(W/Si界面)をエネルギー分散型X線分光(EDX)法により観察した結果、堆積直後には存在したWNX 膜2はW膜へと変化し、極薄(10オングストローム程度)のWSiN膜がW/Si界面に形成されることが分かった。
【0053】
本発明者等はこのWSiN膜がW膜3とシリコン基板1との反応を防止する反応防止層として機能すると考えている(1994年 第55回応用物理学会学術連合会)。
【0054】
さらに、EDX分析の結果、WSiN層の組成は、W:Si=1:5〜6、厚さは1nmあるいはそれ未満であることが分かった。一方WとNの比は、例えばW:N=1:1であった。
【0055】
一般に、反応性スパッタリング法により窒化チタン膜をSi基板上に堆積した場合、N2 プラズマ放電によってSi基板表面が窒化され、成膜段階で窒化チタン膜直下に窒化シリコン膜が形成される。よって、窒化タングステン膜でも同様な現象が起きる。特に、窒化タングステン膜の場合、例え窒素雰囲気中でも800℃以上の加熱処理を行うと、膜中のN原子は脱離し、タングステン膜になってしまう。そのため、そもそもバリア層の役目を果たすのは、WSiNではなく、プラズマ窒化によるSiN膜の可能性がある。
【0056】
そこで、タングステン膜/窒化タングステン膜/シリコン基板の積層試料を用い、窒素雰囲気中で800℃30分間の加熱処理を行い、その後に硫酸と過酸化酸素水の混合液によりタングステン膜(および窒化タングステン膜)を剥離した表面を、光電子分光(XPS)法を用いて評価した。
【0057】
図14にその結果を示すが、実線が加熱処理前、点線が加熱処理後の試料から得られたW4f(図14(a))およびSi2p(図14(b))のナロースペクトルを示す。どちらの表面からも、2%程度のWが検出されたが、その結合状態に大きな違いが見られる。
【0058】
まず、W4fのスペクトルでは、加熱処理前の方はW−O(36eVと38eV位置のピーク)や金属結合(31eVと33eV位置のピーク)等が混在し、かなりブロードなピークであるのに対し、加熱処理後の方は金属結合のピークがはっきりと判る。この金属結合はW−W結合もしくはW−Si結合のピークである。先に示したEDX分析の結果から、WSiN層の組成がSiリッチであることが判っており、このことから、この金属結合はW−Si結合であると考えられる。
【0059】
また、Si2pのスペクトルでは、基板からのSi−Si結合(99.6eV)を除くと、加熱処理前の方はSi−O結合(103.7eV)のブロードなピークであるのに対し、加熱処理後の方は鋭いSi−N結合(102eV)のピークが観察される。
【0060】
つまり、WSiN層の形成は、窒化タングステン膜成膜時のプラズマ変化にはよらず、加熱処理に伴う窒化タングステン膜中の窒素原子の再分布によるものと言える。
【0061】
このように、W/Si界面におけるWSiN膜の形成は、WNx 膜2中の窒素の再分布によるものと考えられる。そのメカニズムを要約すると以下の通りである。
【0062】
タングステンから窒化タングステンが形成されるときのギブスの自由エネルギーの低下値は、シリコンから窒化シリコンが形成されるときのそれより小さい。このため、WNX 膜2とシリコン基板1とが接触している状態では、窒素の化学的ポテンシャルはシリコン基板1側の方が小さい。この結果、WNX 膜2中の窒素はシリコン基板1側へ移動(外方拡散)する。このようにして、W/Si界面にWNX 膜2中の窒素が偏析し、WSiN膜が形成される。
【0063】
界面に偏析した窒素は、シリコンの未結合手(ダングリングボンド)と結合し、Si−N結合層を形成する。窒素とシリコンの面密度はおよそ5×1017/cm2 以上であった。このために、W/Si間の原子の行き来が抑制されるものと考えられる。このとき、窒素が比較的自由に動くことができるということが重要となる。なぜなら、金属中に含まれる窒素がその金属と強い結合を有する場合、界面まで拡散することができないため、上述したような偏析は起きない。
【0064】
よって、上記窒素の再分布による形成方法でなく、予め成膜によりWSiN膜を形成する場合には、このような点に留意すべきである。何故ならば、窒化タングステンとは異なり、WSiN膜中に含まれる窒素はSi−N結合を有するため、自由に動くことができず、W/Si界面に再分布することができないからである。
【0065】
従って、WSiN膜を用いる場合、W/Si界面への窒素の再分布が期待できない。一方、その膜中で酸素原子の拡散を抑えなければならない。このため、窒素とシリコンの面密度がおよそ5×1017/cm2 以上でなければならない。
【0066】
反応防止と同時に、W/Si界面の酸化が制御された原因は、WSiN膜が酸化剤の拡散防止の役目を果たしたためと考えられる。その理由は、Si−N間の結合力はSi−O間のそれよりも強く、窒素と酸素とを置換えするのは容易ではないからであると考えられる。
【0067】
以上の結果から、WSiN膜を挿入した構造を採用することにより、W膜3とシリコン基板1との反応が防止されるだけでなく、W膜3とシリコン基板1との界面にシリコン基板1の酸化も抑制されることが分かった。
【0068】
(第2の実施形態)
図3は、本発明の第2の実施形態に係るゲート電極(ポリメタルゲート)の形成方法を段階的に示す工程断面図である。
【0069】
まず、図3(a)に示すように、単結晶のシリコン基板10上にゲート酸化膜としての薄い酸化シリコン膜11(膜厚5nm)を形成し、その上に化学的気相成長(CVD)法により多結晶シリコン膜12(膜厚100nm)を堆積する。
【0070】
続いて、多結晶シリコン膜12上に反応性スパッタリング法によって窒化タングステン膜13(膜厚5nm)を堆積し、引き続いて、その上にスパッタリング法によってタングステン膜14(膜厚100nm)を堆積する。
【0071】
次に図3(b)に示すように、800℃程度の加熱処理を行うことで、窒化タングステン膜13中の窒素を外方拡散させ、タングステン膜14と多結晶シリコン膜12との界面に極薄いWSiN膜15を形成する。このとき、窒化タングステン膜13はタングステン膜となり、タングステン膜14と一体化される。
【0072】
続いて、タングステン膜14上にCVD法によりシリコン窒化膜16(膜厚200nm)を堆積する。なお、上記加熱処理は、800℃程度の成膜温度を有するシリコン窒化膜16の成膜工程と兼ねても良い。
【0073】
さらに、シリコン窒化膜16上にフォトレジスト(膜厚1μm)をスピンコート法により塗布した後、このフォトレジストをフォトマスクを通して露光し、現像して、例えば0.25μm幅のフォトレジストパターン17を形成する。
【0074】
次に図3(c)に示すように、ドライエッチング装置を用いて、フォトレジストパターン17に沿ってシリコン窒化膜16をエッチングした後、残存したフォトレジストパターン17をO2 アッシングにより剥離する。
【0075】
次に図3(d)に示すように、シリコン窒化膜16をエッチングマスクとして用いて、タングステン膜14、WSiN膜15および多結晶シリコン膜12をエッチングする。
【0076】
次に図3(e)に示すように、多結晶シリコン膜12のエッチング時に削られたゲート酸化膜11の回復と多結晶シリコン膜12のコーナー部分18を丸めるために、N2 /H2 /H2 O雰囲気中でシリコンの選択酸化(後酸化)を行う。酸化条件は、例えば、分圧比P(N2 ) /P(H2 ) /P(H2 O) =0.9951/0.040/0.009[atm]、酸化温度800℃、酸化時間30分間である。
【0077】
この選択酸化により、ゲート酸化膜11は元の膜厚まで回復し、また、図3(f)の拡大図に示すように多結晶シリコン膜12(ゲート部)のコーナー部分18が丸められる。この結果、ゲート電極のコーナー部分18における電界集中が避けられ、さらにはゲート酸化膜11の信頼性が向上する。
【0078】
このとき、図3(f)に示すように、酸化剤20は矢印の方向に基板10あるいは多結晶シリコン膜12の中に進入するが、タングステン膜14と多結晶シリコン膜12との間のWSiN膜15が、酸化剤20の拡散を防止するため、酸化剤20はタングステン膜14を経由してシリコン膜12の上面から進入することはできない。
【0079】
したがって、タングステン膜14と多結晶シリコン膜12との界面における多結晶シリコン膜12はほとんど酸化されないので、コンタクト抵抗の上昇を防止でき、RC遅延を抑制できるようになる。
【0080】
なお、酸化剤20は多結晶シリコン膜12の側面からは拡散するので、多結晶シリコン膜12の側面にシリコン酸化膜19が選択的に形成される。このシリコン酸化膜19は、多結晶シリコン膜12の側面の上部および下部において中央に向かってバーズピーク状に食い込んだ形状となる。このようなシリコン酸化膜19はRC遅延等の問題とはならない。
【0081】
図4に、WSiN膜15を形成しない従来のゲート部の断面構造を示す。図4から分かるように、酸化剤20はタングステン膜14側からも侵入するので、タングステン膜14と多結晶シリコン膜12との界面における多結晶シリコン膜12も酸化される。この結果、多結晶シリコン膜12の側面の他に上記界面にもシリコン酸化膜19が形成される。したがって、タングステン膜14と多結晶シリコン膜12とのコンタクト抵抗が上昇し、RC遅延が増大する。
【0082】
かくして本実施例によれば、酸化防止層としてのWSiN膜15をタングステン膜14と多結晶シリコン膜12との間に挿設することにより、N2 /H2 /H2 O雰囲気中で選択酸化(後酸化)を行っても、タングステン膜14と多結晶シリコン膜12との間のコンタクト抵抗を上げることなく、シリコンの選択酸化によるゲート酸化膜12の回復が可能となる。また、WSiN膜15は反応防止膜としても機能するので、タングステン膜14と多結晶シリコン膜12との反応も防止できる。
【0083】
このようにして高融点金属であるタングステン膜14を用いた利点が十分に発揮でき、ゲート長0.25μm世代以降でも、RC遅延によって動作速度が律速されない高速のMOSトランジスタが得られるようになる。
【0084】
なお、本実施例では、WSiN膜15の形成方法として、反応性スパッタリング法により窒化タングステン膜13を成膜した後に加熱処理を施す方法について説明したが、最初からWSiN膜を反応性スパッタリング法によって形成しても良い。
【0085】
例えば、WSi をターゲットに、ArガスとN ガスとをスパッタガスとして用い、反応性スパッタリングを行うことにより、WSiN膜15を形成することもできる。
【0086】
また、スパッタリング法に限らず、他の成膜法、例えば、CVD法によりWSiN膜15を形成しても良い。例えば、NのソースガスとしてのWF6 、WCl6 、WCl4 、またはW(CO)6 ガスと、SiのソースガスとしてのSiH4 、SiH2 Cl2 ガスと、NのソースガスとしてのNH3 またはN2 ガスとの混合ガスを用いて、WSiN膜15を形成しても良い。
【0087】
次に、比較例としてWSiN膜の代わりに窒化チタン膜を用いた場合について説明する。まず、図15(a)に示すように、単結晶シリコン基板900上に、熱酸化により薄いシリコン酸化膜901(膜厚5nm)を形成し、その上に化学的気相成長法(CVD)法により、多結晶シリコン膜902(膜厚100nm)を堆積する。
【0088】
さらに、Tiをターゲットに、ArとN2 をスパッタリングガスとして用い、反応性スパッタリング法によって窒化チタン膜903(膜厚10nm)を堆積する。その上に、スパッタリング法によりタングステン膜904(膜厚100nm)を堆積する。
【0089】
その後、CVD法によりシリコン窒化膜905(膜厚200nm)を堆積し、その上にスピンコート法により約1μmの膜厚でフォトレジストを塗布し、露光現像処理して0.15μm幅のレジストパターン906を形成する。
【0090】
次いで、図15(b)に示すように、レジストパターン906をエッチングマスクとし、シリコン窒化膜をエッチングする。その後、残存したレジストパターン906を酸素プラズマアッシングを用いて除去し、シリコン窒化膜からなるマスクパターン905を得る。
【0091】
その後、図15(c)に示すように、シリコン窒化膜905をエッチングマスクとし、タングステン膜904、窒化チタン膜903、多結晶シリコン膜902をエッチングする。
【0092】
この後、図15(d)に示すように、電極パターン形成時に削られたゲート酸化膜の回復と多結晶シリコン膜902のコーナー部分907の丸めのために、N2 /H2 /H2 O雰囲気中でシリコンの選択酸化を行う。この雰囲気では、タングステン膜を酸化させずに、基板シリコンおよび多結晶シリコン膜の側壁を酸化することが可能となる。
【0093】
しかしながら、チタンはその酸化物の形成に際し生じるギブスの自由エネルギーの低下値は、シリコンの酸化物の形成に際し生じるギブスの自由エネルギーの低下値に比べ低い。よって、チタン原子を含む窒化チタン膜を酸化させずに、シリコンを選択的に酸化させることは熱力学的に不可能である。
【0094】
図16に示すように、酸化剤はタングステン膜904中も拡散するため、例え積層構造と言えども、窒化チタン膜903は側壁のみならず、タングステン膜904との界面も酸化される。
【0095】
従って、上記酸化工程において、高融点金属膜と多結晶シリコン膜間に絶縁物である酸化チタン層908が形成され、界面のコンタクト抵抗を著しく上昇させる結果となる。最悪の場合、酸化チタン層形成に伴う堆積膨張により高融点金属の膜剥がれが起き、電極としては機能しなくなる。
【0096】
一般的に、窒化チタン膜は、金属とシリコンの反応防止層、いわゆるバリアメタルとして用いられるが、上記酸化工程を必要とする半導体装置においては使用することはできない。
【0097】
よって、高融点金属としては、その酸化物を形成する際に生じるギブスの自由エネルギーの低下値から、シリコンが酸化物を形成する際に生じるギブスの自由エネルギーの低下値を引いた値が負となるものでなければならない。
【0098】
(第3の実施形態)
本発明者等は次のような試料を作成し、その評価を行なった。
【0099】
すなわち、図5に示すように、単結晶のシリコン基板20a上に薄いシリコン酸化膜21(膜厚10nm)を形成し、その上に反応性スパッタリング法によって窒化タングステン膜22(膜厚5nm)を堆積する。引き続いて、スパッタリング法によってタングステン膜23(膜厚100nm)を堆積する。
【0100】
次にN2 /H2 /H2 O雰囲気中において800℃〜1000℃の温度範囲で30分間の酸化処理をシリコン基板20aに施す。なお、上記酸化雰囲気の分圧比はP(N2 ) /P(H2 ) /P(H2 O) =0.9951/0.040/0.009[atm]である。
【0101】
最後に、タングステン膜23および窒化タングステン膜22を硫酸と過酸化水素水との混合液によって剥離する。
このようにして得られた試料の各酸化温度におけるタングステン膜23と窒化タングステン膜22との積層膜(W膜23/WNX 膜22)直下のシリコン酸化膜(SiO2 膜)21の膜厚をエリプソメトリー法を用いて測定した。
【0102】
図6に、その測定結果(図中の白丸)を示す。また、比較例として、W膜22とシリコン酸化膜21の間にWNX 膜22を形成してないシリコン基板1を同じ条件で酸化した場合のW膜23下の酸化膜21の測定結果(図中の黒丸)も示してある。
【0103】
図6からWNX 膜22の有無に関わらず、W膜23、W膜23/WNX 膜22下の酸化膜21の膜厚は酸化温度の上昇ともに増加し、さらにその傾向はWNX 膜22の有無に関わらずと同等であることが分かる。
【0104】
そこで、酸化後のW膜23/WNX 膜22/SiO2 膜21界面をEDX法による元素分析を行った結果、界面の窒素濃度は低く、上述したWSiN膜は形成されていないことが分かった。
【0105】
このような結果は前述した窒素の再分布から説明することができる。
すなわち、タングステンから窒化タングステンが形成される時のギブスの自由エネルギーの低下値は、シリコンから窒化シリコンが形成されるときのそれよりは小さいが、酸化シリコンから窒化シリコンが形成される時のそれよりは大きいため、SiO2 膜21上ではWSiN膜を形成するには至らず、酸化剤の拡散が抑えられなかったと考えられる。
【0106】
そこで、図7に示すような試料を作成した。すなわち、シリコン基板30上に薄いシリコン酸化膜31(膜厚10nm)を形成し、その上に反応性スパッタリング法によってWSiN膜32(膜厚1nm)を堆積し、さらにその上にスパッタリング法によりW膜33(膜厚100nm)を堆積して別の試料を作成した。
【0107】
次にN2 /H2 /H2 O雰囲気中における800〜1000℃の温度範囲で30分間の酸化処理を上記試料に施した。なお、分圧比は前述したものと同様である。
【0108】
次に図5の試料の場合と同様に、このようにして得られた試料の各酸化温度におけるシリコン酸化膜31の膜厚を調べた。
図8にその測定結果を白丸で示す。また、比較例として、表面にWSiN膜32を形成してないシリコン基板31を同じ条件で酸化した場合のW膜23下のシリコン酸化膜31の測定結果も黒丸で示してある。
【0109】
図8からからWSiN膜32/W膜23を形成した試料は比較例に比べてシリコン酸化膜31の膜厚増加は著しく抑制されていることが分かる。つまり、WSiN膜32を形成することにより、窒素の再分布に伴う拡散防止機能を補うことが可能となる。
【0110】
以上の結果から、WSiN膜32は酸化防止層として極めて有効であり、W膜23と薄いシリコン酸化膜31との間にWSiN膜を介在させた構造を採用することにより、後酸化によるシリコン酸化膜31の膜厚増加を効果的に防止できることが分かる。
【0111】
(第4の実施形態)
図9は、本発明の第4の実施形態に係るゲート電極(メタルゲート)の形成方法を段階的に示す工程断面図である。
【0112】
まず、図9(a)に示すように、単結晶のシリコン基板40上にゲート酸化膜としての薄いシリコン酸化膜41(膜厚4nm)を形成し、その上に反応性スパッタリング法によってWSiN膜42(膜厚1nm)を堆積する。
【0113】
続いて、スパッタリング法によってWSiN膜42上にタングステン膜43(膜厚100nm)を堆積した後、その上にCVD法によりシリコン窒化膜44(膜厚200nm)を堆積する。
【0114】
さらに、シリコン窒化膜44上にフォトレジスト(膜厚1μm)をスピンコート法により塗布した後、このフォトレジストをフォトマスクを通して露光し、現像して、例えば0.15μm幅のフォトレジストパターン45を形成する。
【0115】
次に図9(b)に示すように、ドライエッチング装置を用いて、レジストパターン45に沿ってシリコン窒化膜44をエッチングした後、残存したフォトレジストパターン45をO2 アッシングにより剥離する。
【0116】
次に図9(c)に示すように、シリコン窒化膜44をエッチングマスクに用いて、タングステン膜43およびWSiN膜42をエッチングする。
【0117】
次に図9(d)に示すように、タングステン膜43およびWSiN膜42のエッチング時に削られたゲート部以外の薄い酸化シリコン膜41の回復を行うために、N2 /H2 /H2 O雰囲気中でシリコンの選択酸化(後酸化)を行う。
【0118】
酸化条件は、例えば、分圧比P(N2 ) /P(H2 ) /P(H2 O) =0.9951/0.040/0.009[atm]、酸化温度800℃、酸化時間30分間である。このとき、タングステン膜43と薄いシリコン酸化膜41との間のWSiN膜42が酸化剤の拡散を妨げるため、酸化剤はタングステン膜43側から進入することはできない。したがって、タングステン膜43下に位置するゲート酸化膜であるシリコン酸化膜41はほとんど酸化されず膜厚は増加しないので、ゲート酸化膜の膜厚増加による駆動能力の低下は生じない。
【0119】
なお、図9(e)に示すように、酸化剤46はタングステン膜43下に位置するシリコン酸化膜41の側面からは拡散するので、シリコン酸化膜41のゲートエッジ下の部分47では、シリコン酸化膜41はゲート部の中央部に向かってバーズピーク状に食い込んだ形状となるが特性上の問題はない。
【0120】
(第5の実施形態)
図10は、本発明の第5の実施形態に係るゲート電極(ポリメタルゲート)の形成方法を段階的に示す断面図である。
【0121】
本実施形態が第1〜第4の実施形態と主として異なる点は、酸化防止膜の材料の一つである窒素の代わりに、炭素を用いたことにある。すなわち、本実施形態の酸化防止膜は、炭素とシリコンと高融点金属から形成されている。
【0122】
まず、図10(a)に示すように、単結晶のシリコン基板50上にゲート酸化膜としての薄いシリコン酸化膜51(膜厚5nm)を形成し、その上にCVD法により多結晶シリコン膜52(膜厚100nm)を堆積する。
【0123】
続いて、多結晶シリコン膜52上に、例えばWSiX をターゲットにArガスとCH4 ガスをスパッタガスとして用い、反応性スパッタリング法によってWSiC膜53(膜厚2nm)を堆積し、引き続いて、その上にスパッタリング法によってタングステン膜54(膜厚100nm)を堆積した後、その上にCVD法によりシリコン窒化膜55(膜厚200nm)を堆積する。
【0124】
さらに、シリコン窒化膜55上にフォトレジスト(膜厚1μm)をスピンコート法により塗布した後、このフォトレジストをフォトマスクを通して露光し、現像して、例えば0.25μm幅のフォトレジストパターン56を形成する。
【0125】
次に図10(b)に示すように、ドライエッチング装置を用いて、フォトレジストパターン56に沿ってシリコン窒化膜55をエッチングした後、残存したフォトレジストパターン56をO2 アッシングにより剥離する。
【0126】
次に図10(c)に示すように、シリコン窒化膜55をエッチングマスクに用いて、タングステン膜54、WSiC層53および多結晶シリコン膜52をエッチングする。
【0127】
次に、図10(d)に示すように、多結晶シリコン膜52のエッチング時に削られたゲート酸化膜51の回復と多結晶シリコン膜52のコーナー部分を酸化するために、N2 /H2 /H2 O雰囲気中でシリコンの選択酸化(後酸化)を行う。
【0128】
酸化条件は、例えば、分圧比P(N2 ) /P(H2 ) /P(H2 O) =0.9951/0.040/0.009[atm]、酸化温度800℃、酸化時間30分間である。
【0129】
この選択酸化により、ゲート酸化膜51は元の膜厚まで回復し、また、酸化膜57により多結晶シリコン膜のコーナー部分が丸められる。この結果、ゲート電極のコーナー部分における電界集中が避けられ、さらにはゲート酸化膜51の信頼性が向上する。
【0130】
このとき、第1の実施形態の場合と同様に、タングステン膜54と多結晶シリコン膜52との間のWSiC膜53が、酸化剤の拡散を防止するため、酸化剤はタングステン膜54側から進入することはできない。
【0131】
したがって、タングステン膜54と多結晶シリコン膜52との界面における多結晶シリコン膜52はほとんど酸化されないので、コンタクト抵抗の上昇を防止でき、RC遅延を抑制できるようになる。その他、本実施形態でも第1の実施形態と同じ効果が得られる。
【0132】
なお、酸化剤は多結晶シリコン膜52の側壁からは拡散するので、多結晶シリコン膜52の側面にシリコン酸化膜57が選択的に形成される。このシリコン酸化膜57は、多結晶シリコン膜52の側面の上部および下部において中央に向かってバーズピーク状に食い込んだ形状となる。
【0133】
なお、本実施形態ではWSiC層の形成方法として、WSiX をターゲットに用いた反応性スパッタリング法を選んだが、Wをターゲットに、ArガスとCH4 ガスをスパッタリングガスとして用い、反応性スパッタリング法により炭化タングステン(WC)膜を堆積し、その後に加熱処理を施すことにより、WSiC膜53を形成しても良い。
【0134】
また、成膜方法はスパッタリング法に限らず、CVD法によりWSiC層53を形成しても良い。例えば、WF6 とSiH4 とCH4 ガスを用いて、WSiC層53を形成しても良い。さらにまた、反応性スパッタリング法、CVD法において、炭素系のガスとしてCH4 ガスを選んだが、C2 9 ,C3 8 ,C2 2 等でもよい。
【0135】
(第6の実施形態)
図11、図12は、本発明の第6の実施形態に係る電界効果型トランジスタ(MOSFET)の形成方法を段階的に示す工程断面図である。
【0136】
まず、図11(a)に示すように、単結晶のシリコン基板60の表面に素子分離絶縁膜61を形成して素子分離を行なう。次いで、素子分離絶縁膜61により囲まれたシリコン基板60の表面にゲート酸化膜62(膜厚5nm)を形成した後、その上にCVD法によって多結晶シリコン膜63(膜厚100nm)を形成する。
【0137】
続いて、多結晶シリコン膜63上に反応性スパッタリング法によって窒化タングステン膜64(膜厚5nm)を形成し、引き続き、反応性スパッタリング法によって窒化タングステン膜64上にタングステン膜65(膜厚100nm)を形成する。
【0138】
次に図11(b)に示すように、800℃程度の加熱処理を行なうことで、タングステン膜65と多結晶シリコン膜63との界面に極薄いWSiN層66を形成する。次いで、CVD法によりタングステン膜65上にシリコン窒化膜67(膜厚200nm)を形成する。なお、このシリコン窒化膜67の成膜温度は800℃程度なので、予め上記加熱処理を行なわずに、この成膜工程で上記加熱処理を兼ねても良い。
【0139】
次いで、シリコン窒化膜67上にフォトレジスト(膜厚1μm)をスピンコート法により塗布した後、このフォトレジストをフォトマスクを通して露光し、現像して、例えば0.25μm幅のレジストパターン68を形成する。
【0140】
次に、図11(c)に示すように、ドライエッチング装置を用いて、レジストパターン68に沿ってシリコン窒化膜67をエッチングする。この後、残存したレジストパターン68を02 アッシングにより剥離する。続いて、シリコン窒化膜67をエッチングマスクとして用いて、タングステン膜65、WSiN層66、多結晶シリコン膜63を順次エッチングする。
【0141】
次に、図11(d)に示すように、多結晶シリコン膜63のエッチング時に削られた薄いゲート酸化膜62の膜厚を回復させるためと、多結晶シリコン膜63の底部のコーナー部分69を丸めるために、N2 /H2 /H2 O雰囲気中でそれぞれのガス分圧を制御しながら、700〜900℃の温度範囲でシリコンの選択酸化を行なう。この酸化によりシリコンだけが酸化され、またコーナー部分69が丸められるため、この部分に電界が集中することによるFETの信頼性の低下を防止できる。
【0142】
この酸化後に多結晶シリコン膜63とタングステン膜65との界面付近に酸化膜が形成されたり、成長したりすることは見られず、WSiN層66が外部雰囲気からの酸化剤の内方拡散を防止していることが確認された。
【0143】
同様な効果は、N2 /H2 /H2 O雰囲気だけではなく、微量酸素中、微量水蒸気またはH2 とO2 との混合ガス雰囲気や,COとCO2 との混合ガス雰囲気でも確認された。
【0144】
次に図11(e)に示すように、イオン注入等により浅い不純物拡散層(ソース・ドレイン拡散層)70を形成した後、側壁絶縁膜として窒化シリコン膜71を形成する。その結果、タングステン膜65は窒化シリコン膜67,71によって囲まれるため、例えば酸化雰囲気に曝してもタングステン膜65が酸化されることはない。また、タングステン膜65は、過酸化水素等の溶液に可溶な物質であるが、本構造を採用することにより溶液の侵入を防止できる。
【0145】
次に図12(a)に示すように、イオン注入等により深い不純物拡散層(ソース・ドレイン拡散層)72を形成した後、この不純物拡散層72上に金属シリサイド層73を形成する。
【0146】
次に、図12(b)に示すように、全面に層間絶縁膜74を形成した後、化学的機械的研磨(CMP)法等により、層間絶縁膜74の表面を平坦化する。次いで、層間絶縁膜74にフォトレジスト(膜厚1μm)をスピンコート法により塗布した後、このフォトレジストをフォトマスクを通して露光し、現像して、例えば穴径0.3μmレジストパターン75を形成する。
【0147】
次に、図12(c)に示すように、ドライエッチング装置を用いて、レジストパターン75をエッチングマスクに用い、層間絶縁膜74をエッチングして、コンタクトホールを開口した後、レジストパターン75を剥離する。このときのエッチング条件は、例えば、電力密度2.0W/cm2 、圧力40mTorr、流量C4 3 /CO/Ar=10/100/200SCCMとする。
【0148】
この場合、層間絶縁膜74は約400nm/分でエッチングされるのに対し、窒化シリコン膜67,71は約10nm/分でエッチングされるため、層間絶縁膜74の窒化シリコン膜67,71に対する選択比は約40となる。
【0149】
したがって、レジストパターン75の形成工程において、穴の一部がタングステン膜65、WSiN膜66および多結晶シリコン膜63からなる積層構造のゲート電極にかかったとしても、窒化シリコン膜67,71はエッチングされないので、ゲート電極の露出を招かずに、不純物拡散層72に対するコンタクトホールを形成することができる。したがって、レジストパターン75の位置精度のマージンが広くなる。
【0150】
次に図12(d)に示すように、選択CVD法等の成膜法を用いて、コンタクトホール内にタングステン膜77を選択的に形成する。このとき、窒化シリコン膜67,71がゲート電極を覆っているため、不純物拡散層72とゲート電極とが電気的に接触して、リーク電流が流れることはない。
【0151】
以上述べたように、本実施形態によれば、ゲート電極76が窒化シリコン膜67,71によって囲まれた構造を採用しているので、レジストパターン75の位置がゲート電極76側にずれても、不純物拡散層72とゲート電極76との間にリーク電流が流れることなく、かつレジストパターン75の位置合せのマージンは広がる。
【0152】
一方、従来のMOSFETは、不純物拡散層72の幅を広げ、レジストパターン75の位置をゲート電極76から可能な限り離すことで、レジストパターン75のずれに起因するトランジスタ特性の劣化を防いでいるため、MOSFETのサイズは必然的に大きくなる。すなわち、本実施例のようにゲート電極76を窒化シリコン膜67,71で囲む構造を採用すれば、素子サイズを従来に比べて縮小することができる。
【0153】
(第7の実施形態)
図13は、本発明の第7の実施形態に係るEEPROM用電界効果型トランジスタ(MOSFET)の形成方法を段階的に示す工程断面図である。
【0154】
まず、図13(a)に示すように、単結晶シリコンからなる基板80上にトンネル酸化膜81(膜厚5nm)を形成し、その上に化学的気相成長(CVD)法により多結晶シリコン膜82(膜厚300nm)を堆積する。
【0155】
次に、多結晶シリコン膜82上にCVD法によりONO(Oxide Nitride
Oxide)膜83(膜厚16nm)を堆積し、その上に反応性スパッタリング法によってWSiN膜84(膜厚2nm)を堆積し、引き続いて、その上にスパッタリング法によってタングステン膜85(膜厚100nm)を堆積する。
【0156】
次に図13(b)に示すように、タングステン膜85上にCVD法によりシリコン窒化膜86(膜厚200nm)を堆積した後、このシリコン窒化膜86上にフォトレジスト(膜厚1μm)をスピンコート法により塗布し、このフォトレジストをフォトマスクを通して露光し、現像して、例えば0.25μm幅のレジストパターン87を形成する。
【0157】
次に図13(c)に示すように、ドライエッチング装置を用いて、レジストパターン87に沿ってシリコン窒化膜86をエッチングした後、残存したレジストパターン87をO2 アッシングにより剥離する。次いで、シリコン窒化膜86をエッチングマスクにして、タングステン(W)85、WSiN層84、ONO層83、そして多結晶シリコン膜82をエッチングする。
【0158】
次に図13(d)に示すように、N2 /H2 /H2 O雰囲気中で各々のガス分圧を制御しながら、700〜900℃でシリコンの選択酸化を行なう。これは、多結晶シリコン膜82のエッチング時に削られたトンネル酸化シリコン膜81の膜厚回復と多結晶シリコン底部のコーナー部分88を丸めるためである。この酸化によりシリコンだけが酸化され、底部コーナー部分の電界集中による信頼性の低下を防止できる。
【0159】
この酸化後に多結晶シリコン82の上部が酸化されたり、ONO膜83の膜厚が増加したりすることは見られず、WSiN層84が外部雰囲気からの酸化剤の内方拡散を防止していることが確認された。
【0160】
同様な効果は、N2 /H2 /H2 O雰囲気だけでなく、微量酸素中、微量水蒸気もしくはH2 とO2 の混合ガス雰囲気やCOとCO2 の混合ガス雰囲気でも確認された。
【0161】
EEPROMに用いられるトランジスタでは、コントロールゲート電極(タングステン膜85)とフローティングゲート電極(多結晶シリコン膜82)との間にあるONO膜が電荷蓄積用の絶縁膜に用いられている。よって、ONO膜の膜厚は、蓄積容量を規定しており、この膜厚が増加すると、蓄積容量が低下する。
【0162】
ここで、本実施形態によれば、ONO膜上に酸化防止膜を配置することにより、ONO膜厚の増加を防ぐことが可能となる。したがって、トランジスタ特性を劣化することなく、かつトンネル酸化膜の信頼性を向上することができる。
【0163】
なお、本実施形態では、電荷蓄積用絶縁膜としてCVD法により形成したONO膜を用いたが、酸素および窒素原子を含む雰囲気中で加熱処理によって形成しても良い。さらには、CVD法と加熱処理との組み合わせにより形成しても良い。
【0164】
なお、本発明は上述した実施形態に限定されるものではない。例えば、上記実施形態では、酸化防止膜に含まれる高融点金属としてタングステンを用いた場合について説明したが、高融金属点の窒化物を形成する際に生じるギブスの自由エネルギーの低下値から、シリコンの窒化物を形成する際に生じるギブスの自由エネルギーの低下値を差し引いた値が負となる高融点金属であれば、同様な効果が得られる。具体的には、Mo、Cr、Coなどがあげられる。
【0165】
また、酸化防止層は、窒素および炭素の両方を含んでいても良い。
【0166】
また、上記実施例では、ゲート電極の場合について説明したが、本発明は他の電極にも適用できるし、さらに配線にも適用できる。特にワード配線等のRC遅延が顕著な配線に適用すると良い。また、本発明は、MOSトランジスタ以外の素子にも適用できる。
【0167】
以上シリコンの選択酸化技術を応用したポリメタルゲート、メタルゲートにおいて、シリコンの望ましくない酸化に基づくRC遅延を抑制できるゲート構造と、その製造方法を説明した。これ以降の実施形態では、ポリサイドやポリメタル等の積層ゲート構造において、シリコン中の不純物が高融点金属あるいは高融点金属シリサイドの中に熱拡散することを防止するゲート構造とその製造方法について説明する。
【0168】
(第8の実施形態)
図17、図18は、本発明の第8の実施形態における多層構造試料中の、不純物拡散防止効果を示す2次イオン質量分析のデータである。多層構造の試料の各層の厚さは、図17(a)の上部に、図の横軸に対応するスケールを設けて示している。
【0169】
即ち、シリコン基板(スケールには示されていない)上に厚さ100nmのSiO2 層を成長し、次に不純物としてAsまたはB(ボロン)を濃度1×1020/cm2 含んだ多結晶シリコン層を厚さ100nm成長した。その上に、混合比が1:1のArとN2 の混合ガス雰囲気中で、Wのターゲットをスパッタする反応性スパッタ法を用いてWを堆積するか、またはWSix ターゲット(x=2〜3)を前記混合ガス雰囲気中で、反応性スッパタ法を用いて堆積する方法により、厚さ5nmのWSix y からなる拡散防止層を堆積した。引き続き最上層にスパッタ法を用いてWを厚さ100nm堆積し、第8の実施例における多層構造試料を作成した。
【0170】
前記Asを含む多結晶シリコン層を有する試料中の不純物拡散効果を評価するため、この試料をN2 雰囲気中で800℃、30分、または950℃、30分熱処理したときの、不純物の深さ方向の分布を図17に示す。図17(a)の分析結果を詳細に説明すれば次の通りである。
【0171】
2次イオン質量分析では、1次イオンビームを多層構造試料に照射してエッチングし、このとき放出される2次イオンを質量分析することにより、材料の組成を求める。このようにして、エッチングの深さと組成との関係が得られる。図17(a)の横軸はエッチングの深さであり、前記多層構造試料の各層の厚さの累積値に相当する。縦軸は検出した2次イオンの強度である。
【0172】
図17(a)に示すように、800℃、30分の熱処理の後、W層からなる試料表面の100nmの範囲にWのほかW+NとSiが見られたが、多結晶シリコン中の不純物Asは、Wと前記多結晶シリコンとの界面にWSix y 拡散防止層が存在が存在するため、表面部分を除いて、Wへの拡散が十分抑制されることが分かった。なお、図17(a)において、多結晶シリコン層,SiO2 層中にもWやW+N等が存在するように見えるが、これは1次イオンビームによるエッチング形状の裾引きによるみかけのものである。
【0173】
多層構造の最上層に純粋なWを形成すれば多層構造の抵抗値を低減することができるが、ここに不純物が拡散するとW下のSi中の不純物濃度低下によるゲート空乏化や、CMOS(相補型MOS)におけるn,p領域間の不純物相互拡散を生じる原因となる。WとSiおよびNは安定な化合物を形成するので、図17(a)に示す程度、これらの元素がW中に導入されても、抵抗増大の原因とはならず、膜質劣化を生ずる恐れもない。従って厚さ5nmのWSix y 拡散防止層を介在させれば、多層構造の信頼性の向上に大きく役立つことが分かった。
【0174】
同一試料を950℃において、30分熱処理したときの分析結果を図17(b)に示す。図17(a)に比べれば、W中のAsの量が約1桁増加したが、この結果から換算されるW中のAsの濃度は1×1018/cm3 と、極めて微量の範囲内であるため、通常行われるLSIの熱工程においては、前記WSix y 層の拡散防止効果は十分であると考えることができた。
【0175】
多結晶シリコン層に不純物として、Bを含ませた場合の分析結果を図18に示す。800℃、および950℃、各30分の熱処理における、W中へのBの拡散は実用上無視し得るほど小さいことが分かった。また、同様の効果は、多結晶シリコン中に添加された前記As、B以外の他のドナー、アクセプタ不純物に対しても得られることがわかった。
【0176】
(第9の実施形態)
次に、図19に基づき、本発明の第9の実施形態を説明する。図19(a)〜図19(c)は本発明の多層構造を用いた半導体装置の製造方法を示す断面図である。
【0177】
図19(a)に示すように、シリコン基板501にBをイオン注入し、引き続き熱拡散を行うことにより、深さ約1μmのp型領域502を形成する。次に所定の領域に厚さ約600nmの素子分離用酸化膜503を形成した後、厚さ約10nmの保護酸化膜504を形成し、MOSFETの閾値を合わせるためのイオン注入を行う(斜線部505)。
【0178】
次に、図19(b)に示すように、保護酸化膜504を剥離した後、再び数nmから数十nmの酸化を行い、ゲート酸化膜506を形成する。
【0179】
引き続きCVD法により、非晶質シリコンを厚さ100nm堆積し、イオン注入により非晶質シリコン中にP(リン)を導入する。不純物元素の導入にはイオン注入のほか、気相または固相からの拡散を用いてもよい。いずれの場合も不純物濃度は約2×1020/cm3 以上とする。非晶質シリコン中にイオン注入したPの活性化熱処理は、800℃で30分行う。この熱処理によって前記非晶質シリコンは多結晶シリコン507に変化する。
【0180】
次に稀弗酸処理を行うことにより、多結晶シリコン507上に生じた自然酸化膜を除去した後に、Wターゲットを用いて、ArとN2 の混合ガス中で反応性スパッタを行うことにより、膜厚5nm程度のWSix y 膜508を形成する。引き続きWのターゲットを用いてAr雰囲気中で反応性スパッタリングを行うか、または、WF6 、SiH4 ガスを用いたCVD法により、厚さ約100nmのW膜509を形成する。
【0181】
次にSiH2 Cl2 、NH3 ガスを用いた成長温度800℃、30分のLP(Low Pressure)CVD法により、厚さ約250nmのSiNx 膜510を形成する。
【0182】
この800℃、30分程度のSiNx 膜の形成工程により、従来多結晶シリコン中に含まれる不純物がW中に拡散し問題になっていたが、拡散防止膜として本発明のWSix y 膜508を用いることにより、多結晶シリコン膜507からW膜509への不純物拡散を抑制することができる。
【0183】
次にレジストを用いて所望のゲート電極または配線のパターンを形成し、これをマスクとしてSiNx 膜510をRIE法を用いて除去し、前記SiNx 膜をマスクとしてW膜509、WSix y 膜508、および多結晶シリコン膜507をRIE法を用いてパターニングし、多層構造のゲート電極または配線を形成する。
【0184】
次に、H2 O、H2 ,N2 雰囲気中で800℃、30分の選択酸化を行って図19(c)に示す酸化膜511を形成する。選択酸化によりWを酸化することなく、シリコンのみを酸化することができ、シリコン基板表面およびゲート電極の多結晶シリコンの側面に酸化膜を形成することができる。
【0185】
次にソース/ドレイン領域に、加速電圧20keV、ドーズ量5×1014/cm2 の条件で、Asが浅くイオン注され、LDD(Lightly Doped Drain )領域512を形成する。引き続きゲート電極上に厚さ約50nmのSiNx を形成した後、RIE法を用いて異方性エッチングを行うことにより、図19(c)に示すようにゲート側壁にSiNx 膜513が形成されたゲート構造を得る。このように側壁を設けたゲートの上から、加速電圧60keV、ドーズ量7×1015/cm2 でAsを深くイオン注入することにより、ソース/ドレイン領域514を形成する。
【0186】
注入したAsを活性化するために、N2 雰囲気中で温度900℃、30秒の熱処理を行った後、通常の方法で層間絶縁膜の形成、Alコンタクトおよび配線等を行うことにより、WSix y 拡散防止層を有するゲート電極を具備する、側壁絶縁膜付きセルフアラインゲート構造のMOSFETを得ることができる。
【0187】
本発明の方法によれば、多層金属ゲート形成後に行う前記800℃、30分の選択酸化処理、As不純物活性化の高温熱処理、およびCVD法を用いた層間膜形成における800℃、1時間程度の熱工程においても、前記多層金属ゲートを構成する多結晶シリコン膜507に2×1020/cm3 の高濃度で含まれるPがW膜509に拡散することがなく、従って低抵抗でかつ信頼性の高いゲート電極を具備するMOSFETが得られる。
【0188】
(第10の実施形態)
次に図20を参照して、本発明の第10の実施形態を説明する。図20(a)に示すように、シリコン基板601中にBをイオン注入し、熱拡散を行うことにより、深さ約1μmのp型領域602を形成する。所定の領域に厚さ約600nmの素子分離酸化膜603を形成し、保護酸化膜(不図示)を形成した後、MOSFETの閾値を合わせるためのイオン注入を行う(斜線部605)。
【0189】
保護酸化膜を剥離した後、再び厚さ約10nmの酸化を行いトンネル酸化膜615を形成する。引き続きこの酸化膜615にNH3 雰囲気中で1000℃、30秒程度の窒化処理を行ない、さらに引き続き1000℃、30秒程度の再酸化処理を行う。前記窒化および再酸化処理は、トンネル酸化膜の界面準位や酸化膜中のトラップを減少させる効果がある。
【0190】
次に、多結晶シリコン膜616を約200nm堆積し、POCl3 中で850℃、30分の熱処理を行うことにより、多結晶シリコン中にPを導入する。
次に、多結晶シリコン上に厚さ約10nmの酸化膜617を熱酸化により形成し、引き続きLPCVD法により厚さ約10nmのSiNx 膜618を形成後、そのSiNx 膜表面を900℃で30分酸化し、酸化膜619を形成する。その上に厚さ100nmの多結晶シリコン膜607を堆積し,POCl3 雰囲気中で850℃、60分の熱処理を行うことにより、多結晶シリコン607にPを導入する。
【0191】
その後、前記第9の実施形態と同様の工程を経て多結晶シリコン607の上に、WSix y 膜608、W膜609、SiNx 膜610を図20(a)に示すように堆積し、図20(b)に示すようにレジストパターンを用いて多層構造のゲート電極をトンネル酸化膜615の上に形成する。
【0192】
ソース/ドレイン領域にAsを加速電圧60keV,ドーズ量約1×1016/cm2 でイオン注入の後、注入した不純物を活性化するため900℃、30分の熱処理を行う。その後層間膜形成とAl配線等を形成することにより、多結晶シリコン浮遊ゲート616と、絶縁層617、618、619からなる3層の絶縁層を介して形成された積層構造の制御ゲート(607−610)を具備した、不揮発性メモリ用MOSFET素子が得られる。
【0193】
このように制御ゲートにWSix y 膜608を介在させることにより、前記制御ゲート形成後の熱工程に対してゲート電極の耐熱性は著しく向上し、高信頼性の不揮発性メモリ用MOSFET素子を得ることができる。
【0194】
(第11の実施形態)
次に図21に基づき本発明の第11の実施形態を説明する。本実施例は第10の実施形態の変形例であり、前記WSix y 膜608を形成後、W膜609に替えてWSix 膜621を形成する。前記WSix y 膜608形成までの工程については、第15の実施例と同じであるため説明を省略する。WSix 膜621は、W5 Si3 をターゲットとしてAr雰囲気中でスパッタするか、またはWF6 ,SiH4 を原料ガスとするCVD法により、厚さ約300nmに堆積される。
【0195】
レジストを用いてパターニングの後、シリコン膜616を選択酸化し、ソース/ドレイン領域へ加速エネルギー60keV、ドーズ量5×1015/cm2 の条件でAsをイオン注入する。引き続き注入された不純物の活性化を兼ねて,O2 雰囲気中で900℃、60分程度の酸化処理を行う。このときの酸化量はゲートに要求される耐圧の大きさに応じて適宜に決定する。
【0196】
この酸化処理工程において、前記Asのイオン注入による酸化速度増大のため、WSix 膜621中のSiの消費が増加し、下地の多結晶シリコン膜607からSiがWSix 膜621に供給されるようになる。このため、WSix 膜621と多結晶シリコン膜607との界面は、WSix が多結晶シリコン中に食い込んだ形となり、耐圧劣化の原因となることがわかった。
【0197】
本発明によれば、多結晶シリコン膜607とWSix 膜621との間に、WSix y 拡散防止膜608を形成したことにより、前記酸化処理工程中に、多結晶シリコン中に高濃度に含まれる不純物がWSix 膜621中に拡散することが防止されると同時に、下地多結晶シリコン膜607からWSix 膜621へのSiの吸い出しが抑制されるため、耐圧劣化は見られなかった。
【0198】
次に層間絶縁膜とAl配線等を行うことにより高信頼性の不揮発性メモリ用MOSFET素子を得ることができる。
【0199】
(第12の実施形態)
図22は本発明の第12の実施形態に係わる相補型MOSFET(CMOSFET)の構成を示す断面図である。各々のMOSFETは、シリコン膜707または707’、W膜709を含む積層ゲート構造を有している。
【0200】
前述のように、ポリサイドやポリメタル等の積層構造では、熱工程で熱の影響を受け易く、シリコン中の不純物が熱拡散により高融点金属またはシリサイド中に拡散してしまうという欠点がある。このような拡散により、シリコン中の不純物濃度が低下し、ゲート電圧を反転側に印加した場合には、図23(b)に示すようにゲートシリコン802中に空乏層802’が現れ、トランジスタの駆動能力を低下させる。この現象はゲート空乏化として知られている。なお、図23(a)はゲート電圧が印加されない状態を表し、参照番号801はシリコン基板、806はゲート絶縁膜、802はシリコン膜、804はW膜、805はソース/ドレイン領域をそれぞれ表す。
【0201】
また、CMOSFETに上記の積層構造を用いた場合、図24(a),(b)に模式的に示すように、高融点金属(またはシリサイド)804中に拡散した不純物(矢印810で示す)がp型、n型領域を相互に拡散してゲートの仕事関数を変え、閾値電圧が変化するという問題がある。この現象は、一般にCMOSの不純物相互拡散と呼ばれる問題である。
【0202】
本実施例は、上記の不純物相互拡散を抑制する構成を提供する実施例である。図25ないし図28に示した製造プロセスに従って、本実施例の説明を行う。
【0203】
まず、フォトリソグラフィ技術を用いて所定の領域にレジストパターンを形成し、これをマスクとしてシリコン基板にBまたはGaまたはInをイオン注入する。同様に所定の領域にPまたはAsまたはSbをイオン注入する。引き続き熱拡散を行って、深さ約1μmのp型領域722とn型領域722’を形成する(図25)。
【0204】
次に所定の領域に厚さ600nmの素子分離用酸化膜703を形成する(図26(a))。
次に厚さ10nm程度の保護酸化膜形成後,MOSFETの閾値を合わせるためのイオン注入を行い、前記保護酸化膜を剥離した後再度厚さ約10nmのゲート酸化膜706を形成する(図26(b))。引き続き厚さ約100nmのシリコン膜707を堆積する。このときシリコン707は非晶質または多結晶、またはシリコン基板と部分的に接触させて、横方向エピタキシャル成長により、単結晶としたものであってもよい。
【0205】
p型領域722上のシリコン膜707のゲート形成領域に、レジストをマスクとしてP,As,Sb等のn型不純物をイオン注入し、この領域をn+ とする。同様に722’上のシリコン膜707’のゲート形成領域に、レジストをマスクとしてB,Ga,In等のp型不純物をイオン注入し、これをp+ とする。ゲート領域への不純物元素の導入には気相や固相からの拡散を用いてもよいが、不純物濃度はいずれの場合も2×1020/cm3 以上となるようにする(図26(c))。
【0206】
次に、例えば希弗酸処理により、工程中シリコン膜707および707’の表面に形成された自然酸化膜を除去した後に、W5 Si3 のターゲットを用いてAr雰囲気でスパッタするか、またはWF6 とSiH4 系のLPCVD法を用いることにより、厚さ10nm以下のWSix 膜723を形成する(図27(a))。このWSix 膜723は、SiとWのコンタクトを低抵抗化するために形成される。
【0207】
次にW若しくはWSix のターゲットを用いてArとN2 の混合ガス雰囲気中で反応性スパッタを行うことにより、厚さ5nmのWSix y 膜708を形成する(図27(a))。
【0208】
引き続きWターゲットを用いて,Arガス雰囲気中でスパッタするか、またはWF6 系のCVDにより、厚さ100nmのW膜709を形成する(図27(b)))。
【0209】
次に厚さ250nmのSiNx 膜710を800℃、30分のLPCVD法により形成する(図27(b))。このとき従来の工程では、多結晶シリコン707および707’から、それぞれn+ およびp+ 型多結晶シリコン中の不純物がW膜709に向かって拡散し、W膜709の抵抗値を増加させる問題があったが、本発明の拡散防止膜WSix y 708を用いることにより、前記シリコン膜からW膜への不純物拡散を防止することができる。これにより、図23(b)に示したようなゲートの空乏化や図24(b)に示したような相互拡散を防止することができる。
【0210】
続いて、フォトリソグラフィ技術を用いて、所望のゲート電極またはゲート配線の形状にレジストパターン750を形成し(図27(c))、レジストパターン750をマスクとしてSiNx 膜710をRIE法を用いてパターニングする。 次にレジスト750をアッシャーを用いて除去し、パターニングされたSiNx 膜710をマスクとしてW膜709,WSix y 膜708、WSix 膜723およびSi膜707、あるいは707’をRIE法を用いてパターニングし、ゲート電極あるいは配線を形成する(図28(a))。
【0211】
次にH2 O、H2 ,N2 ガス雰囲気中で800℃、30分の選択酸化を行う。この選択酸化によりWを酸化することなくシリコンのみを酸化して、シリコン基板およびゲート電極のシリコン部分の側面に酸化膜711を形成する。
【0212】
次にp型領域722のソース/ドレイン領域にAsを加速電圧20keV、ドーズ量5×1014/cm2 の条件でイオン注入する。またn型領域722’のソース/ドレイン領域にはBF2 を加速電圧20keV、ドーズ量5×1014/cm2 の条件でイオン注入する。これにより、低濃度のソース/ドレイン領域712、712’を形成する(図28(b))。
【0213】
次にCVD法により、厚さ50nm程度のSiNx 膜を堆積し、引き続きRIE法を用いて異方性エッチングを行うことにより、ゲート側壁にSiNx 膜713を形成する(図28(b))。
【0214】
その後、p型領域722のソース/ドレイン領域にAsを加速電圧60keV、ドーズ量7×1015/cm2 の条件でイオン注入する。またn型領域722’のソース/ドレイン領域にはBF2 を加速電圧60keV、ドーズ量7×1015/cm2 の条件でイオン注入する。これにより、深いソース/ドレイン領域714、714’を形成する(図28(b)。
【0215】
以下通常の方法で層間膜を形成し、Al配線を行うことにより、信頼性に優れた相補型のMOSFETを得ることができる。
【0216】
本発明によれば、シリコンと金属または金属シリサイドとの界面に拡散防止層を形成することにより、熱工程によってシリコン中の不純物が金属または金属シリサイド中へ拡散することを抑制することができる。例えば、拡散防止層としてWSix y を用いて、W/WSix y /Siの積層構造を形成した場合、Si中に1×1020/cm3 のAsを含む試料に、950℃30分の熱工程を加えたとき、W中のAs濃度は1×1018/cm3 以下である。従ってこの程度の熱処理を加えてもW中の不純物濃度は十分低く抑えられているので,CMOSFETにおける相互拡散が起こらない。また、Si中の不純物濃度はほぼ1×1020/cm3 を保つのでゲート空乏化が起こることもない。
【0217】
なお、上記の実施形態では、高融点金属としてW系の金属を用いたポリサイド、またはポリメタル構造について説明したが、本発明の適用範囲はこれに限定されるものではなく、その他の高融点金属または高融点金属シリサイドとシリコンとの界面に高融点金属とシリコンと窒素とを含む合金から成る拡散防止層を形成することにより達成することができる。また、前記拡散防止層には前記3元素のほかに、酸素、炭素を含んでもよい。
【0218】
上述したように、本発明の半導体装置とその製造方法(実施形態8ないし12)によれば、ポリサイドまたはポリメタル構造の電極または配線において、多結晶シリコン中の不純物の金属または金属シリサイド中への拡散を抑制することができるので、電気的特性に優れ、かつ信頼性の高い半導体装置とその製造方法を得ることができる。
【0219】
【発明の効果】
以上詳述したように本発明(請求項1)によれば、高融点金属からなる金属膜と半導体膜との間に導電性の酸化防止膜を設けた構造の電極(配線)を採用しているので、後酸化工程における金属膜と半導体膜との界面における該半導体膜の酸化を防止でき、コンタクト抵抗の上昇を抑制できる。したがって、高融点金属を用いた利点が十分に発揮できるようになる。
【0220】
また、本発明(請求項2)によれば、高融点金属からなる金属膜の下に導電性の酸化防止膜電極を設けた構造の電極(配線)を採用しているので、後酸化の工程における電極(配線)下の半導体層の酸化を防止でき、該絶縁膜の厚膜化による素子特性の劣化を防止できる。したがって、高融点金属を用いた利点が十分に発揮できるようになる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係る試料の形成方法を示す工程断面図。
【図2】図1の試料の酸化膜厚の酸化温度依存性を従来技術と比較して示す図。
【図3】本発明の第2の実施形態に係るゲート電極(ポリメタルゲート)の形成方法を示す工程断面図。
【図4】従来のゲート電極(ポリメタルゲート)の断面図。
【図5】本発明の第3の実施形態に係る試料の形成方法を示す工程断面図。
【図6】図5の試料の酸化膜厚の酸化温度依存性を示す図。
【図7】本発明の第3の実施形態に係る他の試料の形成方法を示す工程断面図。
【図8】図5の試料の酸化膜厚の酸化温度依存性を示す図。
【図9】本発明の第4の実施形態に係るゲート電極(メタルゲート)の形成方法を示す工程断面図。
【図10】本発明の第5の実施形態に係るゲート電極(ポリメタルゲート)の形成方法を示す工程断面図。
【図11】本発明の第6の実施形態に係る電界効果型トランジスタの前半の形成方法を示す工程断面図。
【図12】本発明の第6の実施形態に係る電界効果型トランジスタの後半の形成方法を示す工程断面図。
【図13】本発明の第7の実施形態に係るEEPROM用電界効果型トランジスタの形成方法を示す工程断面図。
【図14】本発明の第1の実施形態に係る試料のXPSによる評価結果を示す図。
【図15】バリア層として窒化チタン膜を用いた従来のゲート電極の製造方法を示す工程断面図。
【図16】従来のゲート電極における酸化剤の進入経路を示す断面図。
【図17】本発明の第8の実施形態におけるAsの拡散抑制効果を示す図。
【図18】本発明の第8の実施形態におけるBの拡散抑制効果を示す図。
【図19】本発明の第9の実施形態に係わるMOSFETの製造方法を示す工程断面図。
【図20】本発明の第10の実施形態に係わる不揮発性メモリ用MOSFETの製造方法を示す工程断面図。
【図21】本発明の第11の実施形態に係わる不揮発性メモリ用MOSFETの構造を示す断面図。
【図22】本発明の第12の実施形態に係わる相補型MOSFETの構造を示す断面図。
【図23】従来の相補型MOSFETの問題点を説明するためのトランジスタの断面図。
【図24】従来の相補型MOSFETの平面図と、不純物の相互拡散を説明するための断面図。
【図25】本発明の第12の実施形態に係わる相補型MOSFETの製造工程を説明するための断面図。
【図26】本発明の第12の実施形態に係わる相補型MOSFETの製造工程の次の段階を説明するための断面図。
【図27】本発明の第12の実施形態に係わる相補型MOSFETの製造工程の次の段階を説明するための断面図。
【図28】本発明の第12の実施形態に係わる相補型MOSFETの製造工程の次の段階を説明するための断面図。
【符号の説明】
1…シリコン基板
2…窒化タングステン膜
3…タングステン膜
4…酸化剤
10…シリコン基板
11…酸化シリコン膜(ゲート酸化膜)
12…多結晶シリコン膜
13…窒化タングステン膜
14…タングステン膜
15…WSiN膜(酸化防止膜)
16…シリコン窒化膜
17…フォトレジストパターン
18…コーナー部分
19…シリコン酸化膜
20…酸化剤
20a…シリコン基板
21…シリコン酸化膜
22…窒化タングステン膜
23…タングステン膜
30…シリコン基板
31…シリコン酸化膜
32…WSiN膜(酸化防止膜)
33…W膜
40…シリコン基板
41…シリコン酸化膜(ゲート酸化膜)
42…WSiN膜(酸化防止膜)
43…タングステン膜
44…シリコン窒化膜
45…フォトレジストパターン
46…酸化剤
47…コーナ部分
50…シリコン基板
51…シリコン酸化膜(ゲート酸化膜)
52…多結晶シリコン膜
53…WSiC膜(酸化防止膜)
54…タングステン膜
55…シリコン窒化膜
56…フォトレジストパターン
57…酸化剤
60…シリコン基板
61…素子分離絶縁膜
62…ゲート酸化膜
63…多結晶シリコン膜
64…窒化タングステン膜
65…タングステン膜
66…WSiN層
67…シリコン窒化膜
68…レジストパターン
69…コーナー部分
70…不純物拡散層
71…窒化シリコン膜
72…不純物拡散層
73…金属シリサイド層
74…層間絶縁膜
75…レジストパターン
80…基板
81…トンネル酸化膜
82…多結晶シリコン膜
83…ONO膜
84…WSiN
85…タングステン膜
86…シリコン窒化膜
87…レジストパターン
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a semiconductor device characterized by a laminated structure electrode (wiring) and having good impurity diffusion prevention performance and a method for manufacturing the same.
[0002]
[Prior art]
In recent years, polycrystalline silicon has been widely used as a material for electrodes and wiring of semiconductor devices. However, with the high integration and high speed of semiconductor devices, signal transmission delay due to the resistance of electrodes and wiring has become a serious problem.
[0003]
This type of delay can be suppressed by reducing the resistance of the electrodes and wiring. For example, in the case of a gate electrode such as a MOS transistor, it can be suppressed by adopting a polycide gate having a two-layer structure of a metal silicide film and a polycrystalline silicon film.
[0004]
However, after the 0.25 μm gate length, a gate electrode having a resistance lower than that of the polycide gate is required. Recently, a polymetal gate having a laminated structure of a refractory metal film, a reaction barrier layer, and a polycrystalline silicon film has attracted attention. Has been.
[0005]
If tungsten (W) is used as the refractory metal, the specific resistance of tungsten is tungsten silicide (WSi). x The RC delay time can be greatly shortened because it is about an order of magnitude smaller than (). Tungsten is a material that easily reacts with polycrystalline silicon by heat treatment at about 600 ° C. However, there is no problem because a reaction barrier layer is sandwiched between the W film and the polycrystalline silicon film.
[0006]
In the future, a metal gate of a refractory metal single layer is considered promising instead of a polymetal gate. Thus, the use of a high melting point metal is indispensable for reducing the resistance of the gate electrode.
[0007]
However, refractory metals such as tungsten are very easily oxidized. For example, tungsten is oxidized at about 400 ° C. Tungsten oxide is an insulator, and tungsten causes volume expansion with oxidation.
[0008]
In general, in an LSI manufacturing process, a process of performing re-oxidation for the purpose of improving the reliability of an oxide film such as a gate oxide film after forming a gate electrode pattern is required. For example, in the case of a polycrystalline silicon gate, after a polycrystalline silicon film is formed on a silicon substrate and patterned to form a gate electrode, an oxidized portion called a bird's beak is formed at the end of the gate oxide film. The As a result, the lower end portion of the gate electrode is rounded and the electric field in the gate portion is relaxed, so that the characteristics and reliability of the element can be improved. Hereinafter, this process is referred to as post-oxidation. WSi with this type of post-oxidation as metal silicide x When applied to a polycide gate using WSi x Is usually Si-rich than the normal composition x = 2.0, so in the post-oxidation step, WSi x The excess silicon inside is oxidized and WSi x SiO also on the surface 2 Thus, the same insulating effect can be obtained by an oxidation method similar to that of crystalline silicon.
[0009]
On the other hand, when this type of post-oxidation is applied to a polymetal gate using W as a refractory metal, W is also oxidized in a normal oxidation process. Three Is formed. At this time, due to the large volume expansion, film peeling or the like occurs, and subsequent processes cannot be continued.
[0010]
Also, O mixed from the atmosphere 2 And H 2 Oxidizing agents such as O may oxidize W before starting the oxidation process, which can cause similar problems. Therefore, in the case of a polymetal gate, a technique (selective oxidation technique) that oxidizes only silicon without oxidizing a refractory metal is required in the post-oxidation process.
[0011]
When the exposed portion of silicon and the exposed portion of the refractory metal such as W are mixed on the same substrate as in the case of the polymetal gate, only the silicon is selectively oxidized without oxidizing the exposed portion of the refractory metal. A selective oxidation method for oxidizing is known (Japanese Patent Laid-Open No. 60-9166).
[0012]
This selective oxidation method uses H as an oxidizing agent. 2 O and H as a reducing agent 2 When oxidizing in a mixed atmosphere with H 2 O / H 2 The partial pressure ratio is set within a certain range.
[0013]
As an application example of this technology, a W single-layer metal gate is replaced with H. 2 / H 2 There is a report of oxidation in an O atmosphere (RFKwasnick et al., J. Electrochem. Soc., Vol 135, pp176 (1988)). According to the experimental results of the reporters, a sample in which a W film (gate electrode) having a thickness of 200 nm is stacked on a thin silicon oxide film (gate oxide film) having a thickness of 5 nm is used. 2 / H 2 As a result of oxidation at 900 ° C. for about 30 minutes in an O atmosphere, the silicon oxide film immediately below the W film was thickened to 20 nm.
[0014]
This phenomenon is caused by the diffusion of the oxidizing agent through the grain boundary of the W film. That is, the selective oxidation technique does not oxidize the W film, but oxidizes silicon in the silicon oxide film immediately below the W film. Therefore, when the selective oxidation is applied to the metal gate, the thickness of the gate oxide film increases, which causes a fatal problem that the driving capability of the transistor is reduced.
[0015]
Considering that the selective oxidation is applied to a polymetal gate having a laminated structure of a W film and a polycrystalline silicon film, it can be easily estimated that the polycrystalline silicon film immediately below the W film is similarly oxidized. Oxidation of the polycrystalline silicon film at the interface between the W film and the polycrystalline silicon film causes an increase in contact resistance at this interface, which causes a problem that RC delay increases.
[0016]
As described above, in order to reduce the resistance of the gate electrode, a metal having a high conductivity is laminated with polycrystalline silicon, and an electrode structure having both high compatibility with the gate insulating film and the substrate and high conductivity is obtained. It may be used, but the combination with a normal metal cannot withstand the high temperature during the LSI manufacturing process. In particular, in the self-aligned ion implantation technique using the gate electrode as a mask recently introduced with the miniaturization and speeding up of the element, it is necessary to perform the activation heat treatment after the impurity implantation after the gate electrode is formed. High heat resistance is required.
[0017]
Further, in the high-temperature heat treatment after the ion implantation at 800 to 900 ° C. including the post-oxidation step, Si atoms or additive impurity atoms are thermally diffused from the polycrystalline silicon into the refractory metal or its silicide. As a result of gate impurity depletion due to lowering of the impurity concentration, or in CMOS (complementary MOS), impurities pass through the refractory metal or silicide and the n and p regions are interdiffused to change the work function. There has been a problem that the threshold voltage fluctuates.
[0018]
[Problems to be solved by the invention]
As described above, the conventional polymetal gate has a problem in that the polycrystalline silicon under the refractory metal film constituting the polymetal gate is oxidized in the post-oxidation process, and the RC delay is increased. Further, the conventional metal gate has a problem that the gate oxide film under the refractory metal, which is the metal gate, is oxidized and thickened in the post-oxidation process, and the driving capability of the transistor is lowered.
[0019]
The present invention has been made in view of the above circumstances, and the object of the present invention is to suppress oxidation of a semiconductor film under the high melting point film in an electrode or wiring using a high melting point metal. Manufacturing method of semiconductor device Is to provide.
[0025]
[Means for Solving the Problems]
The method of manufacturing a semiconductor device according to the present invention includes a step of forming a silicon film on a substrate, and as a refractory metal, At least one of Mo, W, Cr, Co And at least one of nitrogen and carbon and the refractory metal on the silicon film. Compound film consisting of Forming a step; Forming a metal film made of the refractory metal on the compound film; , By heat treatment, Compound The refractory metal film Integrated with the metal film And said Integrated A conductive antioxidant film containing at least one of nitrogen and carbon, the refractory metal, and silicon is formed at the interface between the metal film and the silicon film, and the metal film, the antioxidant film, and the silicon film are formed. Forming at least one of an electrode and a wiring including the laminated film, and the antioxidant film After forming And a step of oxidizing the silicon film.
[0026]
Another method of manufacturing a semiconductor device according to the present invention includes a step of forming a semiconductor film on a substrate, and a step of forming a semiconductor film on the semiconductor film. Including a compound composed of at least one refractory metal of Mo, W, Cr, Co, at least one of nitrogen and carbon, and silicon Forming a conductive anti-oxidation film, and on the anti-oxidation film; Said Forming a metal film made of a refractory metal, etching the laminated film made of the metal film, the antioxidant film, and the semiconductor film to form at least one of an electrode and a wiring including the laminated film; The antioxidant film After forming And a step of oxidizing the semiconductor film.
[0027]
Another method of manufacturing a semiconductor device according to the present invention includes a step of forming an insulating film on a semiconductor region, and a step of forming an insulating film on the insulating film. Including a compound composed of at least one refractory metal of Mo, W, Cr, Co, at least one of nitrogen and carbon, and silicon Forming a conductive anti-oxidation film, and on the anti-oxidation film; Said Forming a metal film made of a refractory metal, etching the laminated film made of the metal film and the antioxidant film to form at least one of an electrode and a wiring including the laminated film, and the antioxidant film After forming And a step of oxidizing the semiconductor region.
[0028]
Another semiconductor device manufacturing method according to the present invention is characterized in that, in the semiconductor device manufacturing method, the step of performing the oxidation treatment is performed in an atmosphere containing hydrogen and water.
[0033]
In addition, the present inventors have provided a reaction preventing film provided between a refractory metal film and a silicon film. The In the process of research, a film made of at least one of nitrogen and carbon, a refractory metal, and silicon not only prevents the reaction between the refractory metal film and the silicon film, but the oxidant is a refractory metal. It has been found that it also has a function of preventing diffusion through the film into the silicon oxide film. Thereby, in a film containing silicon (silicon film, silicon oxide film) underlying a metal film made of a refractory metal, oxidation of the film containing silicon and reaction between the film and the metal film in post-oxidation can be prevented. Become. Further, according to the studies by the present inventors, silicon nitride (carbide) is formed from the Gibbs free energy reduction value that occurs when forming a nitride (carbide) of a refractory metal as a refractory metal. It was found that an antioxidant film composed of a refractory metal, nitrogen (carbon), and silicon can be easily formed by using a negative value obtained by subtracting the Gibbs free energy reduction value generated at the time. Specifically, Mo, W, Cr, Co It is preferable to use a refractory metal such as It has also been found that if the above-described conditions are satisfied, the antioxidant film may contain about 20% oxygen.
[0034]
In the method of manufacturing a semiconductor device for safely performing selective oxidation of silicon in the above invention, a substrate to be processed having an exposed portion of silicon is accommodated in a processing container, and H is contained in the processing container. 2 Gas, H 2 O gas and H 2 While introducing a non-oxidizing gas different from the gas, the H in the processing vessel 2 It is desirable to selectively oxidize the exposed portion of the silicon by setting the partial pressure of the gas to less than 4% and the temperature of the substrate to be processed to 600 ° C. or higher.
[0035]
The semiconductor manufacturing apparatus that performs the selective oxidation includes a processing container that accommodates a substrate to be processed and performs an oxidation process, and an H in the processing container. 2 Gas, H 2 O gas and H 2 Gas introduction means for introducing a non-oxidizing gas different from the gas, and the H in the processing vessel 2 A partial pressure control means for setting the partial pressure of the gas to less than 4% and a heating means for heating the substrate to be processed at a temperature of 600 ° C. or higher may be provided.
[0036]
Further, the semiconductor device manufacturing method and the semiconductor manufacturing apparatus preferably have the following characteristics.
[0037]
(1) Oxidation is performed while maintaining the pressure in the processing vessel at a negative pressure rather than atmospheric pressure.
[0038]
(2) The inside of the processing vessel is once depressurized to 1 Pa or less, and then an oxidation treatment is performed.
[0039]
According to a preferable method of manufacturing a semiconductor device of the present invention, in a state where the substrate temperature is set to a temperature of 600 ° C. or more which is not less than the oxidation limit, 2 Since the partial pressure of the gas is set to a low pressure (low concentration) below the explosion limit, silicon can be selectively oxidized safely.
[0040]
Further, according to a desirable semiconductor manufacturing apparatus of the present invention, H 2 Since the gas partial pressure can be set to a low pressure (low concentration) below the explosion limit, H 2 The gas can be treated in the same way as an inert gas. Therefore, the selective oxidation of silicon can be performed safely without complicating the device configuration and incurring high costs.
[0041]
As an application of the present invention, in an electrode or wiring using a refractory metal, it is possible to provide a semiconductor device and a manufacturing method capable of suppressing the diffusion of impurities from the underlying semiconductor film in the refractory metal.
[0042]
A semiconductor device according to this object includes at least a first layer made of polycrystalline silicon, a second layer formed on the first layer and made of one of metal and metal silicide, and the first layer. And a third layer made of an alloy containing at least tungsten, silicon, and nitrogen, and the third layer is an impurity contained in the first layer. Is suppressed from spreading into the second layer.
[0043]
The semiconductor device manufacturing method includes a first step of depositing a polycrystalline silicon layer on a silicon substrate, and an alloy containing at least tungsten, silicon, and nitrogen on the polycrystalline silicon layer. A second step of forming an impurity diffusion suppression layer that suppresses impurity diffusion from the silicon layer; a third step of forming one of a metal and a metal silicide layer on the impurity diffusion suppression layer; And a step of patterning the laminated structure obtained by the first to third steps.
[0044]
According to the semiconductor device and the method for manufacturing the same, since the diffusion of impurities in the polycrystalline silicon into the metal or metal silicide can be suppressed in the polycide or polymetal structure electrode or wiring, the electrical characteristics are excellent. In addition, a highly reliable semiconductor device and a manufacturing method thereof can be obtained.
[0045]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, embodiments will be described with reference to the drawings.
(First embodiment)
The present inventors made the following samples and evaluated them.
[0046]
First, as shown in FIG. 1A, Ar and N are formed on a single crystal silicon substrate 1 with W as a target. 2 A tungsten nitride film 2 (film thickness of 5 nm) is deposited by a reactive sputtering method using as a sputtering gas. Subsequently, a tungsten film 3 (film thickness 100 nm) is deposited by sputtering.
[0047]
Next, as shown in FIG. 2 / H 2 / H 2 The silicon substrate 1 is subjected to an oxidation treatment in a temperature range of 1000 ° C. for 30 minutes in an O atmosphere to form an oxide film 4 at the interface between the silicon substrate 1 and the tungsten nitride film 2. The partial pressure ratio in the oxidizing atmosphere is P (N 2 ) / P (H 2 ) / P (H 2 O) = 0.9591 / 0.040 / 0.009 [atm].
[0048]
Finally, a tungsten film (W film) 3 and a tungsten nitride film (WN) X The membrane 2 is peeled off with a mixed solution of sulfuric acid and hydrogen peroxide.
The W film 3 / WN at each oxidation temperature of the sample thus obtained X The film thickness (oxide film thickness) of the oxide film 4 immediately below the film 2 was measured using an ellipsometry method.
[0049]
FIG. 2 shows the measurement results (white circles in the figure). In addition, as a comparative example, a measurement result (black circle in the figure) of the oxide film thickness when the silicon substrate 1 on which no surface is formed is oxidized under the same conditions is also shown. From FIG. 2, W film 3 / WN X It can be seen that the sample on which the film 2 is formed has a considerably smaller oxide film thickness than the comparative example and is hardly oxidized at 800 ° C.
[0050]
As mentioned above, H 2 / H 2 There is a report example of oxidation in an O atmosphere applied to a W single layer metal gate (J. Electrochem. Soc., Vol 135, pp176 (1988)). According to the reporter of this paper, RFKwasnick et al., A thin silicon oxide film was formed on a silicon substrate and a W film was stacked on it. 2 / H 2 When oxidation is performed in an O atmosphere, the thin silicon oxide film immediately below the W film becomes thick. This is because the oxidizing agent diffuses through the grain boundary of the W film.
[0051]
Here, our experiment differs from this X The film 2 is inserted between the W film 3 and the silicon substrate 1. WN X The purpose of the film 2 is to prevent the reaction between the W film 3 and the silicon substrate 1. X Most of the nitrogen in the film 2 is desorbed. Therefore, WN after the above heat treatment X The film 2 is almost the same as the W film, and the function as a reaction preventing film is lowered.
[0052]
As a result of observing the interface (W / Si interface) between the W film 3 after the heat treatment and the silicon substrate 1 by the energy dispersive X-ray spectroscopy (EDX) method, the WN present immediately after the deposition X It was found that the film 2 changed to a W film, and an ultrathin (about 10 angstrom) WSiN film was formed at the W / Si interface.
[0053]
The present inventors consider that this WSiN film functions as a reaction preventing layer for preventing the reaction between the W film 3 and the silicon substrate 1 (1994, 55th Japan Society of Applied Physics).
[0054]
Further, as a result of EDX analysis, it was found that the composition of the WSiN layer was W: Si = 1: 5 to 6 and the thickness was 1 nm or less. On the other hand, the ratio of W and N was, for example, W: N = 1: 1.
[0055]
In general, when a titanium nitride film is deposited on a Si substrate by a reactive sputtering method, N 2 The surface of the Si substrate is nitrided by plasma discharge, and a silicon nitride film is formed immediately below the titanium nitride film in the film formation stage. Therefore, the same phenomenon occurs in the tungsten nitride film. In particular, in the case of a tungsten nitride film, if heat treatment is performed at 800 ° C. or higher even in a nitrogen atmosphere, N atoms in the film are desorbed and become a tungsten film. Therefore, in the first place, it is possible that the role of the barrier layer is not WSiN but an SiN film by plasma nitriding.
[0056]
Therefore, using a laminated sample of tungsten film / tungsten nitride film / silicon substrate, heat treatment is performed at 800 ° C. for 30 minutes in a nitrogen atmosphere, and then the tungsten film (and tungsten nitride film) is mixed with a mixed solution of sulfuric acid and oxygen peroxide water. ) Was evaluated using a photoelectron spectroscopy (XPS) method.
[0057]
The results are shown in FIG. 14. The solid line shows the narrow spectrum of W4f (FIG. 14 (a)) and Si2p (FIG. 14 (b)) obtained from the sample before the heat treatment and the dotted line after the heat treatment. About 2% of W was detected from either surface, but a large difference is seen in the binding state.
[0058]
First, in the spectrum of W4f, before the heat treatment, WO (peaks at 36 eV and 38 eV positions), metal bonds (peaks at 31 eV and 33 eV positions) and the like are mixed, which is a fairly broad peak. The metal bond peak is clearly seen after heat treatment. This metal bond is a peak of W-W bond or W-Si bond. From the result of the EDX analysis shown above, it is known that the composition of the WSiN layer is Si-rich. From this, it is considered that this metal bond is a W-Si bond.
[0059]
In addition, in the Si2p spectrum, excluding the Si—Si bond (99.6 eV) from the substrate, the Si—O bond (103.7 eV) is broader before the heat treatment, whereas the heat treatment is performed. In the latter case, a sharp Si—N bond (102 eV) peak is observed.
[0060]
That is, it can be said that the formation of the WSiN layer is due to the redistribution of nitrogen atoms in the tungsten nitride film accompanying the heat treatment, regardless of the plasma change during the formation of the tungsten nitride film.
[0061]
Thus, the formation of the WSiN film at the W / Si interface is x This is considered to be due to redistribution of nitrogen in the film 2. The mechanism is summarized as follows.
[0062]
The Gibbs free energy drop when tungsten nitride is formed from tungsten is smaller than that when silicon nitride is formed from silicon. For this reason, WN X When the film 2 and the silicon substrate 1 are in contact with each other, the chemical potential of nitrogen is smaller on the silicon substrate 1 side. As a result, WN X Nitrogen in the film 2 moves (outward diffusion) to the silicon substrate 1 side. In this way, WN at the W / Si interface X Nitrogen in the film 2 is segregated to form a WSiN film.
[0063]
Nitrogen segregated at the interface is combined with dangling bonds of silicon to form a Si—N bond layer. The surface density of nitrogen and silicon is about 5 × 10 17 / Cm 2 That was all. For this reason, it is considered that the movement of atoms between W / Si is suppressed. At this time, it is important that nitrogen can move relatively freely. This is because when the nitrogen contained in the metal has a strong bond with the metal, it cannot diffuse to the interface, so that segregation as described above does not occur.
[0064]
Therefore, this point should be noted when the WSiN film is formed in advance by film formation instead of the above-described formation method by redistribution of nitrogen. This is because, unlike tungsten nitride, nitrogen contained in the WSiN film has a Si—N bond and cannot move freely and cannot be redistributed at the W / Si interface.
[0065]
Therefore, when a WSiN film is used, redistribution of nitrogen at the W / Si interface cannot be expected. On the other hand, diffusion of oxygen atoms in the film must be suppressed. Therefore, the surface density of nitrogen and silicon is about 5 × 10 17 / Cm 2 It must be more than that.
[0066]
The reason why the oxidation of the W / Si interface was controlled simultaneously with the prevention of the reaction is considered to be that the WSiN film played a role of preventing the diffusion of the oxidizing agent. The reason is considered that the bonding force between Si and N is stronger than that between Si and O, and it is not easy to replace nitrogen and oxygen.
[0067]
From the above results, by adopting the structure in which the WSiN film is inserted, not only the reaction between the W film 3 and the silicon substrate 1 is prevented, but also the interface of the silicon substrate 1 to the interface between the W film 3 and the silicon substrate 1. It was found that oxidation was also suppressed.
[0068]
(Second Embodiment)
FIG. 3 is a process cross-sectional view showing stepwise a method of forming a gate electrode (polymetal gate) according to the second embodiment of the present invention.
[0069]
First, as shown in FIG. 3A, a thin silicon oxide film 11 (film thickness 5 nm) is formed as a gate oxide film on a single crystal silicon substrate 10, and chemical vapor deposition (CVD) is formed thereon. A polycrystalline silicon film 12 (film thickness 100 nm) is deposited by the method.
[0070]
Subsequently, a tungsten nitride film 13 (film thickness 5 nm) is deposited on the polycrystalline silicon film 12 by a reactive sputtering method. Subsequently, a tungsten film 14 (film thickness 100 nm) is deposited thereon by a sputtering method.
[0071]
Next, as shown in FIG. 3B, by performing a heat treatment at about 800 ° C., the nitrogen in the tungsten nitride film 13 is diffused outward, and the pole is formed at the interface between the tungsten film 14 and the polycrystalline silicon film 12. A thin WSiN film 15 is formed. At this time, the tungsten nitride film 13 becomes a tungsten film and is integrated with the tungsten film 14.
[0072]
Subsequently, a silicon nitride film 16 (film thickness 200 nm) is deposited on the tungsten film 14 by a CVD method. Note that the heat treatment may also serve as a film forming process of the silicon nitride film 16 having a film forming temperature of about 800 ° C.
[0073]
Further, after applying a photoresist (film thickness of 1 μm) on the silicon nitride film 16 by spin coating, the photoresist is exposed through a photomask and developed to form a photoresist pattern 17 having a width of, for example, 0.25 μm. To do.
[0074]
Next, as shown in FIG. 3C, after the silicon nitride film 16 is etched along the photoresist pattern 17 by using a dry etching apparatus, the remaining photoresist pattern 17 is replaced by O. 2 Peel off by ashing.
[0075]
Next, as shown in FIG. 3D, the tungsten film 14, the WSiN film 15, and the polycrystalline silicon film 12 are etched using the silicon nitride film 16 as an etching mask.
[0076]
Next, as shown in FIG. 3E, in order to recover the gate oxide film 11 shaved during the etching of the polycrystalline silicon film 12 and to round the corner portion 18 of the polycrystalline silicon film 12, N 2 / H 2 / H 2 Selective oxidation (post-oxidation) of silicon is performed in an O atmosphere. The oxidation condition is, for example, the partial pressure ratio P (N 2 ) / P (H 2 ) / P (H 2 O) = 0.9951 / 0.040 / 0.009 [atm], oxidation temperature 800 ° C., oxidation time 30 minutes.
[0077]
By this selective oxidation, the gate oxide film 11 is restored to the original film thickness, and the corner portion 18 of the polycrystalline silicon film 12 (gate portion) is rounded as shown in the enlarged view of FIG. As a result, electric field concentration at the corner portion 18 of the gate electrode is avoided, and the reliability of the gate oxide film 11 is improved.
[0078]
At this time, as shown in FIG. 3 (f), the oxidant 20 enters the substrate 10 or the polycrystalline silicon film 12 in the direction of the arrow, but the WSiN between the tungsten film 14 and the polycrystalline silicon film 12. Since the film 15 prevents the diffusion of the oxidant 20, the oxidant 20 cannot enter from the upper surface of the silicon film 12 via the tungsten film 14.
[0079]
Therefore, since the polycrystalline silicon film 12 at the interface between the tungsten film 14 and the polycrystalline silicon film 12 is hardly oxidized, an increase in contact resistance can be prevented and RC delay can be suppressed.
[0080]
Since oxidant 20 diffuses from the side surface of polycrystalline silicon film 12, silicon oxide film 19 is selectively formed on the side surface of polycrystalline silicon film 12. This silicon oxide film 19 has a shape that bites into a bird's peak toward the center at the upper and lower sides of the side surface of the polycrystalline silicon film 12. Such a silicon oxide film 19 does not cause a problem such as RC delay.
[0081]
FIG. 4 shows a cross-sectional structure of a conventional gate portion in which the WSiN film 15 is not formed. As can be seen from FIG. 4, since the oxidant 20 also enters from the tungsten film 14 side, the polycrystalline silicon film 12 at the interface between the tungsten film 14 and the polycrystalline silicon film 12 is also oxidized. As a result, a silicon oxide film 19 is formed at the interface in addition to the side surface of the polycrystalline silicon film 12. Therefore, the contact resistance between the tungsten film 14 and the polycrystalline silicon film 12 increases, and the RC delay increases.
[0082]
Thus, according to the present embodiment, the WSiN film 15 as the anti-oxidation layer is inserted between the tungsten film 14 and the polycrystalline silicon film 12, so that N 2 / H 2 / H 2 Even if selective oxidation (post-oxidation) is performed in an O atmosphere, the gate oxide film 12 can be recovered by selective oxidation of silicon without increasing the contact resistance between the tungsten film 14 and the polycrystalline silicon film 12. . Further, since the WSiN film 15 also functions as a reaction preventing film, the reaction between the tungsten film 14 and the polycrystalline silicon film 12 can be prevented.
[0083]
Thus, the advantage of using the tungsten film 14 which is a refractory metal can be sufficiently exerted, and a high-speed MOS transistor whose operation speed is not limited by the RC delay can be obtained even after the gate length of 0.25 μm generation.
[0084]
In the present embodiment, as a method of forming the WSiN film 15, the method of performing the heat treatment after forming the tungsten nitride film 13 by the reactive sputtering method has been described. However, the WSiN film is formed by the reactive sputtering from the beginning. ring It may be formed by a method.
[0085]
For example, WSi X Ar gas and N 2 Reactive sputtering using gas as sputtering gas ring By performing the above, the WSiN film 15 can also be formed.
[0086]
Further, the WSiN film 15 may be formed not only by the sputtering method but also by other film forming methods such as the CVD method. For example, WF as a source gas of N 6 , WCl 6 , WCl Four Or W (CO) 6 Gas and SiH as Si source gas Four , SiH 2 Cl 2 Gas and NH as a source gas of N Three Or N 2 The WSiN film 15 may be formed using a mixed gas with gas.
[0087]
Next, a case where a titanium nitride film is used instead of the WSiN film will be described as a comparative example. First, as shown in FIG. 15A, a thin silicon oxide film 901 (film thickness 5 nm) is formed on a single crystal silicon substrate 900 by thermal oxidation, and a chemical vapor deposition (CVD) method is formed thereon. Thus, a polycrystalline silicon film 902 (film thickness 100 nm) is deposited.
[0088]
Furthermore, using Ti as a target, Ar and N 2 As a sputtering gas, a titanium nitride film 903 (film thickness 10 nm) is deposited by a reactive sputtering method. A tungsten film 904 (film thickness 100 nm) is deposited thereon by sputtering.
[0089]
Thereafter, a silicon nitride film 905 (thickness: 200 nm) is deposited by a CVD method, a photoresist is applied thereon with a thickness of about 1 μm by a spin coating method, and exposed and developed to form a resist pattern 906 having a width of 0.15 μm. Form.
[0090]
Next, as shown in FIG. 15B, the silicon nitride film is etched using the resist pattern 906 as an etching mask. Thereafter, the remaining resist pattern 906 is removed using oxygen plasma ashing to obtain a mask pattern 905 made of a silicon nitride film.
[0091]
Thereafter, as shown in FIG. 15C, the tungsten film 904, the titanium nitride film 903, and the polycrystalline silicon film 902 are etched using the silicon nitride film 905 as an etching mask.
[0092]
Thereafter, as shown in FIG. 15D, N is used to recover the gate oxide film shaved during the electrode pattern formation and to round the corner portion 907 of the polycrystalline silicon film 902. 2 / H 2 / H 2 Selective oxidation of silicon is performed in an O atmosphere. In this atmosphere, the side walls of the substrate silicon and the polycrystalline silicon film can be oxidized without oxidizing the tungsten film.
[0093]
However, the decrease in Gibbs free energy that occurs during the formation of oxides of titanium is lower than the decrease in Gibbs free energy that occurs during the formation of silicon oxides. Accordingly, it is thermodynamically impossible to selectively oxidize silicon without oxidizing the titanium nitride film containing titanium atoms.
[0094]
As shown in FIG. 16, since the oxidizing agent diffuses also in the tungsten film 904, the titanium nitride film 903 is oxidized not only on the side walls but also on the interface with the tungsten film 904 even if it has a laminated structure.
[0095]
Therefore, in the oxidation step, a titanium oxide layer 908 that is an insulator is formed between the refractory metal film and the polycrystalline silicon film, resulting in a significant increase in interface contact resistance. In the worst case, the refractory metal film is peeled off due to the expansion of the deposition accompanying the formation of the titanium oxide layer, so that the electrode does not function.
[0096]
In general, a titanium nitride film is used as a metal-silicon reaction preventing layer, a so-called barrier metal, but cannot be used in a semiconductor device that requires the oxidation step.
[0097]
Therefore, as a refractory metal, a value obtained by subtracting a Gibbs free energy decrease value generated when silicon forms an oxide from a Gibbs free energy decrease value generated when the oxide is formed is negative. Must be.
[0098]
(Third embodiment)
The present inventors made the following samples and evaluated them.
[0099]
That is, as shown in FIG. 5, a thin silicon oxide film 21 (film thickness 10 nm) is formed on a single crystal silicon substrate 20a, and a tungsten nitride film 22 (film thickness 5 nm) is deposited thereon by reactive sputtering. To do. Subsequently, a tungsten film 23 (film thickness 100 nm) is deposited by sputtering.
[0100]
Then N 2 / H 2 / H 2 The silicon substrate 20a is subjected to an oxidation treatment for 30 minutes in a temperature range of 800 ° C. to 1000 ° C. in an O atmosphere. The partial pressure ratio of the oxidizing atmosphere is P (N 2 ) / P (H 2 ) / P (H 2 O) = 0.9951 / 0.040 / 0.009 [atm].
[0101]
Finally, the tungsten film 23 and the tungsten nitride film 22 are peeled off with a mixed solution of sulfuric acid and hydrogen peroxide solution.
A laminated film (W film 23 / WN) of the tungsten film 23 and the tungsten nitride film 22 at each oxidation temperature of the sample thus obtained. X Silicon oxide film (SiO2) directly under film 22) 2 The film thickness of the film) 21 was measured using an ellipsometry method.
[0102]
FIG. 6 shows the measurement results (white circles in the figure). As a comparative example, WN is provided between the W film 22 and the silicon oxide film 21. X The measurement result (black circle in the figure) of the oxide film 21 under the W film 23 when the silicon substrate 1 on which the film 22 is not formed is oxidized under the same conditions is also shown.
[0103]
6 from WN X W film 23, W film 23 / WN with or without film 22 X The film thickness of the oxide film 21 under the film 22 increases as the oxidation temperature rises, and the tendency is shown as WN. X It can be seen that this is equivalent to the presence or absence of the film 22.
[0104]
Therefore, the oxidized W film 23 / WN X Film 22 / SiO 2 As a result of elemental analysis of the interface of the film 21 by the EDX method, it was found that the nitrogen concentration at the interface was low and the above-described WSiN film was not formed.
[0105]
Such a result can be explained from the nitrogen redistribution described above.
That is, the decrease in Gibbs free energy when tungsten nitride is formed from tungsten is smaller than that when silicon nitride is formed from silicon, but lower than that when silicon nitride is formed from silicon oxide. Is large, so SiO 2 It is considered that the WSiN film was not formed on the film 21 and the diffusion of the oxidizing agent could not be suppressed.
[0106]
Therefore, a sample as shown in FIG. 7 was prepared. That is, a thin silicon oxide film 31 (film thickness 10 nm) is formed on a silicon substrate 30, a WSiN film 32 (film thickness 1 nm) is deposited thereon by reactive sputtering, and a W film is formed thereon by sputtering. 33 (film thickness 100 nm) was deposited to prepare another sample.
[0107]
Then N 2 / H 2 / H 2 The sample was subjected to an oxidation treatment for 30 minutes in a temperature range of 800 to 1000 ° C. in an O atmosphere. The partial pressure ratio is the same as described above.
[0108]
Next, as in the case of the sample of FIG. 5, the thickness of the silicon oxide film 31 at each oxidation temperature of the sample thus obtained was examined.
FIG. 8 shows the measurement results with white circles. As a comparative example, the measurement result of the silicon oxide film 31 under the W film 23 when the silicon substrate 31 on which the WSiN film 32 is not formed is oxidized under the same conditions is also indicated by black circles.
[0109]
From FIG. 8, it can be seen that in the sample in which the WSiN film 32 / W film 23 is formed, the increase in the thickness of the silicon oxide film 31 is significantly suppressed as compared with the comparative example. That is, by forming the WSiN film 32, it becomes possible to supplement the diffusion preventing function accompanying the redistribution of nitrogen.
[0110]
From the above results, the WSiN film 32 is extremely effective as an anti-oxidation layer. By adopting a structure in which the WSiN film is interposed between the W film 23 and the thin silicon oxide film 31, a silicon oxide film by post-oxidation is used. It can be seen that the film thickness increase of 31 can be effectively prevented.
[0111]
(Fourth embodiment)
FIG. 9 is a process cross-sectional view showing stepwise a method of forming a gate electrode (metal gate) according to the fourth embodiment of the present invention.
[0112]
First, as shown in FIG. 9A, a thin silicon oxide film 41 (film thickness 4 nm) is formed as a gate oxide film on a single crystal silicon substrate 40, and a WSiN film 42 is formed thereon by reactive sputtering. (Film thickness 1 nm) is deposited.
[0113]
Subsequently, after a tungsten film 43 (film thickness 100 nm) is deposited on the WSiN film 42 by sputtering, a silicon nitride film 44 (film thickness 200 nm) is deposited thereon by CVD.
[0114]
Further, after applying a photoresist (film thickness of 1 μm) on the silicon nitride film 44 by spin coating, the photoresist is exposed through a photomask and developed to form, for example, a photoresist pattern 45 having a width of 0.15 μm. To do.
[0115]
Next, as shown in FIG. 9B, after the silicon nitride film 44 is etched along the resist pattern 45 by using a dry etching apparatus, the remaining photoresist pattern 45 is replaced by O. 2 Peel off by ashing.
[0116]
Next, as shown in FIG. 9C, the tungsten film 43 and the WSiN film 42 are etched using the silicon nitride film 44 as an etching mask.
[0117]
Next, as shown in FIG. 9D, in order to recover the thin silicon oxide film 41 other than the gate part shaved during the etching of the tungsten film 43 and the WSiN film 42, N 2 / H 2 / H 2 Selective oxidation (post-oxidation) of silicon is performed in an O atmosphere.
[0118]
The oxidation condition is, for example, the partial pressure ratio P (N 2 ) / P (H 2 ) / P (H 2 O) = 0.9951 / 0.040 / 0.009 [atm], oxidation temperature 800 ° C., oxidation time 30 minutes. At this time, since the WSiN film 42 between the tungsten film 43 and the thin silicon oxide film 41 prevents diffusion of the oxidant, the oxidant cannot enter from the tungsten film 43 side. Therefore, the silicon oxide film 41, which is a gate oxide film located under the tungsten film 43, is hardly oxidized and the film thickness does not increase. Therefore, the driving capability is not reduced due to the increase in the gate oxide film thickness.
[0119]
As shown in FIG. 9E, the oxidant 46 diffuses from the side surface of the silicon oxide film 41 located under the tungsten film 43. The film 41 has a shape that bites into a bird's peak toward the center of the gate portion, but there is no problem in characteristics.
[0120]
(Fifth embodiment)
FIG. 10 is a cross-sectional view showing stepwise a method of forming a gate electrode (polymetal gate) according to the fifth embodiment of the present invention.
[0121]
This embodiment is mainly different from the first to fourth embodiments in that carbon is used in place of nitrogen, which is one of the materials of the antioxidant film. That is, the antioxidant film of this embodiment is formed of carbon, silicon, and a refractory metal.
[0122]
First, as shown in FIG. 10A, a thin silicon oxide film 51 (film thickness 5 nm) is formed as a gate oxide film on a single crystal silicon substrate 50, and a polycrystalline silicon film 52 is formed thereon by CVD. (Film thickness 100 nm) is deposited.
[0123]
Subsequently, on the polycrystalline silicon film 52, for example, WSi. X Targeting Ar gas and CH Four Using a gas as a sputtering gas, a WSiC film 53 (film thickness 2 nm) is deposited by reactive sputtering, and subsequently a tungsten film 54 (film thickness 100 nm) is deposited thereon by sputtering, followed by CVD. A silicon nitride film 55 (film thickness 200 nm) is deposited by the method.
[0124]
Further, after applying a photoresist (film thickness of 1 μm) on the silicon nitride film 55 by spin coating, the photoresist is exposed through a photomask and developed to form a photoresist pattern 56 having a width of, for example, 0.25 μm. To do.
[0125]
Next, as shown in FIG. 10B, after the silicon nitride film 55 is etched along the photoresist pattern 56 by using a dry etching apparatus, the remaining photoresist pattern 56 is replaced by O. 2 Peel off by ashing.
[0126]
Next, as shown in FIG. 10C, the tungsten film 54, the WSiC layer 53, and the polycrystalline silicon film 52 are etched using the silicon nitride film 55 as an etching mask.
[0127]
Next, as shown in FIG. 10D, in order to recover the gate oxide film 51 shaved during the etching of the polycrystalline silicon film 52 and oxidize the corners of the polycrystalline silicon film 52, N 2 / H 2 / H 2 Selective oxidation (post-oxidation) of silicon is performed in an O atmosphere.
[0128]
The oxidation condition is, for example, the partial pressure ratio P (N 2 ) / P (H 2 ) / P (H 2 O) = 0.9951 / 0.040 / 0.009 [atm], oxidation temperature 800 ° C., oxidation time 30 minutes.
[0129]
By this selective oxidation, the gate oxide film 51 is restored to its original film thickness, and the corner portion of the polycrystalline silicon film is rounded by the oxide film 57. As a result, electric field concentration at the corner portion of the gate electrode is avoided, and the reliability of the gate oxide film 51 is improved.
[0130]
At this time, as in the case of the first embodiment, the WSiC film 53 between the tungsten film 54 and the polycrystalline silicon film 52 prevents the oxidant from diffusing, so that the oxidant enters from the tungsten film 54 side. I can't do it.
[0131]
Therefore, since the polycrystalline silicon film 52 at the interface between the tungsten film 54 and the polycrystalline silicon film 52 is hardly oxidized, an increase in contact resistance can be prevented and RC delay can be suppressed. In addition, the same effect as the first embodiment can be obtained in this embodiment.
[0132]
Since the oxidizing agent diffuses from the side wall of the polycrystalline silicon film 52, the silicon oxide film 57 is selectively formed on the side surface of the polycrystalline silicon film 52. The silicon oxide film 57 has a shape that bites into a bird's peak toward the center at the upper and lower side surfaces of the polycrystalline silicon film 52.
[0133]
In this embodiment, as a method for forming the WSiC layer, WSi X Reactive sputtering method was used, but Ar gas and CH were selected using W as the target. Four The WSiC film 53 may be formed by depositing a tungsten carbide (WC) film by a reactive sputtering method using a gas as a sputtering gas and then performing a heat treatment.
[0134]
The film forming method is not limited to the sputtering method, and the WSiC layer 53 may be formed by a CVD method. For example, WF 6 And SiH Four And CH Four The WSiC layer 53 may be formed using a gas. Furthermore, in the reactive sputtering method and the CVD method, as a carbon-based gas, CH Four Choose gas, but C 2 H 9 , C Three H 8 , C 2 H 2 Etc.
[0135]
(Sixth embodiment)
11 and 12 are process cross-sectional views showing stepwise a method of forming a field effect transistor (MOSFET) according to the sixth embodiment of the present invention.
[0136]
First, as shown in FIG. 11A, an element isolation insulating film 61 is formed on the surface of a single crystal silicon substrate 60 to perform element isolation. Next, after forming a gate oxide film 62 (film thickness 5 nm) on the surface of the silicon substrate 60 surrounded by the element isolation insulating film 61, a polycrystalline silicon film 63 (film thickness 100 nm) is formed thereon by CVD. .
[0137]
Subsequently, a tungsten nitride film 64 (film thickness of 5 nm) is formed on the polycrystalline silicon film 63 by reactive sputtering, and then a tungsten film 65 (film thickness of 100 nm) is formed on the tungsten nitride film 64 by reactive sputtering. Form.
[0138]
Next, as shown in FIG. 11B, a heat treatment at about 800 ° C. is performed to form an extremely thin WSiN layer 66 at the interface between the tungsten film 65 and the polycrystalline silicon film 63. Next, a silicon nitride film 67 (thickness: 200 nm) is formed on the tungsten film 65 by CVD. Since the film formation temperature of this silicon nitride film 67 is about 800 ° C., the above heat treatment may be performed in this film formation step without performing the heat treatment in advance.
[0139]
Next, after applying a photoresist (film thickness: 1 μm) on the silicon nitride film 67 by spin coating, the photoresist is exposed through a photomask and developed to form a resist pattern 68 having a width of, for example, 0.25 μm. .
[0140]
Next, as shown in FIG. 11C, the silicon nitride film 67 is etched along the resist pattern 68 using a dry etching apparatus. Thereafter, the remaining resist pattern 68 is set to 0. 2 Peel off by ashing. Subsequently, using the silicon nitride film 67 as an etching mask, the tungsten film 65, the WSiN layer 66, and the polycrystalline silicon film 63 are sequentially etched.
[0141]
Next, as shown in FIG. 11D, a corner portion 69 at the bottom of the polycrystalline silicon film 63 is used to recover the thickness of the thin gate oxide film 62 shaved during the etching of the polycrystalline silicon film 63. N to round 2 / H 2 / H 2 Selective oxidation of silicon is performed in a temperature range of 700 to 900 ° C. while controlling each gas partial pressure in an O atmosphere. Due to this oxidation, only silicon is oxidized, and the corner portion 69 is rounded, so that it is possible to prevent a decrease in the reliability of the FET due to the concentration of the electric field in this portion.
[0142]
After this oxidation, no oxide film is formed or grown near the interface between the polycrystalline silicon film 63 and the tungsten film 65, and the WSiN layer 66 prevents inward diffusion of the oxidizing agent from the external atmosphere. It was confirmed that
[0143]
A similar effect is N 2 / H 2 / H 2 Not only in O atmosphere, but also in trace oxygen, trace water vapor or H 2 And O 2 Mixed gas atmosphere and CO and CO 2 It was also confirmed in a mixed gas atmosphere.
[0144]
Next, as shown in FIG. 11E, after a shallow impurity diffusion layer (source / drain diffusion layer) 70 is formed by ion implantation or the like, a silicon nitride film 71 is formed as a sidewall insulating film. As a result, since the tungsten film 65 is surrounded by the silicon nitride films 67 and 71, the tungsten film 65 is not oxidized even when exposed to an oxidizing atmosphere, for example. The tungsten film 65 is a substance that is soluble in a solution such as hydrogen peroxide. However, by adopting this structure, the intrusion of the solution can be prevented.
[0145]
Next, as shown in FIG. 12A, after forming a deep impurity diffusion layer (source / drain diffusion layer) 72 by ion implantation or the like, a metal silicide layer 73 is formed on the impurity diffusion layer 72.
[0146]
Next, as shown in FIG. 12B, after an interlayer insulating film 74 is formed on the entire surface, the surface of the interlayer insulating film 74 is flattened by a chemical mechanical polishing (CMP) method or the like. Next, after applying a photoresist (film thickness of 1 μm) to the interlayer insulating film 74 by spin coating, the photoresist is exposed through a photomask and developed to form a resist pattern 75 having a hole diameter of 0.3 μm, for example.
[0147]
Next, as shown in FIG. 12C, using a dry etching apparatus, the resist pattern 75 is used as an etching mask, the interlayer insulating film 74 is etched, a contact hole is opened, and then the resist pattern 75 is peeled off. To do. The etching conditions at this time are, for example, a power density of 2.0 W / cm. 2 , Pressure 40mTorr, flow rate C Four F Three / CO / Ar = 10/100/200 SCCM.
[0148]
In this case, the interlayer insulating film 74 is etched at about 400 nm / min, while the silicon nitride films 67 and 71 are etched at about 10 nm / min. Therefore, the interlayer insulating film 74 is selected with respect to the silicon nitride films 67 and 71. The ratio is about 40.
[0149]
Therefore, in the step of forming resist pattern 75, even if a part of the hole is applied to the gate electrode having a laminated structure composed of tungsten film 65, WSiN film 66 and polycrystalline silicon film 63, silicon nitride films 67 and 71 are not etched. Therefore, a contact hole for the impurity diffusion layer 72 can be formed without inviting the gate electrode to be exposed. Accordingly, the margin of positional accuracy of the resist pattern 75 is widened.
[0150]
Next, as shown in FIG. 12D, a tungsten film 77 is selectively formed in the contact hole by using a film forming method such as a selective CVD method. At this time, since the silicon nitride films 67 and 71 cover the gate electrode, the impurity diffusion layer 72 and the gate electrode are in electrical contact, and no leakage current flows.
[0151]
As described above, according to the present embodiment, since the gate electrode 76 employs a structure surrounded by the silicon nitride films 67 and 71, even if the position of the resist pattern 75 is shifted to the gate electrode 76 side, A leakage current does not flow between the impurity diffusion layer 72 and the gate electrode 76, and the alignment margin of the resist pattern 75 is widened.
[0152]
On the other hand, in the conventional MOSFET, the width of the impurity diffusion layer 72 is widened and the position of the resist pattern 75 is separated from the gate electrode 76 as much as possible to prevent deterioration of transistor characteristics due to the shift of the resist pattern 75. The size of the MOSFET inevitably increases. That is, if a structure in which the gate electrode 76 is surrounded by the silicon nitride films 67 and 71 as in this embodiment is adopted, the element size can be reduced as compared with the conventional case.
[0153]
(Seventh embodiment)
FIG. 13 is a process cross-sectional view showing stepwise a method of forming an EEPROM field effect transistor (MOSFET) according to the seventh embodiment of the present invention.
[0154]
First, as shown in FIG. 13A, a tunnel oxide film 81 (film thickness: 5 nm) is formed on a substrate 80 made of single crystal silicon, and polycrystalline silicon is formed thereon by chemical vapor deposition (CVD). A film 82 (film thickness 300 nm) is deposited.
[0155]
Next, ONO (Oxide Nitride) is formed on the polycrystalline silicon film 82 by CVD.
Oxide) film 83 (film thickness 16 nm) is deposited, WSiN film 84 (film thickness 2 nm) is deposited thereon by reactive sputtering, and then tungsten film 85 (film thickness 100 nm) is deposited thereon by sputtering. To deposit.
[0156]
Next, as shown in FIG. 13B, a silicon nitride film 86 (thickness: 200 nm) is deposited on the tungsten film 85 by CVD, and then a photoresist (thickness: 1 μm) is spun on the silicon nitride film 86. It is applied by a coating method, this photoresist is exposed through a photomask, and developed to form a resist pattern 87 having a width of, for example, 0.25 μm.
[0157]
Next, as shown in FIG. 13C, after the silicon nitride film 86 is etched along the resist pattern 87 by using a dry etching apparatus, the remaining resist pattern 87 is replaced by O. 2 Peel off by ashing. Next, using the silicon nitride film 86 as an etching mask, the tungsten (W) 85, the WSiN layer 84, the ONO layer 83, and the polycrystalline silicon film 82 are etched.
[0158]
Next, as shown in FIG. 2 / H 2 / H 2 The silicon is selectively oxidized at 700 to 900 ° C. while controlling each gas partial pressure in an O atmosphere. This is to recover the film thickness of the tunnel silicon oxide film 81 shaved during the etching of the polycrystalline silicon film 82 and round the corner portion 88 at the bottom of the polycrystalline silicon. By this oxidation, only silicon is oxidized, and it is possible to prevent a decrease in reliability due to electric field concentration in the bottom corner portion.
[0159]
After this oxidation, the upper portion of the polycrystalline silicon 82 is not oxidized or the ONO film 83 is not increased in thickness, and the WSiN layer 84 prevents inward diffusion of the oxidizing agent from the external atmosphere. It was confirmed.
[0160]
A similar effect is N 2 / H 2 / H 2 Not only in O atmosphere, but also in trace oxygen, trace water vapor or H 2 And O 2 Mixed gas atmosphere and CO and CO 2 It was also confirmed in the mixed gas atmosphere.
[0161]
In a transistor used for an EEPROM, an ONO film between a control gate electrode (tungsten film 85) and a floating gate electrode (polycrystalline silicon film 82) is used as an insulating film for charge storage. Therefore, the film thickness of the ONO film defines the storage capacity, and the storage capacity decreases as the film thickness increases.
[0162]
Here, according to the present embodiment, it is possible to prevent the ONO film thickness from increasing by disposing the antioxidant film on the ONO film. Therefore, the reliability of the tunnel oxide film can be improved without deteriorating the transistor characteristics.
[0163]
In this embodiment, the ONO film formed by the CVD method is used as the charge storage insulating film, but it may be formed by heat treatment in an atmosphere containing oxygen and nitrogen atoms. Further, it may be formed by a combination of CVD and heat treatment.
[0164]
In addition, this invention is not limited to embodiment mentioned above. For example, in the above embodiment, the case where tungsten is used as the refractory metal contained in the anti-oxidation film has been described. However, from the decrease in Gibbs free energy generated when forming a nitride of a high melting point, silicon is used. A similar effect can be obtained if the refractory metal has a negative value obtained by subtracting the decrease in Gibbs free energy generated when forming the nitride. Specifically, Mo, Cr, Co Etc.
[0165]
Further, the antioxidant layer may contain both nitrogen and carbon.
[0166]
In the above-described embodiments, the case of the gate electrode has been described. However, the present invention can be applied to other electrodes and further to wiring. In particular, the present invention is preferably applied to a wiring having a remarkable RC delay such as a word wiring. The present invention can also be applied to elements other than MOS transistors.
[0167]
In the above, in the polymetal gate and the metal gate to which the silicon selective oxidation technology is applied, the gate structure capable of suppressing the RC delay due to the undesired oxidation of silicon and the manufacturing method thereof have been described. In the following embodiments, a gate structure for preventing impurities in silicon from thermally diffusing into a refractory metal or refractory metal silicide in a stacked gate structure such as polycide or polymetal will be described.
[0168]
(Eighth embodiment)
17 and 18 are data of secondary ion mass spectrometry showing the effect of preventing impurity diffusion in the multilayer structure sample according to the eighth embodiment of the present invention. The thickness of each layer of the sample having a multilayer structure is shown by providing a scale corresponding to the horizontal axis in the drawing at the top of FIG.
[0169]
That is, a 100 nm thick SiO2 film on a silicon substrate (not shown on the scale) 2 A layer is grown, and then As or B (boron) is added as an impurity at a concentration of 1 × 10 20 / Cm 2 The included polycrystalline silicon layer was grown to a thickness of 100 nm. Furthermore, Ar and N with a mixing ratio of 1: 1 2 In the mixed gas atmosphere, W is deposited by using a reactive sputtering method for sputtering a W target, or WSi is used. x By depositing the target (x = 2 to 3) using the reactive sputtering method in the mixed gas atmosphere, WSi having a thickness of 5 nm is used. x N y A diffusion barrier layer consisting of was deposited. Subsequently, W was deposited to a thickness of 100 nm on the uppermost layer using a sputtering method, and a multilayer structure sample in the eighth example was prepared.
[0170]
In order to evaluate the impurity diffusion effect in a sample having a polycrystalline silicon layer containing As, the depth direction of impurities when this sample is heat-treated at 800 ° C. for 30 minutes or 950 ° C. for 30 minutes in an N 2 atmosphere. The distribution of is shown in FIG. The analysis result of FIG. 17A will be described in detail as follows.
[0171]
In secondary ion mass spectrometry, a multi-layer sample is irradiated with a primary ion beam for etching, and the secondary ions released at this time are subjected to mass analysis to determine the material composition. In this way, the relationship between the etching depth and the composition is obtained. The horizontal axis in FIG. 17A represents the etching depth, which corresponds to the cumulative value of the thickness of each layer of the multilayer structure sample. The vertical axis represents the intensity of the detected secondary ions.
[0172]
As shown in FIG. 17A, after heat treatment at 800 ° C. for 30 minutes, W + N and Si were observed in addition to W in the range of 100 nm on the surface of the sample made of the W layer. Is WSi at the interface between W and the polycrystalline silicon. x N y Since the presence of the diffusion preventing layer exists, it was found that the diffusion to W was sufficiently suppressed except for the surface portion. In FIG. 17A, the polycrystalline silicon layer, SiO 2 Although it seems that W, W + N, etc. exist also in a layer, this is an appearance by the tailing | etching of the etching shape by a primary ion beam.
[0173]
If pure W is formed on the uppermost layer of the multilayer structure, the resistance value of the multilayer structure can be reduced. However, when impurities diffuse here, gate depletion due to a decrease in the impurity concentration in Si under the W or CMOS (complementary) This causes impurity interdiffusion between n and p regions in the type MOS). Since W, Si, and N form a stable compound, even if these elements are introduced into W to the extent shown in FIG. 17 (a), they do not cause an increase in resistance and may cause film quality deterioration. Absent. Therefore, 5 nm thick WSi x N y It has been found that if a diffusion preventing layer is interposed, it greatly helps to improve the reliability of the multilayer structure.
[0174]
The analysis result when the same sample is heat-treated at 950 ° C. for 30 minutes is shown in FIG. Compared to FIG. 17A, the amount of As in W increased by about one digit, but the concentration of As in W converted from this result was 1 × 10. 18 / Cm Three In the usual LSI thermal process, the WSi x N y It could be considered that the diffusion preventing effect of the layer was sufficient.
[0175]
FIG. 18 shows the analysis result when B is included as an impurity in the polycrystalline silicon layer. It was found that the diffusion of B into W during heat treatment at 800 ° C. and 950 ° C. for 30 minutes was so small that it could be ignored in practice. Moreover, it turned out that the same effect is acquired also with respect to donor and acceptor impurities other than said As and B added in the polycrystalline silicon.
[0176]
(Ninth embodiment)
Next, a ninth embodiment of the present invention will be described with reference to FIG. FIG. 19A to FIG. 19C are cross-sectional views showing a method for manufacturing a semiconductor device using the multilayer structure of the present invention.
[0177]
As shown in FIG. 19A, p-type region 502 having a depth of about 1 μm is formed by ion implantation of B into silicon substrate 501 and subsequent thermal diffusion. Next, after an element isolation oxide film 503 having a thickness of about 600 nm is formed in a predetermined region, a protective oxide film 504 having a thickness of about 10 nm is formed, and ion implantation for adjusting the threshold value of the MOSFET is performed (shaded portion 505). ).
[0178]
Next, as shown in FIG. 19B, after the protective oxide film 504 is peeled off, oxidation of several nm to several tens of nm is performed again to form a gate oxide film 506.
[0179]
Subsequently, amorphous silicon is deposited to a thickness of 100 nm by CVD, and P (phosphorus) is introduced into the amorphous silicon by ion implantation. In addition to ion implantation, the impurity element may be introduced by diffusion from a gas phase or a solid phase. In either case, the impurity concentration is about 2 × 10 20 / Cm Three That's it. The activation heat treatment of P ion-implanted into amorphous silicon is performed at 800 ° C. for 30 minutes. By this heat treatment, the amorphous silicon is changed to polycrystalline silicon 507.
[0180]
Next, a natural oxide film formed on the polycrystalline silicon 507 is removed by dilute hydrofluoric acid treatment, and then Ar and N are used using a W target. 2 WSi with a film thickness of about 5 nm by reactive sputtering in a mixed gas of x N y A film 508 is formed. Subsequently, reactive sputtering is performed in an Ar atmosphere using a W target, or WF 6 , SiH Four A W film 509 having a thickness of about 100 nm is formed by a CVD method using a gas.
[0181]
Next, SiH 2 Cl 2 , NH Three SiN with a thickness of about 250 nm by LP (Low Pressure) CVD method using a gas at a growth temperature of 800 ° C. for 30 minutes x A film 510 is formed.
[0182]
This SiN for about 30 minutes at 800 ° C x Conventionally, impurities contained in polycrystalline silicon diffused into W due to the film formation process, which has become a problem. x N y By using the film 508, impurity diffusion from the polycrystalline silicon film 507 to the W film 509 can be suppressed.
[0183]
Next, a desired gate electrode or wiring pattern is formed using a resist, and this is used as a mask to form SiN. x The film 510 is removed using an RIE method, and the SiN x W film 509, WSi using film as mask x N y The film 508 and the polycrystalline silicon film 507 are patterned using the RIE method to form a gate electrode or wiring having a multilayer structure.
[0184]
Next, H 2 O, H 2 , N 2 By performing selective oxidation at 800 ° C. for 30 minutes in an atmosphere, an oxide film 511 shown in FIG. 19C is formed. Only silicon can be oxidized without oxidizing W by selective oxidation, and an oxide film can be formed on the surface of the silicon substrate and the side surface of the polycrystalline silicon of the gate electrode.
[0185]
Next, in the source / drain region, an acceleration voltage of 20 keV and a dose of 5 × 10 14 / Cm 2 Under the conditions, As is ion-implanted shallowly to form an LDD (Lightly Doped Drain) region 512. Subsequently, SiN having a thickness of about 50 nm is formed on the gate electrode. x Then, anisotropic etching is performed using the RIE method to form SiN on the gate sidewall as shown in FIG. x A gate structure in which the film 513 is formed is obtained. The acceleration voltage of 60 keV and the dose of 7 × 10 are formed on the gate having the side wall as described above. 15 / Cm 2 The source / drain regions 514 are formed by deep ion implantation of As.
[0186]
In order to activate the injected As, N 2 After performing heat treatment at a temperature of 900 ° C. for 30 seconds in the atmosphere, the interlayer insulating film is formed, Al contact, wiring, and the like are performed by a normal method. x N y A MOSFET having a self-aligned gate structure with a sidewall insulating film, which includes a gate electrode having a diffusion prevention layer, can be obtained.
[0187]
According to the method of the present invention, the 800 ° C., 30-minute selective oxidation treatment performed after the formation of the multilayer metal gate, the high-temperature heat treatment for As impurity activation, and the interlayer film formation using the CVD method are performed at 800 ° C. for about 1 hour. Even in the thermal process, 2 × 10 2 is applied to the polycrystalline silicon film 507 constituting the multilayer metal gate. 20 / Cm Three Therefore, a MOSFET having a low-resistance and high-reliability gate electrode can be obtained.
[0188]
(Tenth embodiment)
Next, a tenth embodiment of the present invention will be described with reference to FIG. As shown in FIG. 20A, p-type region 602 having a depth of about 1 μm is formed by ion implantation of B into silicon substrate 601 and thermal diffusion. An element isolation oxide film 603 having a thickness of about 600 nm is formed in a predetermined region, a protective oxide film (not shown) is formed, and then ion implantation for adjusting the threshold value of the MOSFET is performed (shaded portion 605).
[0189]
After peeling off the protective oxide film, oxidation with a thickness of about 10 nm is performed again to form a tunnel oxide film 615. Subsequently, the oxide film 615 has NH. Three Nitriding is performed at 1000 ° C. for about 30 seconds in an atmosphere, and then re-oxidation is performed at 1000 ° C. for about 30 seconds. The nitriding and re-oxidation treatment has an effect of reducing the interface state of the tunnel oxide film and the traps in the oxide film.
[0190]
Next, a polycrystalline silicon film 616 is deposited to about 200 nm, and POCl. Three P is introduced into the polycrystalline silicon by performing a heat treatment at 850 ° C. for 30 minutes.
Next, an oxide film 617 having a thickness of about 10 nm is formed on the polycrystalline silicon by thermal oxidation, and subsequently SiN having a thickness of about 10 nm is formed by LPCVD. x After forming the film 618, the SiN x The film surface is oxidized at 900 ° C. for 30 minutes to form an oxide film 619. A polycrystalline silicon film 607 having a thickness of 100 nm is deposited thereon, and POCl. Three P is introduced into the polycrystalline silicon 607 by performing a heat treatment at 850 ° C. for 60 minutes in an atmosphere.
[0191]
Thereafter, WSi is formed on the polycrystalline silicon 607 through the same process as in the ninth embodiment. x N y Film 608, W film 609, SiN x A film 610 is deposited as shown in FIG. 20A, and a multi-layered gate electrode is formed on the tunnel oxide film 615 using a resist pattern as shown in FIG.
[0192]
In the source / drain region, As is accelerated by 60 keV and the dose is about 1 × 10. 16 / Cm 2 After the ion implantation, a heat treatment is performed at 900 ° C. for 30 minutes to activate the implanted impurities. After that, by forming an interlayer film and forming an Al wiring or the like, a control gate (607- 610), a nonvolatile memory MOSFET device can be obtained.
[0193]
Thus, the control gate has WSi x N y By interposing the film 608, the heat resistance of the gate electrode is remarkably improved with respect to the thermal process after the formation of the control gate, and a highly reliable nonvolatile memory MOSFET element can be obtained.
[0194]
(Eleventh embodiment)
Next, an eleventh embodiment of the present invention will be described with reference to FIG. This example is a modification of the tenth embodiment, and the WSi x N y After forming the film 608, the W film 609 is replaced with WSi. x A film 621 is formed. WSi x N y Since the steps up to the formation of the film 608 are the same as those in the fifteenth embodiment, the description thereof is omitted. WSi x The film 621 is W Five Si Three Sputtering in an Ar atmosphere using as a target or WF 6 , SiH Four Is deposited to a thickness of about 300 nm by a CVD method using as a source gas.
[0195]
After patterning using a resist, the silicon film 616 is selectively oxidized, and an acceleration energy of 60 keV and a dose of 5 × 10 are applied to the source / drain regions. 15 / Cm 2 As ions are implanted under the following conditions. Continuing to activate the implanted impurities, O 2 Oxidation is performed at 900 ° C. for about 60 minutes in an atmosphere. The amount of oxidation at this time is appropriately determined according to the magnitude of the breakdown voltage required for the gate.
[0196]
In this oxidation treatment step, WSi increases the oxidation rate due to the As ion implantation. x The consumption of Si in the film 621 increases, and the Si from the underlying polycrystalline silicon film 607 becomes WSi. x The film 621 is supplied. For this reason, WSi x The interface between the film 621 and the polycrystalline silicon film 607 is WSi. x It has been found that it has been invaded into the polycrystalline silicon, which causes breakdown voltage degradation.
[0197]
According to the present invention, the polycrystalline silicon film 607 and the WSi x Between the film 621 and WSi x N y By forming the diffusion preventing film 608, impurities contained in the polycrystalline silicon at a high concentration during the oxidation treatment step are changed into WSi. x At the same time, diffusion into the film 621 is prevented, and at the same time, the underlying polycrystalline silicon film 607 forms a WSi film. x Since the absorption of Si into the film 621 is suppressed, no deterioration in pressure resistance was observed.
[0198]
Next, a highly reliable nonvolatile memory MOSFET element can be obtained by performing an interlayer insulating film and Al wiring or the like.
[0199]
(Twelfth embodiment)
FIG. 22 is a sectional view showing the structure of a complementary MOSFET (CMOSFET) according to the twelfth embodiment of the present invention. Each MOSFET has a stacked gate structure including a silicon film 707 or 707 ′ and a W film 709.
[0200]
As described above, a laminated structure such as polycide or polymetal is susceptible to heat in a thermal process, and has a drawback that impurities in silicon diffuse into a refractory metal or silicide by thermal diffusion. Due to such diffusion, the impurity concentration in silicon is reduced, and when a gate voltage is applied to the inversion side, a depletion layer 802 ′ appears in the gate silicon 802 as shown in FIG. Reduce driving ability. This phenomenon is known as gate depletion. 23A shows a state in which no gate voltage is applied. Reference numeral 801 denotes a silicon substrate, 806 denotes a gate insulating film, 802 denotes a silicon film, 804 denotes a W film, and 805 denotes a source / drain region.
[0201]
Further, when the above laminated structure is used for the CMOSFET, as schematically shown in FIGS. 24A and 24B, impurities diffused in the refractory metal (or silicide) 804 (indicated by an arrow 810) are present. There is a problem that the threshold voltage changes by changing the work function of the gate by mutually diffusing the p-type and n-type regions. This phenomenon is a problem generally called impurity interdiffusion in CMOS.
[0202]
The present embodiment is an embodiment that provides a configuration for suppressing the above-described impurity interdiffusion. The present embodiment will be described in accordance with the manufacturing process shown in FIGS.
[0203]
First, a resist pattern is formed in a predetermined region using a photolithography technique, and B, Ga, or In is ion-implanted into a silicon substrate using the resist pattern as a mask. Similarly, ions of P, As, or Sb are implanted into a predetermined region. Subsequently, thermal diffusion is performed to form a p-type region 722 and an n-type region 722 ′ having a depth of about 1 μm (FIG. 25).
[0204]
Next, an element isolation oxide film 703 having a thickness of 600 nm is formed in a predetermined region (FIG. 26A).
Next, after forming a protective oxide film having a thickness of about 10 nm, ion implantation for adjusting the threshold value of the MOSFET is performed, and after removing the protective oxide film, a gate oxide film 706 having a thickness of about 10 nm is formed again (FIG. 26 ( b)). Subsequently, a silicon film 707 having a thickness of about 100 nm is deposited. At this time, the silicon 707 may be amorphous or polycrystalline, or may be a single crystal formed by lateral epitaxial growth in partial contact with the silicon substrate.
[0205]
An n-type impurity such as P, As, or Sb is ion-implanted into the gate formation region of the silicon film 707 on the p-type region 722 using a resist as a mask. + And Similarly, p-type impurities such as B, Ga and In are ion-implanted into the gate formation region of the silicon film 707 ′ on 722 ′ using a resist as a mask. + And Diffusion from the gas phase or solid phase may be used to introduce the impurity element into the gate region, but the impurity concentration is 2 × 10 6 in any case. 20 / Cm Three This is done (FIG. 26 (c)).
[0206]
Next, after removing the natural oxide film formed on the surfaces of the silicon films 707 and 707 ′ during the process by, for example, dilute hydrofluoric acid treatment, W Five Si Three Sputtering in an Ar atmosphere using a target of WF or WF 6 And SiH Four WSi with a thickness of 10 nm or less by using the system LPCVD method x A film 723 is formed (FIG. 27A). This WSi x The film 723 is formed in order to reduce the resistance of the contact between Si and W.
[0207]
Next, W or WSi x Ar and N using the target of 2 By reactive sputtering in a mixed gas atmosphere of x N y A film 708 is formed (FIG. 27A).
[0208]
Subsequently, sputtering is performed in an Ar gas atmosphere using a W target, or WF 6 A W film 709 having a thickness of 100 nm is formed by system CVD (FIG. 27B).
[0209]
Next, SiN with a thickness of 250 nm x A film 710 is formed by LPCVD at 800 ° C. for 30 minutes (FIG. 27B). At this time, in the conventional process, from the polycrystalline silicon 707 and 707 ′, n + And p + There is a problem that impurities in the type polycrystalline silicon diffuse toward the W film 709 and increase the resistance value of the W film 709. x N y By using 708, impurity diffusion from the silicon film to the W film can be prevented. Thereby, depletion of the gate as shown in FIG. 23B and mutual diffusion as shown in FIG. 24B can be prevented.
[0210]
Subsequently, a resist pattern 750 is formed in the shape of a desired gate electrode or gate wiring by using a photolithography technique (FIG. 27C), and the resist pattern 750 is used as a mask to form SiN. x The film 710 is patterned using the RIE method. Next, the resist 750 is removed using an asher and patterned SiN. x W film 709, WSi using film 710 as a mask x N y Film 708, WSi x The film 723 and the Si film 707 or 707 ′ are patterned using the RIE method to form a gate electrode or a wiring (FIG. 28A).
[0211]
Next, H 2 O, H 2 , N 2 Selective oxidation is performed in a gas atmosphere at 800 ° C. for 30 minutes. By this selective oxidation, only silicon is oxidized without oxidizing W to form an oxide film 711 on the side surfaces of the silicon portion and the silicon portion of the gate electrode.
[0212]
Next, As is applied to the source / drain region of the p-type region 722 with an acceleration voltage of 20 keV and a dose of 5 × 10. 14 / Cm 2 Ion implantation is performed under the following conditions. The source / drain region of the n-type region 722 ′ has BF 2 Accelerating voltage 20 keV, dose amount 5 × 10 14 / Cm 2 Ion implantation is performed under the following conditions. Thereby, low concentration source / drain regions 712 and 712 ′ are formed (FIG. 28B).
[0213]
Next, SiN having a thickness of about 50 nm is formed by CVD. x By depositing a film and subsequently performing anisotropic etching using the RIE method, SiN is formed on the gate sidewall. x A film 713 is formed (FIG. 28B).
[0214]
Thereafter, As is applied to the source / drain region of the p-type region 722 with an acceleration voltage of 60 keV and a dose of 7 × 10 15 / Cm 2 Ion implantation is performed under the following conditions. The source / drain region of the n-type region 722 ' 2 Accelerating voltage 60 keV, dose amount 7 × 10 15 / Cm 2 Ion implantation is performed under the following conditions. Thereby, deep source / drain regions 714 and 714 ′ are formed (FIG. 28B).
[0215]
Thereafter, an interlayer film is formed by a normal method and Al wiring is performed, whereby a complementary MOSFET having excellent reliability can be obtained.
[0216]
According to the present invention, by forming the diffusion prevention layer at the interface between silicon and metal or metal silicide, it is possible to suppress the diffusion of impurities in silicon into the metal or metal silicide by a thermal process. For example, WSi as a diffusion preventing layer x N y Using W / WSi x N y / Si laminated structure is formed, 1 × 10 in Si 20 / Cm Three When a heat step at 950 ° C. for 30 minutes was applied to a sample containing As, the As concentration in W was 1 × 10 18 / Cm Three It is as follows. Therefore, even if this degree of heat treatment is applied, the impurity concentration in W is kept sufficiently low, so that no mutual diffusion occurs in the CMOSFET. The impurity concentration in Si is approximately 1 × 10. 20 / Cm Three So that gate depletion does not occur.
[0217]
In the above embodiment, a polycide using a W-based metal as a refractory metal or a polymetal structure has been described. However, the scope of the present invention is not limited to this, and other refractory metals or This can be achieved by forming a diffusion prevention layer made of an alloy containing a refractory metal, silicon and nitrogen at the interface between the refractory metal silicide and silicon. Further, the diffusion preventing layer may contain oxygen and carbon in addition to the three elements.
[0218]
As described above, according to the semiconductor device and the manufacturing method thereof (Embodiments 8 to 12) of the present invention, diffusion of impurities in polycrystalline silicon into metal or metal silicide in polycide or polymetal structure electrodes or wirings. Therefore, a highly reliable semiconductor device with excellent electrical characteristics and a method for manufacturing the same can be obtained.
[0219]
【The invention's effect】
As described above in detail, according to the present invention (Claim 1), an electrode (wiring) having a structure in which a conductive antioxidant film is provided between a metal film made of a refractory metal and a semiconductor film is employed. Therefore, oxidation of the semiconductor film at the interface between the metal film and the semiconductor film in the post-oxidation process can be prevented, and an increase in contact resistance can be suppressed. Therefore, the advantage of using a refractory metal can be sufficiently exhibited.
[0220]
Further, according to the present invention (Claim 2), an electrode (wiring) having a structure in which a conductive antioxidant film electrode is provided under a metal film made of a refractory metal is employed. Thus, oxidation of the semiconductor layer under the electrode (wiring) can be prevented, and deterioration of element characteristics due to the thickening of the insulating film can be prevented. Therefore, the advantage of using a refractory metal can be sufficiently exhibited.
[Brief description of the drawings]
FIG. 1 is a process cross-sectional view illustrating a method for forming a sample according to a first embodiment of the present invention.
FIG. 2 is a graph showing the oxidation temperature dependence of the oxide film thickness of the sample of FIG. 1 in comparison with the prior art.
FIG. 3 is a process cross-sectional view illustrating a method for forming a gate electrode (polymetal gate) according to a second embodiment of the present invention.
FIG. 4 is a cross-sectional view of a conventional gate electrode (polymetal gate).
FIG. 5 is a process cross-sectional view illustrating a sample forming method according to a third embodiment of the present invention.
6 is a graph showing the oxidation temperature dependence of the oxide film thickness of the sample of FIG.
FIG. 7 is a process cross-sectional view illustrating another sample forming method according to the third embodiment of the present invention.
8 is a graph showing the oxidation temperature dependence of the oxide film thickness of the sample of FIG.
FIG. 9 is a process cross-sectional view illustrating a method for forming a gate electrode (metal gate) according to a fourth embodiment of the present invention.
FIG. 10 is a process cross-sectional view illustrating a method for forming a gate electrode (polymetal gate) according to a fifth embodiment of the present invention.
FIG. 11 is a process cross-sectional view illustrating a method for forming the first half of a field effect transistor according to a sixth embodiment of the present invention.
FIG. 12 is a process cross-sectional view illustrating a method for forming a second half of a field effect transistor according to a sixth embodiment of the present invention.
FIG. 13 is a process sectional view showing a method for forming a field effect transistor for EEPROM according to a seventh embodiment of the present invention;
FIG. 14 is a diagram showing an evaluation result by XPS of a sample according to the first embodiment of the present invention.
FIG. 15 is a process cross-sectional view illustrating a conventional gate electrode manufacturing method using a titanium nitride film as a barrier layer.
FIG. 16 is a cross-sectional view showing an entrance path of an oxidant in a conventional gate electrode.
FIG. 17 is a diagram showing an As diffusion suppression effect in an eighth embodiment of the present invention.
FIG. 18 is a view showing the diffusion suppression effect of B in the eighth embodiment of the present invention.
FIG. 19 is a process sectional view showing the method for manufacturing the MOSFET according to the ninth embodiment of the invention.
FIG. 20 is a process sectional view showing the method for manufacturing the nonvolatile memory MOSFET according to the tenth embodiment of the invention.
FIG. 21 is a sectional view showing the structure of a nonvolatile memory MOSFET according to an eleventh embodiment of the present invention;
FIG. 22 is a sectional view showing the structure of a complementary MOSFET according to a twelfth embodiment of the present invention.
FIG. 23 is a cross-sectional view of a transistor for explaining problems of a conventional complementary MOSFET.
FIG. 24 is a plan view of a conventional complementary MOSFET and a cross-sectional view for explaining interdiffusion of impurities.
FIG. 25 is a cross-sectional view for explaining a complementary MOSFET manufacturing process according to the twelfth embodiment of the present invention;
FIG. 26 is a cross-sectional view for explaining a next step in a complementary MOSFET manufacturing process according to the twelfth embodiment of the present invention;
FIG. 27 is a cross-sectional view for explaining a next step in a complementary MOSFET manufacturing process according to the twelfth embodiment of the present invention;
FIG. 28 is a cross-sectional view for explaining a next step in a complementary MOSFET manufacturing process according to the twelfth embodiment of the present invention;
[Explanation of symbols]
1 ... Silicon substrate
2 ... Tungsten nitride film
3 ... Tungsten film
4 ... Oxidizing agent
10 ... Silicon substrate
11 ... Silicon oxide film (gate oxide film)
12 ... polycrystalline silicon film
13 ... Tungsten nitride film
14 ... Tungsten film
15 ... WSiN film (antioxidation film)
16 ... Silicon nitride film
17 ... Photoresist pattern
18… Corner
19 ... Silicon oxide film
20 ... Oxidizing agent
20a ... silicon substrate
21 ... Silicon oxide film
22 ... Tungsten nitride film
23 ... Tungsten film
30 ... Silicon substrate
31 ... Silicon oxide film
32 ... WSiN film (antioxidation film)
33 ... W film
40 ... Silicon substrate
41 ... Silicon oxide film (gate oxide film)
42 ... WSiN film (antioxidation film)
43 ... Tungsten film
44. Silicon nitride film
45. Photoresist pattern
46. Oxidizing agent
47 ... Corner part
50 ... Silicon substrate
51. Silicon oxide film (gate oxide film)
52. Polycrystalline silicon film
53 ... WSiC film (antioxidation film)
54. Tungsten film
55. Silicon nitride film
56 ... Photoresist pattern
57. Oxidizing agent
60 ... silicon substrate
61. Element isolation insulating film
62 ... Gate oxide film
63 ... polycrystalline silicon film
64 .. Tungsten nitride film
65. Tungsten film
66 ... WSiN layer
67. Silicon nitride film
68 ... resist pattern
69… Corner
70: Impurity diffusion layer
71 ... Silicon nitride film
72. Impurity diffusion layer
73 ... Metal silicide layer
74. Interlayer insulating film
75 ... Resist pattern
80 ... Board
81. Tunnel oxide film
82 ... polycrystalline silicon film
83 ... ONO film
84 ... WSiN
85 ... Tungsten film
86 ... Silicon nitride film
87 ... resist pattern

Claims (4)

基板上にシリコン膜を形成する工程と、
高融点金属として、Mo,W,Cr,Coの中の少なくとも1つを用いて、前記シリコン膜上に窒素および炭素の少なくとも一方と前記高融点金属とからなる化合物膜を形成する工程と、
前記化合物膜上に前記高融点金属からなる金属膜を形成する工程と
熱処理により、前記化合物膜を前記高融点金属に変えて前記金属膜と一体化するとともに、前記一体化した金属膜と前記シリコン膜との界面に、窒素および炭素の少なくとも一方と前記高融点金属とシリコンとを含む導電性の酸化防止膜を形成して、前記金属膜、前記酸化防止膜および前記シリコン膜の積層膜を含む電極および配線の少なくとも一方を形成する工程と、
前記酸化防止膜を形成した後に、前記シリコン膜に酸化処理を施す工程と、
を有することを特徴とする半導体装置の製造方法。
Forming a silicon film on the substrate;
Forming a compound film comprising at least one of nitrogen and carbon and the refractory metal on the silicon film using at least one of Mo, W, Cr, and Co as the refractory metal;
Forming a metal film made of the refractory metal on the compound film;
By heat treatment, the compound film is changed to the refractory metal and integrated with the metal film, and at the interface between the integrated metal film and the silicon film, at least one of nitrogen and carbon and the refractory metal Forming a conductive anti-oxidation film containing silicon and forming at least one of the metal film, the anti-oxidation film, and an electrode and a wiring including a laminated film of the silicon film;
A step of oxidizing the silicon film after forming the antioxidant film;
A method for manufacturing a semiconductor device, comprising:
基板上に半導体膜を形成する工程と、
前記半導体膜上に、Mo,W,Cr,Coの中の少なくとも1つの高融点金属と、窒素および炭素の少なくとも一方と、シリコンからなる化合物を含む導電性の酸化防止膜を形成する工程と、
前記酸化防止膜上に前記高融点金属からなる金属膜を形成する工程と、
前記金属膜、前記酸化防止膜および前記半導体膜から成る積層膜をエッチングして、前記積層膜を含む電極および配線の少なくとも一方を形成する工程と、
前記酸化防止膜を形成した後に、前記半導体膜に酸化処理を施す工程と、
を有することを特徴とする半導体装置の製造方法。
Forming a semiconductor film on the substrate;
Forming a conductive antioxidant film containing a compound comprising at least one refractory metal of Mo, W, Cr, Co, at least one of nitrogen and carbon, and silicon on the semiconductor film;
Forming a metal film made of the high melting point metal on the oxidation film,
Etching the laminated film comprising the metal film, the antioxidant film and the semiconductor film to form at least one of an electrode and a wiring including the laminated film;
A step of oxidizing the semiconductor film after forming the antioxidant film;
A method for manufacturing a semiconductor device, comprising:
半導体領域上に絶縁膜を形成する工程と、
前記絶縁膜上に、Mo,W,Cr,Coの中の少なくとも1つの高融点金属と、窒素および炭素の少なくとも一方と、シリコンからなる化合物を含む導電性の酸化防止膜を形成する工程と、
前記酸化防止膜上に前記高融点金属からなる金属膜を形成する工程と、
前記金属膜、前記酸化防止膜から成る積層膜をエッチングして、前記積層膜を含む電極および配線の少なくとも一方を形成する工程と、
前記酸化防止膜を形成した後に、前記半導体領域に酸化処理を施す工程と、
を有することを特徴とする半導体装置の製造方法。
Forming an insulating film on the semiconductor region;
Forming a conductive antioxidant film containing a compound comprising at least one refractory metal among Mo, W, Cr, and Co, at least one of nitrogen and carbon, and silicon on the insulating film;
Forming a metal film made of the high melting point metal on the oxidation film,
Etching the laminated film composed of the metal film and the antioxidant film to form at least one of an electrode and a wiring including the laminated film;
A step of oxidizing the semiconductor region after forming the antioxidant film;
A method for manufacturing a semiconductor device, comprising:
前記酸化処理を施す工程は、水素、水を含む雰囲気下で行われることを特徴とする請求項1、2および3のいずれかに記載の半導体装置の製造方法。  4. The method for manufacturing a semiconductor device according to claim 1, wherein the step of performing the oxidation treatment is performed in an atmosphere containing hydrogen and water.
JP18905496A 1995-08-25 1996-07-18 Manufacturing method of semiconductor device Expired - Lifetime JP3655013B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP18905496A JP3655013B2 (en) 1995-08-25 1996-07-18 Manufacturing method of semiconductor device
US08/701,716 US5907188A (en) 1995-08-25 1996-08-22 Semiconductor device with conductive oxidation preventing film and method for manufacturing the same
US09/267,376 US6133150A (en) 1995-08-25 1999-03-15 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP21788295 1995-08-25
JP8-60953 1996-03-18
JP6095396 1996-03-18
JP7-217882 1996-03-18
JP18905496A JP3655013B2 (en) 1995-08-25 1996-07-18 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JPH09312270A JPH09312270A (en) 1997-12-02
JP3655013B2 true JP3655013B2 (en) 2005-06-02

Family

ID=27297343

Family Applications (1)

Application Number Title Priority Date Filing Date
JP18905496A Expired - Lifetime JP3655013B2 (en) 1995-08-25 1996-07-18 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP3655013B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11142013B2 (en) 2016-05-27 2021-10-12 Esko Software Bvba Method for smoother tonal response in flexographic printing

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330468A (en) * 1998-05-20 1999-11-30 Hitachi Ltd Manufacture of semiconductor integrated circuit device
JP3570903B2 (en) * 1998-09-25 2004-09-29 株式会社ルネサステクノロジ Method for manufacturing semiconductor device
JP3988342B2 (en) * 1998-12-29 2007-10-10 株式会社ハイニックスセミコンダクター Method for forming gate electrode of semiconductor element
US6573132B1 (en) 1999-03-25 2003-06-03 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having contacts self-aligned with a gate electrode thereof
KR100338935B1 (en) * 1999-11-10 2002-05-31 박종섭 Gate forming method for semiconductor device
US6534401B2 (en) * 2000-04-27 2003-03-18 Applied Materials, Inc. Method for selectively oxidizing a silicon/metal composite film stack
JP3607684B2 (en) 2002-03-25 2005-01-05 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
JP2004022642A (en) 2002-06-13 2004-01-22 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2007165788A (en) * 2005-12-16 2007-06-28 Tokyo Electron Ltd Decarbonization treatment method of metallic film, deposition method, and method for manufacturing semiconductor device
US20150236151A1 (en) * 2014-02-18 2015-08-20 General Electric Company Silicon carbide semiconductor devices, and methods for manufacturing thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11142013B2 (en) 2016-05-27 2021-10-12 Esko Software Bvba Method for smoother tonal response in flexographic printing

Also Published As

Publication number Publication date
JPH09312270A (en) 1997-12-02

Similar Documents

Publication Publication Date Title
US7785958B2 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
KR100530401B1 (en) Semiconductor device having a low-resistance gate electrode
KR0179677B1 (en) Semiconductor device wiring or electrode
US7968397B2 (en) Semiconductor device and method of manufacturing the same
US20050130380A1 (en) Semiconductor device structures including metal silicide interconnects and dielectric layers at substantially the same fabrication level
US7635631B2 (en) Semiconductor device and manufacturing method for the same
JP2003273350A (en) Semiconductor device and method for manufacturing the same
JPH08139092A (en) Semiconductor device and manufacture thereof
JP2004158593A (en) Semiconductor device including insulated-gate field-effect transistor, and method for manufacturing same
JPH0523055B2 (en)
JP3655013B2 (en) Manufacturing method of semiconductor device
KR19980053694A (en) How to make MOSFET
JP3351635B2 (en) Semiconductor device and method of manufacturing the same
US6326670B1 (en) Semiconductor device and method for manufacturing the same
JP2007134456A (en) Method of manufacturing semiconductor device
US6492264B2 (en) Semiconductor device having a silicide layer with silicon-rich region and method for making the same
US20050250258A1 (en) Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US6403458B2 (en) Method for fabricating local interconnect structure for integrated circuit devices, source structures
JPH0922999A (en) Mis type semiconductor device and manufacture thereof
KR100241200B1 (en) Semiconductor device and method for manufacturing the same
US20010045650A1 (en) Local interconnect structure for integrated circuit devices, source structure for the same, and method for fabricating the same
JPH0730108A (en) Mis type semiconductor device and its manufacture
JPH1027902A (en) Formation of gate electrode
JPH0154853B2 (en)
JPH02103966A (en) Manufacture of semiconductor memory device

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050302

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080311

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090311

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100311

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100311

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110311

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120311

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130311

Year of fee payment: 8