JP3526284B2 - Substrate surface treatment method - Google Patents

Substrate surface treatment method

Info

Publication number
JP3526284B2
JP3526284B2 JP2001213172A JP2001213172A JP3526284B2 JP 3526284 B2 JP3526284 B2 JP 3526284B2 JP 2001213172 A JP2001213172 A JP 2001213172A JP 2001213172 A JP2001213172 A JP 2001213172A JP 3526284 B2 JP3526284 B2 JP 3526284B2
Authority
JP
Japan
Prior art keywords
vapor
etching
oxide film
substrate surface
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001213172A
Other languages
Japanese (ja)
Other versions
JP2003031548A (en
Inventor
はるる 渡津
Original Assignee
エム・エフエスアイ株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エム・エフエスアイ株式会社 filed Critical エム・エフエスアイ株式会社
Priority to JP2001213172A priority Critical patent/JP3526284B2/en
Publication of JP2003031548A publication Critical patent/JP2003031548A/en
Application granted granted Critical
Publication of JP3526284B2 publication Critical patent/JP3526284B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、例えば、半導体素
子形成用の基板等に素子構造を構築する際に用いる、基
板上に形成されている必要とする熱酸化膜や素子構造を
損なうことなく、基板上に形成された自然酸化膜を選択
的に除去することのできる基板表面の処理方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention is used, for example, in constructing an element structure on a substrate for semiconductor element formation, etc. without damaging a necessary thermal oxide film formed on the substrate or the element structure. The present invention relates to a substrate surface treatment method capable of selectively removing a natural oxide film formed on a substrate.

【0002】[0002]

【従来の技術】ソース、ドレイン及びゲートの各要素を
有する微細な電気回路は、高純度の単結晶シリコンを基
板として用いたMOS(金属酸化膜−シリコン)型半導
体素子に代表されるように、ゲート部の絶縁材にSiO
2膜を用いる場合がある。近年、このゲート酸化膜の薄
膜化が進んでいるが、この薄膜を形成する過程で特に重
要なことは、均一で且つ一様な高品質な物質(Si
2)でゲート酸化膜を形成することにある。微細な素
子を製造するにあたり、このことは特に製品歩留まりを
左右する重要な要素の一つとなる。
2. Description of the Related Art A fine electric circuit having source, drain and gate elements is represented by a MOS (metal oxide film-silicon) type semiconductor device using high-purity single crystal silicon as a substrate. SiO for the gate insulating material
Two membranes may be used. In recent years, the thickness of this gate oxide film has been reduced, but what is particularly important in the process of forming this thin film is a uniform and uniform high-quality substance (Si
O 2 ) is to form the gate oxide film. This is one of the important factors that particularly affect the product yield when manufacturing fine devices.

【0003】更に、上記に加えて、近年のゲート酸化膜
の薄膜化の傾向に伴って、より薄く高品質なゲート酸化
膜を形成するために、ゲート酸化膜の形成前におけるシ
リコン基板表面を高度に清浄にすることがより重要とな
る。このためには、基板表面に付着する金属や有機物を
主たる成分とする不純物や、製造環境に浮遊する種々の
微細な粒子や、更には、シリコン表面に形成される自然
酸化膜等といった、素子に不要な種々の阻害要因が表面
から完全に除去された極めて清浄な状態の基板が与えら
れる必要がある。
Further, in addition to the above, in order to form a thinner and higher quality gate oxide film in accordance with the recent tendency of thinning the gate oxide film, the surface of the silicon substrate before forming the gate oxide film is advanced. Cleanliness becomes more important. To this end, impurities such as metal and organic substances that adhere to the surface of the substrate as the main components, various fine particles floating in the manufacturing environment, and even a device such as a natural oxide film formed on the silicon surface are used. It is necessary to provide a substrate in a very clean state in which various unnecessary obstructive factors are completely removed from the surface.

【0004】従来より、清浄な基板表面を実現するた
め、ゲート酸化膜を形成する前には必ず表面処理を兼ね
た洗浄処理が施されている。一般にこれを炉前洗浄と称
している。そして、この炉前洗浄の一工程である自然酸
化膜除去には、通常、希フッ酸による湿式処理が用いら
れている。更に、炉前洗浄を気相中で行う乾式処理も知
られており、例えば、特開平9−102490号公報に
は、水蒸気又はアルコールを含むガスによる処理と、無
水フッ化水素ガスによる処理を繰り返すことにより自然
酸化膜を除去する方法が開示されている。本発明者ら
は、既に、室温以上に加熱した不活性ガスと無水フッ化
水素ガスにより自然酸化膜を選択的に除去する方法を提
案している(特願2000−135881参照)。
Conventionally, in order to realize a clean substrate surface, a cleaning treatment which also serves as a surface treatment is always performed before forming the gate oxide film. This is generally called pre-furnace cleaning. Then, a wet treatment with dilute hydrofluoric acid is usually used for removing the natural oxide film, which is one step of the pre-furnace cleaning. Furthermore, a dry process in which pre-furnace cleaning is performed in a gas phase is also known, and, for example, in JP-A-9-102490, a process with a gas containing steam or alcohol and a process with anhydrous hydrogen fluoride gas are repeated. Accordingly, a method of removing the natural oxide film is disclosed. The present inventors have already proposed a method of selectively removing a natural oxide film by using an inert gas heated to room temperature or higher and anhydrous hydrogen fluoride gas (see Japanese Patent Application No. 2000-135881).

【0005】[0005]

【発明が解決しようとする課題】しかしながら、従来か
ら広く実施されている湿式処理では、基板の最表面上の
不純物を除去するのに濃厚で高温の薬液を多量に用いる
ため、処理後における廃液処理の問題がある。また、湿
式処理に用いる薬液自身の清浄度には限界があり、これ
に起因するクロスコンタミネーションの可能性も考えら
れる。更に、処理性能的にも、湿式処理では、薬液濃度
や処理時間等に対する設定値と真値とのずれから、再現
よく、狙い通りの処理を行うことが難しいという問題も
ある。
However, in the wet treatment which has been widely practiced in the past, a large amount of concentrated and high-temperature chemical liquid is used to remove impurities on the outermost surface of the substrate. Therefore, the waste liquid treatment after the treatment is performed. I have a problem. Further, there is a limit to the cleanliness of the chemical liquid itself used in the wet treatment, and there is a possibility that cross contamination may occur due to this. Furthermore, in terms of processing performance, there is also a problem in wet processing that it is difficult to perform the intended processing with good reproducibility due to the difference between the set value and the true value with respect to the chemical concentration, the processing time, and the like.

【0006】これに対し、ガスエッチング媒体を用いて
気相中でエッチング処理行う前記した特開平9−102
490号公報に記載の方法によれば、湿式処理の場合と
異なり薬液に起因するクロスコンタミネーションの心配
もなく、再現よく狙い通りの処理を行うことが可能であ
る。しかしながら、気相エッチング処理方法では、必要
とする熱酸化膜が著しく損なわれることはないが、2〜
3nm(20〜30Å)程度の熱酸化膜のエッチングは
免れられない。従って、処理が、極薄ゲート形成におけ
る基板の炉前洗浄のような場合には、気相エッチング処
理による酸化膜のエッチング量が、必要とする熱酸化膜
の許容範囲を超えてしまうことが起こり、適用できな
い。また、酸化膜の気相エッチングに伴う酸化膜表面の
マイクロラフネス(微細凹凸)の発生による電気特性の
劣化も免れられない。
On the other hand, the above-mentioned Japanese Patent Laid-Open No. 9-102, in which an etching treatment is carried out in a gas phase using a gas etching medium.
According to the method described in Japanese Patent No. 490, unlike the case of the wet treatment, it is possible to perform the intended treatment with good reproducibility without worrying about cross contamination due to the chemical solution. However, the vapor-phase etching treatment method does not significantly impair the required thermal oxide film.
The etching of the thermal oxide film of about 3 nm (20 to 30 Å) is inevitable. Therefore, when the process is pre-furnace cleaning of the substrate in the formation of an ultra-thin gate, the etching amount of the oxide film by the vapor phase etching process may exceed the allowable range of the required thermal oxide film. , Not applicable. In addition, deterioration of electrical characteristics is inevitable due to occurrence of microroughness (fine irregularities) on the surface of the oxide film due to vapor-phase etching of the oxide film.

【0007】これに対し、本発明者らが既に提案してい
る前記した特願2000−135881の方法は、必要
とする熱酸化膜がエッチングされるのを抑制しつつ、選
択的に自然酸化膜をエッチングできるという点で非常に
有効な方法であり、極薄ゲート形成における基板の炉前
洗浄のような場合にも適用可能である。しかしながら、
本発明者らの検討によれば、かかる方法によっても酸化
膜表面のマイクロラフネスの発生は免れられないため、
ゲート形成前の自然酸化膜除去の用途等においては適用
が難しいことがわかった。
On the other hand, the method of the above-mentioned Japanese Patent Application No. 2000-135881, which has been already proposed by the present inventors, selectively suppresses the etching of the required thermal oxide film and selectively removes the natural oxide film. This is a very effective method in that it can etch the substrate, and can be applied to cases such as pre-furnace cleaning of a substrate in forming an ultrathin gate. However,
According to the study by the present inventors, the occurrence of microroughness on the oxide film surface cannot be avoided even by such a method.
It was found to be difficult to apply in applications such as natural oxide film removal before gate formation.

【0008】従って、本発明の目的は、基板上に形成さ
れている必要とする熱酸化膜を許容範囲を超えて損なう
ことがなく、しかも処理後の膜表面にマイクロラフネス
が発生することがなく、シリコン基板上に形成された自
然酸化膜を選択的に均一に除去し、極めて清浄な状態の
基板表面を与える基板表面の処理方法を提供することに
ある。本発明の目的は、特に、より薄く高品質なゲート
酸化膜を形成する必要のある半導体素子の製造の際に有
用な、基板表面の処理方法を提供することにある。
Therefore, the object of the present invention is to prevent the required thermal oxide film formed on the substrate from being damaged beyond the allowable range, and without causing microroughness on the film surface after the treatment. Another object of the present invention is to provide a method for treating a substrate surface, which removes a natural oxide film formed on a silicon substrate selectively and uniformly to provide an extremely clean substrate surface. An object of the present invention is to provide a method for treating a substrate surface, which is particularly useful in manufacturing a semiconductor device in which a thinner and higher quality gate oxide film needs to be formed.

【0009】[0009]

【課題を解決するための手段】上記の目的は、下記の本
発明により達成される。即ち、本発明は、同一基板上
に、必要とする熱酸化膜と自然酸化膜とが混在している
シリコン基板表面の処理方法において、少なくとも下記
の(1)〜(8)の工程を含み、これらの工程が順次行
われることを特徴とする基板表面の処理方法である。 (1)第1エッチング工程前にベーパーを基板表面に供
給する工程 (2)HFベーパーを用い、熱酸化膜はエッチングされ
ず酸素濃度の高い自然酸化膜がエッチングされる条件
自然酸化膜の表面部分のエッチングを行う第1エッチン
グ工程 (3)第1エッチング工程後にベーパーを基板表面に供
給する工程 (4)不活性ガスによってチャンバー内及び基板表面を
パージする工程 (5)第2エッチング工程前にベーパーを基板表面に供
給する工程 (6)自然酸化膜の基板との界面部分をHFベーパー
用い、熱酸化膜はエッチングされずSiO 2 /Si界面
部分がエッチングされる条件でエッチングする第2エッ
チング工程 (7)第2エッチング工程後にベーパーを基板表面に供
給する工程 (8)脱イオン水によるリンス処理及びスピン乾燥を行
う工程
The above objects can be achieved by the present invention described below. That is, according to the present invention, the necessary thermal oxide film and natural oxide film are mixed on the same substrate.
A method for treating a surface of a silicon substrate, comprising at least the following steps (1) to (8), and sequentially performing these steps. (1) Step of supplying vapor to the substrate surface before the first etching step (2) Using HF vapor , the thermal oxide film is etched
First etching step of etching the surface portion of the natural oxide film under the condition that the natural oxide film having a high oxygen concentration is etched (3) Step of supplying vapor to the substrate surface after the first etching step (4) Inert gas (5) Supplying vapor to the substrate surface before the second etching step (6) Purging the inside of the chamber and the substrate surface by (6) HF vapor at the interface portion of the native oxide film with the substrate
The thermal oxide film is not etched and the SiO 2 / Si interface is used.
Second etching step for etching under the condition that a portion is etched (7) Step for supplying vapor to the substrate surface after the second etching step (8) Step for rinsing with deionized water and spin drying

【0010】本発明者らは、上記した従来技術の課題に
ついて鋭意検討した結果、同一の基板上に、必要とする
熱酸化膜と自然酸化膜とが混在している基板表面を、熱
酸化膜を損なうことなく高度に清浄にでき、しかも気相
洗浄した場合にみられる熱酸化膜表面のマイクロラフネ
スの発生を有効に抑制するためには、下記に挙げる手順
に従って処理することが有効であることを見いだして本
発明に至った。
As a result of diligent studies on the above-mentioned problems of the prior art, the present inventors have found that a substrate surface on which the required thermal oxide film and a natural oxide film are mixed is formed on the same substrate. In order to effectively suppress the generation of micro-roughness on the surface of the thermal oxide film that can be seen in the case of vapor phase cleaning, it is effective to perform the treatment according to the following procedure. The inventors have found the present invention and have reached the present invention.

【0011】即ち、先ず、酸素濃度の高い自然酸化膜の
表面部分と熱酸化膜とのエッチング選択性が最もよい条
件(即ち、熱酸化膜がエッチングされず、自然酸化膜が
エッチングされる条件)でエッチング処理し(第1エッ
チング工程)、自然酸化膜の表面部分のみを除去する。
続いて、ベーパーを供給することで反応を収束させ、及
び不活性ガスによって基板表面のパージを行って第1エ
ッチングの影響を除いた後、第2エッチング工程を行
う。該第2エッチング工程は、酸素濃度の低いSiO2
/Si界面部分と熱酸化膜とのエッチング選択性が最も
よい条件(即ち、熱酸化膜がエッチングされず、SiO
2/Si界面部分がエッチングされる条件)にてSiO2
/Si界面部分をエッチング処理する。これらの処理の
結果、必要とする熱酸化膜を許容範囲を超えて損なうこ
となく、シリコン上の自然酸化膜を選択的に除去するこ
とができる。
That is, first, the condition that the etching selectivity between the surface portion of the natural oxide film having a high oxygen concentration and the thermal oxide film is the best (that is, the condition that the thermal oxide film is not etched but the natural oxide film is etched). Is etched (first etching step) to remove only the surface portion of the natural oxide film.
Subsequently, the reaction is converged by supplying vapor, and the surface of the substrate is purged with an inert gas to remove the influence of the first etching, and then the second etching step is performed. The second etching step is performed with SiO 2 having a low oxygen concentration.
/ Si interface and the thermal oxide film have the best etching selectivity (that is, the thermal oxide film is not etched and
SiO 2 under the condition that the 2 / Si interface is etched)
Etching is applied to the / Si interface portion. As a result of these treatments, the native oxide film on silicon can be selectively removed without damaging the required thermal oxide film beyond the allowable range.

【0012】更に、上記第2エッチング処理後、脱イオ
ン水によるリンス処理の前に、不活性ガス、好ましくは
水蒸気を多く含んだ不活性ガスを充分な時間供給すれ
ば、第2エッチング処理によって活性な状態にある基板
表面を迅速に安定な状態とすることができ、この結果、
リンス処理工程において生じる熱酸化膜のエッチングが
抑制され、それに伴って生じていた熱酸化膜表面のマイ
クロラフネスの発生を有効に抑制できる。
Further, if an inert gas, preferably an inert gas containing a large amount of water vapor, is supplied for a sufficient time after the second etching treatment and before the rinsing treatment with deionized water, the second etching treatment activates. The substrate surface in a stable state can be quickly brought to a stable state, and as a result,
It is possible to suppress the etching of the thermal oxide film that occurs in the rinse treatment step, and effectively suppress the occurrence of microroughness on the surface of the thermal oxide film that is caused by etching.

【0013】[0013]

【発明の実態の形態】次に、好ましい実施の形態を挙げ
て本発明を詳細に説明する。以下、本発明の方法を実現
し得る装置の一例を、図面を参照しながら説明する。図
1に装置のフロー図を示した。図1の1はエッチング処
理を行うためのエッチングチャンバーであり、その下側
の2は、リンス及びスピン乾燥処理を行うためのリンス
チャンバーである。3はエッチングチャンバーに導入さ
れる反応ガス(例えば、無水フッ化水素ガス)のライ
ン、4は排気のラインである。尚、5のラインは、エッ
チング時に基板の裏面に反応ガスが回り込むのを防ぐた
めの裏面側からの不活性ガス(例えば、窒素ガス)の供
給ラインである。この供給ライン5からの裏面側への不
活性ガスの量は、マスフローコントローラー(以下、M
FCと略記)81で調整される。
DETAILED DESCRIPTION OF THE INVENTION The present invention will be described in detail below with reference to preferred embodiments. Hereinafter, an example of an apparatus that can implement the method of the present invention will be described with reference to the drawings. A flow chart of the apparatus is shown in FIG. 1 in FIG. 1 is an etching chamber for performing an etching process, and 2 on the lower side thereof is a rinse chamber for performing a rinse and spin drying process. Reference numeral 3 is a reaction gas line (for example, anhydrous hydrogen fluoride gas) introduced into the etching chamber, and 4 is an exhaust line. The line 5 is a line for supplying an inert gas (for example, nitrogen gas) from the back surface side for preventing the reaction gas from flowing around the back surface of the substrate during etching. The amount of the inert gas from the supply line 5 to the back surface side is determined by the mass flow controller (hereinafter referred to as M
FC hereinafter) is adjusted at 8 1.

【0014】図1に示したように、反応ガスである無水
フッ化水素ガスは、ニッケル製の液体無水フッ化水素ボ
トル6からガスとして配管を通って、MFC7によって
流量調整される。そして、この無水フッ化水素ガスは、
図1中に8で示したMFCで流量調整された窒素ガスと
共にエッチングチャンバー1に導入される。また、ベー
パータンクと呼ばれるタンク9内には、タンク容量の半
分程まで脱イオン水が貯められており、水蒸気は、その
水面にMFC82で流量調整した窒素ガスをキャリアー
ガスとして流すことで、エッチングチャンバー1内へと
導入される。このベーパータンク9は、常時、例えば、
25℃に温調されている。また、このチャンバー1内の
排気圧を一定に調整するために、図1に示したように、
バックプレッシャーコントローラー10が取り付けられ
ている。リンスチャンバー2に接続されているライン1
1は、リンス時の脱イオン水の供給ラインである。ま
た、ライン12はリンス後の脱イオン水の排水ラインで
ある。
As shown in FIG. 1, the flow rate of the anhydrous hydrogen fluoride gas which is a reaction gas is adjusted by the MFC 7 from the liquid anhydrous hydrogen fluoride bottle 6 made of nickel as a gas through a pipe. And this anhydrous hydrogen fluoride gas is
It is introduced into the etching chamber 1 together with the nitrogen gas whose flow rate is adjusted by the MFC shown by 8 in FIG. In addition, deionized water is stored up to about half the tank capacity in a tank 9 called a vapor tank, and water vapor is etched by flowing nitrogen gas whose flow rate is adjusted by MFC8 2 as a carrier gas on the water surface. It is introduced into the chamber 1. This vapor tank 9 is always
The temperature is controlled to 25 ° C. Further, in order to adjust the exhaust pressure in the chamber 1 to a constant value, as shown in FIG.
The back pressure controller 10 is attached. Line 1 connected to rinse chamber 2
1 is a supply line of deionized water at the time of rinsing. Line 12 is a drain line for deionized water after rinsing.

【0015】図2〜4に、上記フロー図におけるエッチ
ングチャンバー1とリンスチャンバー2とで構成された
処理チャンバー部分の構造を模式的に示した。図2は、
基板を処理チャンバー内に設置、或いは取り外す際にお
けるエッチングチャンバー1の状態を示す模式断面図で
ある。図2中の21は、基板20を設置し、回転させる
ためのターンテーブルであり、その下の24は、そのた
めのモーターである。そして、基板20をターンテーブ
ル21の中心にある真空チャックにより固定し、最大
3,000rpmまで回転させることができる。22
は、供給口3からエッチングチャンバー1内に入ってき
たガスを均一に基板20上に供給するためのPTFE製
の薄い膜(ディフーザーメンブレン)である。また、2
3にも高分子ポリエチレンの膜(イグゾーストメンブレ
ン)が取り付けられており、均一に排気口4から排気が
行われるようになっている。
2 to 4 schematically show the structure of the processing chamber portion constituted by the etching chamber 1 and the rinsing chamber 2 in the above flow chart. Figure 2
It is a schematic cross section which shows the state of the etching chamber 1 at the time of installing or removing a board | substrate in a process chamber. Reference numeral 21 in FIG. 2 is a turntable for setting and rotating the substrate 20, and 24 under the turntable is a motor therefor. Then, the substrate 20 can be fixed by a vacuum chuck at the center of the turntable 21 and rotated up to 3,000 rpm. 22
Is a thin film (diffuser membrane) made of PTFE for uniformly supplying the gas entering the etching chamber 1 from the supply port 3 onto the substrate 20. Also, 2
A membrane of high-molecular polyethylene (exhaust membrane) is also attached to 3 so that the gas can be uniformly exhausted from the exhaust port 4.

【0016】図3は、上記のような処理チャンバー内
で、エッチング処理を行う際におけるエッチングチャン
バー1の状態を示す模式断面図である。図3に示したよ
うに、上記で説明したディフーザーメンブレン22を介
して均一に導入され、イグゾーストメンブレン23によ
って排気されることで、ガスが均一に基板20上に供給
される構造となっている。また、図4は、エッチングチ
ャンバー1の下部にあるリンスチャンバー2内にてリン
ス、スピン乾燥を行う時のリンスチャンバー2の状態を
示した模式断面図である。図4に示したように、この場
合は、図3で説明したエッチングポジションから基板2
0自身、及びそれを保持するターンテーブル21等は移
動せずに、エッチングチャンバー1及びリンスチャンバ
ー2が上方に移動した状態となる。そして、この状態
で、図1に示したリンス時の供給ライン11からリンス
ノズル25を介して、基板20の中心付近に脱イオン水
を、好ましくは450ml/minで噴射させる。リン
ス後の脱イオン水は、図1及び図4に示したように、下
部のドレイン13より排水される。
FIG. 3 is a schematic cross-sectional view showing the state of the etching chamber 1 when performing the etching process in the above-mentioned processing chamber. As shown in FIG. 3, the gas is uniformly introduced through the diffuser membrane 22 described above and exhausted by the exhaust membrane 23, so that the gas is uniformly supplied onto the substrate 20. There is. Further, FIG. 4 is a schematic cross-sectional view showing a state of the rinse chamber 2 when performing rinsing and spin drying in the rinse chamber 2 below the etching chamber 1. As shown in FIG. 4, in this case, the substrate 2 is moved from the etching position described in FIG.
0 itself, and the turntable 21 and the like holding it, do not move, and the etching chamber 1 and the rinsing chamber 2 move upward. Then, in this state, deionized water is sprayed from the supply line 11 at the time of rinsing shown in FIG. 1 through the rinse nozzle 25 to the vicinity of the center of the substrate 20, preferably at 450 ml / min. The deionized water after rinsing is drained from the drain 13 at the bottom, as shown in FIGS. 1 and 4.

【0017】本発明の基板表面の処理方法では、上記で
説明した装置を用い、順次、下記の(1)〜(8)の各
工程を実施する。以下に(1)〜(8)の各工程につい
て説明する。工程(1) 工程(1)で、水蒸気又はアルコールを含んだ不活性ガ
ス(ベーパー)を基板表面に供給する。工程(1)の目
的は、不活性ガスをキャリアとして、後述するエッチン
グの触媒作用を有する水蒸気又はアルコールを基板表面
部分に均一に付着させることにある。即ち、エッチング
の反応触媒となる水等を、次の第1エッチング工程前に
ばらつきなく均一に基板表面に付着させることで、次の
工程(2)において不均一なエッチングや、表面にマイ
クロラフネスが発生するのを防ぐことが可能となる。本
工程において供給するベーパー量は150〜200Lで
あることが好ましく、用いる不活性ガスとしては通常、
窒素ガスが用いられる。工程(1)で使用するエッチン
グの反応触媒となるものとしては、特に水蒸気を使用す
ることが望ましいが、イソプロピルアルコール(IP
A)等のアルコールを使用することもできる。
In the method for treating a substrate surface of the present invention, the above-mentioned apparatus is used to sequentially perform the following steps (1) to (8). Each of the steps (1) to (8) will be described below. Step (1) In step (1), an inert gas (vapor) containing water vapor or alcohol is supplied to the substrate surface. The purpose of the step (1) is to uniformly deposit water vapor or alcohol having a catalytic action for etching, which will be described later, on the surface portion of the substrate using an inert gas as a carrier. That is, water or the like, which serves as a reaction catalyst for etching, is uniformly and evenly adhered to the substrate surface before the next first etching step, so that non-uniform etching or microroughness is generated on the surface in the next step (2). It is possible to prevent it from occurring. The amount of vapor supplied in this step is preferably 150 to 200 L, and the inert gas used is usually
Nitrogen gas is used. As the reaction catalyst for the etching used in the step (1), it is preferable to use water vapor, but isopropyl alcohol (IP
It is also possible to use alcohols such as A).

【0018】工程(2) 本工程は、工程(1)で行ったベーパー供給後に行う第
1エッチング工程であり、基板上に形成された自然酸化
膜の表面部分のエッチングを行うことを目的とする。か
かる第1エッチング工程では、不活性ガスをキャリアと
して、無水フッ化水素ガスと、水蒸気又はアルコールを
添加した反応ガス(HFベーパー)を用いる。本工程で
は、この際に5〜8[×10-2vol%]の無水フッ化
水素ガスを用い、20〜30秒間の処理を行うことが好
ましい。
Step (2) This step is the first etching step performed after the vapor supply performed in step (1), and is intended to etch the surface portion of the natural oxide film formed on the substrate. . In the first etching step, an anhydrous hydrogen fluoride gas and a reaction gas (HF vapor) added with water vapor or alcohol are used with an inert gas as a carrier. In this step, it is preferable to perform the treatment for 20 to 30 seconds using anhydrous hydrogen fluoride gas of 5 to 8 [× 10 -2 vol%] at this time.

【0019】工程(3) 工程(3)は、ベーパーを基板表面に供給する工程であ
るが、先の工程(2)の第1エッチング工程におけるエ
ッチング処理を速やかに収束させる目的で行う。即ち、
本工程でベーパーを基板表面に供給することで、エッチ
ング工程後も基板表面上に残存しているHFベーパーを
速やかに除去し、エッチング処理を所望の段階で収束さ
せる。工程(3)において、基板表面に供給するベーパ
ー量は500〜700Lであることが好ましく、用いる
ベーパーとしては工程(1)で使用するものと同様のも
のを用いることが好ましい。
Step (3) Step (3) is a step of supplying vapor to the surface of the substrate, and is carried out for the purpose of promptly converging the etching process in the first etching step of the previous step (2). That is,
By supplying the vapor to the substrate surface in this step, the HF vapor remaining on the substrate surface after the etching step is quickly removed, and the etching process is converged at a desired stage. In the step (3), the amount of vapor supplied to the substrate surface is preferably 500 to 700 L, and the same vapor as that used in the step (1) is preferably used.

【0020】工程(4) 工程(4)では、工程(3)におけるベーパーの供給に
よって、工程(2)における第1エッチング処理を収束
させた後、不活性ガスによりチャンバー内及び基板表面
をパージする。かかる工程(4)の目的は、工程(2)
の1回目のエッチング処理を行う第1エッチング工程に
て供給したチャンバー内のHFベーパーを不活性ガスに
置き換えることで、工程(6)における2回目のエッチ
ング処理に対して、1回目のエッチング処理のHFベー
パーの影響が及ぶのを防ぐことにある。本工程において
供給する不活性ガス量は200〜400Lであることが
好ましい。用いる不活性ガスとしては、工程(1)等で
使用するものと同様の不活性ガスを用いることができ
る。
Step (4) In step (4), the first etching treatment in step (2) is converged by supplying vapor in step (3), and then the chamber and the substrate surface are purged with an inert gas. . The purpose of the step (4) is the step (2).
By replacing the HF vapor in the chamber supplied in the first etching step of performing the first etching process of step 1 with an inert gas, the first etching process can be performed with respect to the second etching process in step (6). It is to prevent the influence of HF vapor. The amount of the inert gas supplied in this step is preferably 200 to 400L. As the inert gas used, the same inert gas as that used in the step (1) or the like can be used.

【0021】工程(5) 工程(5)では、ベーパーを基板表面に均一に供給す
る。かかる工程の目的は、不活性ガスをキャリアとし
て、前記した工程(1)と同様に、ベーパーの供給によ
って、エッチングにおいて触媒作用を有する水蒸気又は
アルコールを基板表面部分に均一に付着させることにあ
る。そして、次の、第2エッチング工程(6)におい
て、不均一なエッチングや、表面にマイクロラフネスが
発生するのを有効に防止する。本工程において供給する
ベーパー量は、工程(1)の場合と同様に150〜20
0Lであることが好ましい。使用するベーパーについて
は、工程(1)で挙げられたものと同様のものを用いる
ことができる。
Step (5) In step (5), vapor is uniformly supplied to the substrate surface. The purpose of this step is to use an inert gas as a carrier and, as in the case of the above step (1), to uniformly adhere vapor or alcohol having a catalytic action in etching to the substrate surface portion by the supply of vapor. Then, in the next second etching step (6), non-uniform etching and occurrence of microroughness on the surface are effectively prevented. The amount of vapor supplied in this step is 150 to 20 as in the case of step (1).
It is preferably 0L. As the vapor to be used, the same ones as those mentioned in the step (1) can be used.

【0022】工程(6) 工程(6)は、自然酸化膜の基板との界面部分をHFベ
ーパーでエッチングする2回目のエッチング処理工程で
ある。この第2エッチング工程(6)の目的は、1回目
の第1エッチング工程で除去できなかった自然酸化膜と
シリコンとの界面部分を標的として、エッチング処理を
することにある。本工程では、10〜15[×10-2
ol%]の濃度の無水フッ化水素ガスを用い、3〜6秒
間処理を行うことが好ましい。即ち、第1のエッチング
工程におけるよりも高濃度のエッチングガスを用い、且
つ、短時間のエッチングを行う。
Step (6) Step (6) is a second etching step in which the interface portion of the natural oxide film with the substrate is etched with HF vapor. The purpose of this second etching step (6) is to perform the etching process by targeting the interface portion between the natural oxide film and silicon which could not be removed in the first etching step of the first time. In this process, 10 to 15 [× 10 -2 v
It is preferable to perform the treatment for 3 to 6 seconds using anhydrous hydrogen fluoride gas having a concentration of [ol%]. That is, the etching gas having a higher concentration than that in the first etching step is used and the etching is performed for a short time.

【0023】工程(7) 工程(7)では、1000〜1500Lのベーパーを基
板表面に供給する。かかる工程(7)は、2回目のエッ
チング処理を速やかに収束させる目的で行うものであ
り、ベーパーを基板表面に供給することで、第2エッチ
ング工程後も基板表面上に残存しているHFベーパーを
除去し、エッチング処理を速やかに収束させる。この結
果、エッチング後の活性な試料表面を不活性な状態とす
ることができ、次の工程(8)におけるリンス時に、熱
酸化膜が不均一にエッチングされ表面にマイクロラフネ
スが生じることを有効に防止できる。本工程において
は、更に、上記ベーパーとして水蒸気を多く含む不活性
ガスを用い、20〜40秒間処理することが好ましい。
このようにすれば、HFベーパーによって自然酸化膜が
除去されたシリコン表面を水素終端させることができる
ので、好ましい。
Step (7) In step (7), 1000 to 1500 L of vapor is supplied to the substrate surface. The step (7) is performed for the purpose of quickly converging the second etching process, and by supplying the vapor to the substrate surface, the HF vapor remaining on the substrate surface after the second etching step is also performed. Is removed, and the etching process is quickly converged. As a result, the active sample surface after etching can be made inactive, and it is effective that the thermal oxide film is nonuniformly etched during the rinsing in the next step (8) to cause microroughness on the surface. It can be prevented. In this step, it is preferable to further use an inert gas containing a large amount of water vapor as the vapor for 20 to 40 seconds.
This is preferable because the silicon surface from which the natural oxide film has been removed by HF vapor can be terminated with hydrogen.

【0024】工程(8) 工程(8)は、脱イオン水によるリンス処理及びスピン
乾燥を行う工程であり、本工程によって、基板表面上に
残存しているHFベーパーやべーパー成分、更には基板
表面上の付着物等が脱イオン水によって洗い流され、更
に、乾燥が行われて、基板表面の気相による良好な処理
が完結する。
Step (8) Step (8) is a step of rinsing with deionized water and spin drying, and by this step, the HF vapor and vapor components remaining on the substrate surface, and further the substrate The deposits and the like on the surface are washed away with deionized water and further dried to complete a good treatment of the substrate surface in the vapor phase.

【0025】本発明の方法では、図1に示したフロー図
の装置を用い、必要とする熱酸化膜と自然酸化膜とが混
在している基板表面に対して、上記で述べた工程(1)
〜(8)の手順に従って、無水フッ化水素ガス、及び水
蒸気又はアルコールによるエッチング処理を2回に分け
て行うことで、基板表面に形成されている熱酸化膜が許
容範囲を超えて損なうことなく、自然酸化膜の除去が行
われる。
In the method of the present invention, the apparatus of the flow chart shown in FIG. 1 is used, and the step (1) described above is applied to the substrate surface on which the required thermal oxide film and natural oxide film are mixed. )
By performing the etching treatment with anhydrous hydrogen fluoride gas and water vapor or alcohol in two steps according to the procedure of (8) to (8), the thermal oxide film formed on the substrate surface does not exceed the allowable range and is not damaged. The natural oxide film is removed.

【0026】下記に、本発明で用いるエッチングガスで
ある無水フッ化水素ガス、及びこれと共に水蒸気を用い
た場合におけるシリコン基板上に形成された自然酸化膜
(SiO2)のエッチングの反応式を示した。しかし、
ここに示した化学式は仮定であり、本発明を何ら限定す
るものではない。
The reaction formulas for etching the natural oxide film (SiO 2 ) formed on the silicon substrate when using anhydrous hydrogen fluoride gas which is the etching gas used in the present invention and steam together with the gas are shown below. It was But,
The chemical formulas given here are hypothetical and do not limit the invention in any way.

【0027】 [0027]

【0028】上記の(1)式は水蒸気を加えなかった系
における反応式である。無水フッ化水素ガスによる自然
酸化膜(SiO2)のエッチングにおいては、水蒸気H2
Oは触媒として働く。これに対して、H2Oを加えない
系の場合には、自然酸化膜のように水分を含んだ膜があ
ると、この膜中の水分が触媒となってエッチング反応が
進行する。しかし、熱酸化膜のように膜自身に水分をあ
まり含まないものでは、殆どエッチングされることがな
いか、若しくは、エッチングの反応速度が非常に遅い。
この結果、熱酸化膜と自然酸化膜とが混在している基板
表面上において、自然酸化膜を選択的にエッチングする
ことが可能となる。しかし、上記において熱酸化膜がエ
ッチングされる場合においては、熱酸化膜表面には外気
により不均一に水分が付着している状態にあるため、水
分が付着している部分のみエッチングされることが起こ
る。このため、自然酸化膜のエッチング処理を、不活性
ガスと無水フッ化水素ガスとからなる気相で行った場合
には、熱酸化膜表面にマイクロラフネスの発生が起こ
る。
The above formula (1) is a reaction formula in a system in which steam is not added. In etching the natural oxide film (SiO 2 ) with anhydrous hydrogen fluoride gas, water vapor H 2 is used.
O acts as a catalyst. On the other hand, in the case of a system in which H 2 O is not added, if there is a film containing water such as a natural oxide film, the water in the film serves as a catalyst to promote the etching reaction. However, if the film itself does not contain much moisture, such as a thermal oxide film, it is hardly etched or the reaction rate of etching is very slow.
As a result, the natural oxide film can be selectively etched on the surface of the substrate where the thermal oxide film and the natural oxide film are mixed. However, when the thermal oxide film is etched in the above, the moisture is unevenly attached to the surface of the thermal oxide film by the outside air, so that only the portion where the moisture is attached may be etched. Occur. Therefore, when the natural oxide film is etched in a gas phase composed of an inert gas and anhydrous hydrogen fluoride gas, microroughness occurs on the surface of the thermal oxide film.

【0029】これに対して、上記の(2−1)及び(2
−2)式は、触媒として水蒸気を用い、H2Oを併存さ
せた状態でエッチング処理した場合の反応式である。こ
の場合には、自然酸化膜(SiO2)表面がH2Oによっ
て水酸基に置換された後、無水フッ化水素によりエッチ
ングされるため、揮発性反応生成物であるSiF4と不
揮発性反応生成物が発生する[(2−1)及び(2−
2)式参照]。この際、不揮発性反応生成物は、Si、
O、F及びH等の元素から構成され、副反応生成物であ
るH2O中にイオン状態で存在する。この結果、効率の
よいエッチングがなされる。更に、本発明の方法では、
エッチングガスである無水フッ化水素ガスを供給する前
に、水蒸気又はアルコールのみを先に基板上に均一に供
給させておき、その後、エッチング処理を行う。このた
め、上記の(2−1)及び(2−2)式に示したような
反応が基板表面で均一に起こるため、マイクロラフネス
の発生のない均一なエッチングを行うことが可能とな
る。
On the other hand, the above (2-1) and (2
The equation (2) is a reaction equation when water vapor is used as a catalyst and an etching treatment is carried out in the presence of H 2 O. In this case, since the surface of the natural oxide film (SiO 2 ) is replaced by the hydroxyl group by H 2 O and then etched by anhydrous hydrogen fluoride, the volatile reaction product SiF 4 and the non-volatile reaction product Occurs [[2-1) and (2-
2) Expression]. At this time, the non-volatile reaction product is Si,
It is composed of elements such as O, F and H, and exists in an ionic state in H 2 O which is a side reaction product. As a result, efficient etching is performed. Further, in the method of the present invention,
Before supplying anhydrous hydrogen fluoride gas which is an etching gas, only water vapor or alcohol is first uniformly supplied onto the substrate, and then an etching process is performed. Therefore, the reactions shown in the above equations (2-1) and (2-2) occur uniformly on the surface of the substrate, and uniform etching can be performed without generation of microroughness.

【0030】[0030]

【実施例】次に、実施例及び比較例を挙げて本発明を更
に詳細に説明する。しかし、実施例はあくまで一つの事
例であり、本発明は、これらの実施例によって何ら制限
されない。処理サンプルとして、8インチのベアシリコ
ンウェーハと、5nm(50Å)の厚みの熱酸化膜付き
のウェーハを夫々用意した。そして、ベアシリコンウェ
ーハは、半導体素子形成用基板の有機汚染物除去用途と
して一般的に用いられている硫酸過水による湿式処理を
行い、表面に自然酸化膜を1〜1.5nm(10〜15
Å)成長させた。
EXAMPLES Next, the present invention will be described in more detail with reference to Examples and Comparative Examples. However, the embodiments are merely examples, and the present invention is not limited to these embodiments. An 8-inch bare silicon wafer and a wafer with a thermal oxide film having a thickness of 5 nm (50 Å) were prepared as processing samples. Then, the bare silicon wafer is subjected to a wet treatment with sulfuric acid / hydrogen peroxide which is generally used for removing organic contaminants from the substrate for semiconductor device formation, and a natural oxide film on the surface thereof is 1 to 1.5 nm (10 to 15 nm).
Å) Grow.

【0031】この熱酸化膜付きウェーハと、自然酸化膜
の成長したベアシリコンウェーハとに対して、本発明の
基板表面の処理方法を実施し得る図1に示したようなフ
ローを有する装置でエッチング処理を行った。この際の
処理チャンバーには、フッ化ビニリデン(PVDF)製
の密閉構造の処理チャンバー有する無水フッ化水素ベー
パー処理装置(EXCALIBUR(登録商標)ISR:FSI Interna
tional社製)を用いて処理を行った。また、処理チャン
バー内の温度は、ヒーターにより30℃に保ち、供給ガ
スも24℃に保た状態で行った。無水フッ化水素ガスに
は、純度99.9%のものを用いた。また、キャリアガ
スには、窒素ガスを用いた。
The wafer with the thermal oxide film and the bare silicon wafer on which the natural oxide film has grown are etched by the apparatus having the flow shown in FIG. 1 in which the method for treating the substrate surface of the present invention can be carried out. Processed. The processing chamber at this time is an anhydrous hydrogen fluoride vapor processing apparatus (EXCALIBUR (registered trademark) ISR: FSI Interna) having a processing chamber of a closed structure made of vinylidene fluoride (PVDF).
(manufactured by tional Co., Ltd.). The temperature inside the processing chamber was maintained at 30 ° C. by a heater, and the supply gas was also maintained at 24 ° C. The anhydrous hydrogen fluoride gas used had a purity of 99.9%. Nitrogen gas was used as the carrier gas.

【0032】<実施例1>処理に用いたウェーハは、前
記した自然酸化膜を成長させたベアシリコンウェーハ
と、熱酸化膜付きウェーハである。これらのウェーハを
上記した無水フッ化水素ベーパー処理装置にて処理を行
った。本実施例では、ウェーハを処理チャンバー内に設
置し、図3に示した状態として、エッチングチャンバー
1内でエッチング処理を開始する前に、先ず、チャンバ
ー内の空気を窒素ガスによってパージした。その後、水
蒸気を含んだ窒素ガスからなるH2Oベーパー170L
を、ガス供給ライン3からウェーハ20の表面に水を均
一に供給した。次に、ガス供給ライン3から、窒素ガス
中の無水フッ化水素ガス濃度が6.9×10-2vol%
となるように調整されているHFベーパーを20秒間供
給した。その後、HFベーパーの供給を止め、再び、先
に使用したと同様のH2Oベーパー544Lを供給した
後、ガス供給ライン3から窒素ガスのみを222L供給
してチャンバー内のパージを行った。その後、再びH2
Oベーパー70Lを供給した後、ガス供給ライン3か
ら、窒素ガス中の無水フッ化水素ガス濃度が13.8×
10-2vol%のHFベーパーを5秒間供給した。その
後、このHFベーパーの供給を止めて、再び、先に使用
したと同様のH2Oベーパー1288Lを30秒間供給
した。処理開始からここまでの処理の間、ウェーハは、
ターンテーブル21の回転に伴って回転速度50rpm
で回転させた。
<Embodiment 1> The wafers used for the treatment are a bare silicon wafer on which the above-mentioned natural oxide film is grown and a wafer with a thermal oxide film. These wafers were processed by the above anhydrous hydrogen fluoride vapor processing apparatus. In this example, the wafer was placed in the processing chamber, and in the state shown in FIG. 3, before starting the etching process in the etching chamber 1, first, the air in the chamber was purged with nitrogen gas. After that, 170 L of H 2 O vapor consisting of nitrogen gas containing water vapor
Was uniformly supplied to the surface of the wafer 20 from the gas supply line 3. Next, from the gas supply line 3, the concentration of anhydrous hydrogen fluoride gas in nitrogen gas was 6.9 × 10 -2 vol%.
The HF vapor adjusted so that the above was supplied for 20 seconds. After that, the supply of HF vapor was stopped, the same H 2 O vapor 544L as previously used was supplied again, and then 222L of nitrogen gas alone was supplied from the gas supply line 3 to purge the inside of the chamber. After that, H 2 again
After supplying 70 L of O vapor, the concentration of anhydrous hydrogen fluoride gas in the nitrogen gas was 13.8 × from the gas supply line 3.
10 −2 vol% HF vapor was fed for 5 seconds. Then, the supply of this HF vapor was stopped, and the same H 2 O vapor 1288L as used previously was supplied again for 30 seconds. During the process from the start to the process, the wafer is
Rotation speed of 50 rpm as the turntable 21 rotates
I rotated it.

【0033】その後、処理チャンバーを図4に示した状
態として、リンスチャンバー2のカップ内にて、回転速
度1,000rpmで回転させたウェーハ20の中心付
近にリンスノズル25より、図1に示したタンク9から
450ml/minの脱イオン水を10秒間供給し、脱
イオン水の供給を止めた。その後、ウェーハ20を3,
000rpmにて10秒間スピン乾燥を行った。また、
リンス及びスピン乾燥の際にも、常時、ガス供給ライン
3から窒素ガスの供給を行った。
After that, with the processing chamber in the state shown in FIG. 4, in the cup of the rinsing chamber 2, a rinse nozzle 25 is shown near the center of the wafer 20 rotated at a rotation speed of 1,000 rpm, as shown in FIG. 450 ml / min of deionized water was supplied from the tank 9 for 10 seconds, and the supply of deionized water was stopped. Then, the wafer 20 is
Spin drying was performed at 000 rpm for 10 seconds. Also,
Nitrogen gas was constantly supplied from the gas supply line 3 also during the rinse and spin drying.

【0034】上記の方法で処理した自然酸化膜付きベア
シリコンウェーハについて、処理前後の接触角の測定を
行い、その結果を表1に示した。尚、測定は、ウェーハ
表面の異なる3点で行った。その結果、表1に示したよ
うに、処理後のウェーハ表面の接触角は40°以上にま
で上昇しており、自然酸化膜が均一にエッチングされた
ことが確認できた。
With respect to the bare silicon wafer with a natural oxide film treated by the above method, the contact angle before and after the treatment was measured, and the results are shown in Table 1. The measurement was performed at three different points on the wafer surface. As a result, as shown in Table 1, the contact angle of the wafer surface after the treatment was increased to 40 ° or more, and it was confirmed that the native oxide film was uniformly etched.

【0035】 [0035]

【0036】また、熱酸化膜付きウェーハについては、
処理前後の熱酸化膜の膜厚測定を行い、その結果を表2
に示した。尚、測定はウェーハ表面の異なる3点で行っ
た。その結果、表2に示したように、酸化膜のロスは
0.3nm(3Å)程度に抑えられていた。
Regarding the wafer with the thermal oxide film,
The thickness of the thermal oxide film was measured before and after the treatment, and the results are shown in Table 2.
It was shown to. The measurement was performed at three different points on the wafer surface. As a result, as shown in Table 2, the loss of the oxide film was suppressed to about 0.3 nm (3Å).

【0037】 以上の表1及び表2の結果は、同一基板上に、必要とす
る熱酸化膜と自然酸化膜とが混在している基板表面の処
理において本実施例の方法を用いれば、熱酸化膜を許容
範囲を超えて損なうことなく、シリコン表面に成長した
自然酸化膜を除去できることを示している。
[0037] The results of Tables 1 and 2 above show that if the method of this example is used in the treatment of the substrate surface in which the required thermal oxide film and the natural oxide film are mixed on the same substrate, the thermal oxide film It shows that the natural oxide film grown on the silicon surface can be removed without exceeding the allowable range and damaging it.

【0038】<実施例2>実際のゲート酸化前の5nm
(50Å)熱酸化膜表面とシリコン表面の共存するウェ
ーハにて 実施例1と同様の処理を行った。そして、処
理前後の熱酸化膜部の膜厚測定を異なる4点にて行い、
その結果を表3に示した。この結果より、実際のデバイ
スウェーハにおいても、熱酸化膜のエッチングが抑制さ
れ、しかもエッチングされる量がほぼ均等に行われる状
態で自然酸化膜の除去ができることが確認できた。
<Example 2> 5 nm before actual gate oxidation
(50Å) The same treatment as in Example 1 was performed on a wafer in which the surface of the thermal oxide film and the surface of the silicon coexist. Then, the film thickness of the thermal oxide film before and after the treatment is measured at four different points,
The results are shown in Table 3. From this result, it was confirmed that even in the actual device wafer, the etching of the thermal oxide film was suppressed, and the natural oxide film could be removed in a state where the etching amount was almost even.

【0039】 [0039]

【0040】[0040]

【発明の効果】以上に説明したように、本発明によれ
ば、基板表面に熱酸化膜とシリコンが共存する場合にお
いて、熱酸化膜を許容範囲を超えて損なうことなく、シ
リコン表面に成長した自然酸化膜を選択的に除去するこ
とが可能である。
As described above, according to the present invention, when a thermal oxide film and silicon coexist on the substrate surface, the thermal oxide film is grown on the silicon surface without exceeding the allowable range. It is possible to selectively remove the natural oxide film.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の基板表面処理方法の一実施態様を説明
する図である。
FIG. 1 is a diagram illustrating an embodiment of a substrate surface treatment method of the present invention.

【図2】図1における、エッチングチャンバーの説明図
である。
FIG. 2 is an explanatory diagram of an etching chamber in FIG.

【図3】図1における、エッチングポジションを説明す
る図である。
FIG. 3 is a diagram illustrating an etching position in FIG.

【図4】図1における、リンスチャンバーを説明する図
である。
FIG. 4 is a diagram illustrating a rinse chamber in FIG. 1.

【符号の説明】[Explanation of symbols]

1:エッチングチャンバー 2:リンスチャンバー 3:ガス供給ライン 4:排気ライン 5:基板裏面へのガス供給ライン 6:無水フッ化水素容器 7:無水フッ化水素供給用MFC 8、81、82:不活性ガス供給用MFC 9:べーパータンク 10:バックプレッシャーコントローラー 11:リンス水供給ライン 12:リンス水排水ライン 13:ドレイン 20:基板 21:ターンテーブル 22:ディフーザーメンブレン 23:イグゾーストメンブレン 24:モーター 25:リンスノズル1: Etching chamber 2: Rinse chamber 3: Gas supply line 4: Exhaust line 5: Gas supply line to the rear surface of the substrate 6: Anhydrous hydrogen fluoride container 7: MFC for supplying anhydrous hydrogen fluoride 8, 8 1 , 8 2 : Inert gas supply MFC 9: Vapor tank 10: Back pressure controller 11: Rinse water supply line 12: Rinse water drain line 13: Drain 20: Substrate 21: Turntable 22: Diffuser membrane 23: Exhaust membrane 24: Motor 25: Rinse nozzle

フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/302 H01L 21/304 Continuation of front page (58) Fields surveyed (Int.Cl. 7 , DB name) H01L 21/302 H01L 21/304

Claims (8)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 同一基板上に、必要とする熱酸化膜と自
然酸化膜とが混在しているシリコン基板表面の処理方法
において、少なくとも下記の(1)〜(8)の工程を含
み、これらの工程が順次行われることを特徴とする基板
表面の処理方法。 (1)第1エッチング工程前に水蒸気又はアルコールを
含んだ不活性ガス(以下、ベーパーと呼ぶ)を基板表面
に供給する工程 (2)不活性ガスに無水フッ化水素ガスと水蒸気又はア
ルコールを添加した反応ガス(以下、HFベーパーと呼
ぶ)を用い、熱酸化膜はエッチングされず酸素濃度の高
い自然酸化膜がエッチングされる条件で自然酸化膜の表
面部分のエッチングを行う第1エッチング工程 (3)第1エッチング工程後にベーパーを基板表面に供
給する工程 (4)不活性ガスによってチャンバー内及び基板表面を
パージする工程 (5)第2エッチング工程前にベーパーを基板表面に供
給する工程 (6)自然酸化膜の基板との界面部分をHFベーパー
用い、熱酸化膜はエッチングされずSiO 2 /Si界面
部分がエッチングされる条件でエッチングする第2エッ
チング工程 (7)第2エッチング工程後にベーパーを基板表面に供
給する工程 (8)脱イオン水によるリンス処理及びスピン乾燥を行
う工程
1. A method of treating a surface of a silicon substrate in which a required thermal oxide film and a natural oxide film are mixed on the same substrate, including at least the following steps (1) to (8): The method for treating a substrate surface, which comprises sequentially performing the steps of. (1) Step of supplying an inert gas containing water vapor or alcohol (hereinafter referred to as vapor) to the substrate surface before the first etching step (2) Adding anhydrous hydrogen fluoride gas and water vapor or alcohol to the inert gas The reaction gas (hereinafter, referred to as HF vapor) is used, the thermal oxide film is not etched, and the oxygen concentration is high.
First etching step of etching the surface portion of the natural oxide film under the condition that the natural oxide film is etched (3) Step of supplying vapor to the substrate surface after the first etching step (4) Step of purging the substrate surface (5) Step of supplying vapor to the substrate surface before the second etching step (6) Applying HF vapor to the interface portion of the natural oxide film with the substrate
The thermal oxide film is not etched and the SiO 2 / Si interface is used.
Second etching step for etching under the condition that a portion is etched (7) Step for supplying vapor to the substrate surface after the second etching step (8) Step for rinsing with deionized water and spin drying
【請求項2】 第1エッチング工程(2)において、無
水フッ化水素ガスを5〜8[×10-2vol%]の濃度
で有するHFベーパーを用い、該HFベーパーによるエ
ッチング処理を20〜30秒間行う請求項1に記載の基
板表面の処理方法。
2. In the first etching step (2), HF vapor containing anhydrous hydrogen fluoride gas at a concentration of 5 to 8 [× 10 −2 vol%] is used, and the etching treatment by the HF vapor is performed at 20 to 30. The method for treating a substrate surface according to claim 1, which is performed for a second.
【請求項3】 第2エッチング工程(6)において、無
水フッ化水素ガスを10〜15[×10-2vol%]の
濃度で有するHFベーパーを用い、該HFベーパーによ
るエッチング処理を3〜6秒間行う請求項1に記載の基
板表面の処理方法。
3. In the second etching step (6), HF vapor containing anhydrous hydrogen fluoride gas at a concentration of 10 to 15 [× 10 −2 vol%] is used, and the etching treatment by the HF vapor is performed at 3 to 6 The method for treating a substrate surface according to claim 1, which is performed for a second.
【請求項4】 工程(1)及び/又は工程(5)におい
て、ベーパー150〜200Lを基板表面に供給する請
求項1に記載の基板表面の処理方法。
4. The method for treating a substrate surface according to claim 1, wherein in the step (1) and / or the step (5), 150 to 200 L of vapor is supplied to the substrate surface.
【請求項5】 第1エッチング後の工程(3)におい
て、ベーパー500〜700Lを基板表面に供給する請
求項1に記載の基板表面の処理方法。
5. The method for treating a substrate surface according to claim 1, wherein 500 to 700 L of vapor is supplied to the substrate surface in the step (3) after the first etching.
【請求項6】 不活性ガスによるパージ工程(4)にお
いて、200〜400Lの不活性ガスでチャンバー内及
び基板表面をパージする請求項1に記載の基板表面の処
理方法。
6. The method for treating a substrate surface according to claim 1, wherein in the purging step (4) with an inert gas, the inside of the chamber and the substrate surface are purged with 200 to 400 L of an inert gas.
【請求項7】 第2エッチング後の工程(7)におい
て、ベーパー1000〜1500Lを基板表面に供給す
る請求項1に記載の基板表面の処理方法。
7. The method for treating a substrate surface according to claim 1, wherein in the step (7) after the second etching, 1000 to 1500 L of vapor is supplied to the substrate surface.
【請求項8】 第2エッチング後の工程(7)におい
て、ベーパーとして水蒸気を多く含む不活性ガスを用
い、該ガスにて20〜40秒間処理し、HFベーパーに
よる自然酸化膜除去後のシリコン表面を水素終端させる
請求項1に記載の処理方法。
8. In the step (7) after the second etching, an inert gas containing a large amount of water vapor is used as a vapor, the gas is treated for 20 to 40 seconds, and the silicon surface after the natural oxide film is removed by HF vapor is used. The processing method according to claim 1, wherein the hydrogen is terminated with hydrogen.
JP2001213172A 2001-07-13 2001-07-13 Substrate surface treatment method Expired - Fee Related JP3526284B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001213172A JP3526284B2 (en) 2001-07-13 2001-07-13 Substrate surface treatment method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001213172A JP3526284B2 (en) 2001-07-13 2001-07-13 Substrate surface treatment method

Publications (2)

Publication Number Publication Date
JP2003031548A JP2003031548A (en) 2003-01-31
JP3526284B2 true JP3526284B2 (en) 2004-05-10

Family

ID=19048205

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001213172A Expired - Fee Related JP3526284B2 (en) 2001-07-13 2001-07-13 Substrate surface treatment method

Country Status (1)

Country Link
JP (1) JP3526284B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101350052B1 (en) * 2011-07-13 2014-01-14 다이닛뽕스크린 세이조오 가부시키가이샤 Substrate processing method and substrate processing apparatus

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5898549B2 (en) 2012-03-29 2016-04-06 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
JP6057886B2 (en) * 2013-12-25 2017-01-11 株式会社Screenホールディングス Substrate processing equipment
JP6216279B2 (en) * 2014-03-27 2017-10-18 株式会社Screenホールディングス Substrate processing equipment
KR101756047B1 (en) 2013-12-25 2017-07-07 가부시키가이샤 스크린 홀딩스 Substrate processing device
JP2016012609A (en) * 2014-06-27 2016-01-21 東京エレクトロン株式会社 Etching method
JP2016025195A (en) * 2014-07-18 2016-02-08 東京エレクトロン株式会社 Etching method
JP6494226B2 (en) 2014-09-16 2019-04-03 東京エレクトロン株式会社 Etching method
CN107393848B (en) * 2017-07-12 2019-12-10 江苏鲁汶仪器有限公司 High-tightness gas-phase corrosion cavity
CN107610997A (en) * 2017-07-20 2018-01-19 江苏鲁汶仪器有限公司 A kind of gaseous corrosion cavity with wafer position detection means

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101350052B1 (en) * 2011-07-13 2014-01-14 다이닛뽕스크린 세이조오 가부시키가이샤 Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
JP2003031548A (en) 2003-01-31

Similar Documents

Publication Publication Date Title
JP3662472B2 (en) Substrate surface treatment method
US5620559A (en) Hydrogen radical processing
US20060177987A1 (en) Methods for forming thin oxide layers on semiconductor wafers
JP3526284B2 (en) Substrate surface treatment method
JPWO2002050883A1 (en) Cleaning and etching methods
US10403518B2 (en) Substrate processing method, substrate processing apparatus and recording medium
JP4763756B2 (en) Method for cleaning, drying and hydrophilizing a semiconductor wafer
US6977229B2 (en) Manufacturing method for semiconductor devices
US5803980A (en) De-ionized water/ozone rinse post-hydrofluoric processing for the prevention of silicic acid residue
WO2011086876A1 (en) Surface cleaning method for silicon wafer
KR20010051122A (en) A manufaturing method of semiconductor apparatus
JP3401585B2 (en) Substrate cleaning method
JP7142461B2 (en) SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE PROCESSING SYSTEM
JP3329199B2 (en) Semiconductor device manufacturing method and manufacturing apparatus
JP2001044429A (en) Method and device for pre-process for forming gate insulating film
JP3595681B2 (en) Manufacturing method of epitaxial wafer
JP2004510573A (en) Electronic device cleaning method
JP2001250785A (en) Washing method of member for semiconductor heat treatment covered with silicon carbide
JPH09171989A (en) Wet etching of semiconductor substrate
JP3669728B2 (en) Oxide film, method for forming the same, and semiconductor device
JPH0547741A (en) Removing method for oxide film on surface of substrate
TWI819875B (en) Process for cleaning a semiconductor wafer
JPH0766168A (en) Method and equipment for producing semiconductor
JP4306217B2 (en) Method for drying semiconductor substrate after cleaning
KR0170459B1 (en) Wafer cleaning method and its apparatus

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20031205

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040210

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040212

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080227

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090227

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090227

Year of fee payment: 5

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090227

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100227

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100227

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110227

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120227

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120227

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130227

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140227

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees