JP3485256B2 - ケイ化物層を含む半導体構造とその製造方法 - Google Patents

ケイ化物層を含む半導体構造とその製造方法

Info

Publication number
JP3485256B2
JP3485256B2 JP2000116994A JP2000116994A JP3485256B2 JP 3485256 B2 JP3485256 B2 JP 3485256B2 JP 2000116994 A JP2000116994 A JP 2000116994A JP 2000116994 A JP2000116994 A JP 2000116994A JP 3485256 B2 JP3485256 B2 JP 3485256B2
Authority
JP
Japan
Prior art keywords
layer
silicide
forming
copper
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000116994A
Other languages
English (en)
Other versions
JP2000315688A (ja
Inventor
ダグラス・エス・アームブラスト
マーガレット・エル・ギブソン
ローン・セリアンニ
エリック・ジェイ・ホワイト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2000315688A publication Critical patent/JP2000315688A/ja
Application granted granted Critical
Publication of JP3485256B2 publication Critical patent/JP3485256B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01051Antimony [Sb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般に、半導体デバ
イスの端子の、上部銅配線レベルへの接着を増強する方
法に関するものである。
【0002】
【従来の技術】従来のシステムは、介在するケイ化銅層
の接着により、窒化シリコンの、内部銅配線表面への接
着を改善している。たとえば、本明細書に参照として添
付されているフィリピアク(Filipiak)他の米国特許第
5,447,887号明細書(以後「フィリピアク特
許」と称する)に記載されているように、半導体デバイ
ス内の内部レベルの接続は、介在するケイ化銅層により
改善される。
【0003】このケイ化物層は、従来の方法ではプラズ
マ強化化学気相付着(PECVD)反応チェンバ内で、
プラズマがない状態でシラン(SiH4)を導入するこ
とにより形成される。シランは露出した銅の表面と反応
してケイ化銅を生成する。十分な厚みのケイ化銅が生成
した後、プラズマを発生させ、ガスを反応チェンバに導
入して、ケイ化銅の層を含む装置の上に窒化シリコンを
付着させる。介在するケイ化銅の層は、窒化シリコンと
銅との間の接着層として機能する。
【0004】フィリピアクは、約100オングストロー
ム(100Å)の厚みのケイ化物層で、窒化物と銅との
接着を十分に増大すると教示している。さらに具体的に
は、フィリピアクは、原則として、ケイ化物の層の厚み
は銅の厚み全体の10%を超えるべきではないと説明し
ている。ケイ化物の層の厚みを銅の厚み全体の10%未
満に制限する理由は、ケイ化物が銅の抵抗率を低下させ
るためである。一般にケイ化物の層は、銅の相互接続全
体の厚みの10%を超えるべきではないが、フィリピア
クは、100Å未満、または銅の厚み全体の2%未満で
も、後にPECVDにより付着させた窒化シリコン皮膜
の銅部材への接着を十分改善することを示している。
【0005】しかし、フィリピアクの方法では、具体的
には十分な被覆率または均一性が得られず、端子層が最
終メタライゼーション(LM)層への接続を維持するの
に十分な接着強度が得られないために、この方法は限定
される。下記に記載する本発明は、上記の問題を解決す
る。
【0006】
【発明が解決しようとする課題】本発明の目的は、最終
メタライゼーション層と、その上に形成される絶縁層お
よび端子層との接続が強化された信頼性の高い半導体デ
バイス構造、およびその製造方法を提供することであ
る。
【0007】
【課題を解決するための手段】本発明は、露出した最終
メタライゼーション(LM)層を有する構造を形成し、
この最終メタライゼーション層を洗浄し、最終メタライ
ゼーション層の上にケイ化物を生成させ、このケイ化物
上に端子を形成する工程を有する、半導体構造を形成す
る方法を提供する。
【0008】最終メタライゼーション層は銅でよい。洗
浄は、最終メタライゼーション層にアンモニア・プラズ
マおよび水素プラズマのいずれかを供給することにより
行う。ケイ化物は、最終メタライゼーション層の上部1
0%〜20%に生成する。端子の形成には、ケイ化物に
電気的に接続された鉛・スズはんだ端子の形成を含む。
端子の形成は、ケイ化物に物理的に接続する窒化シリコ
ン層を形成することにより行う。窒化シリコン層は、ケ
イ化物と直接電気的に接触させるための開口を有する。
この構造は、窒化シリコン層上の絶縁層を含む。
【0009】この半導体デバイスは、少なくとも2層の
相互接続メタラジー(metallurgy)を有し、実質的にケ
イ化物を含有しない第1のレベルのメタラジーと、接着
パッドを有する最上層のメタラジーとを含み、最上層の
上にケイ化された表面を有する。相互接続メタラジーは
銅である。ケイ化された表面を形成する前に、最上層を
アンモニア・プラズマおよび水素プラズマのいずれかを
供給して洗浄を行う。ケイ化された表面は、最上層の厚
みの上部10%〜20%を構成する。この半導体デバイ
スはさらに、ケイ化された表面に電気的に接続した鉛・
スズはんだ端子の1個を有する。窒化シリコン層は、ケ
イ化物に接続され、ケイ化された表面に直接電気的に接
触することのできる開口を有する。
【0010】本発明は、最終メタライゼーション層の上
に、一般に最終メタライゼーション層の厚みの、少なく
とも10〜20%のケイ化物層を形成することにより、
このようなはく離を減少させる。この広範なケイ化物の
形成は、上述の銅/窒化物の接着の問題を解決するため
に必要である。また、最終メタライゼーション層は非常
に厚いメタラジーで構成されているため、抵抗率の変動
(shift)に対する感度が実質的に低く、したがって従
来のケイ化銅(CuSi)系にみられた抵抗率の問題が
回避される。本発明により改善された表面被覆率によ
り、LM層形成における厚みパーセント当たりの抵抗率
の変動を少なくすることができる。
【0011】
【発明の実施の形態】上述のように、内部銅配線と隣接
する絶縁層との接着を改善するための従来の方法では、
最終メタライゼーション(LM)層上の端子層(たとえ
ばC4層)の十分な信頼性が得られない。本発明は、露
出した銅配線層を洗浄し、ケイ化物層の厚みを10%以
上増大させることによりこの問題を解決する。
【0012】最終メタライゼーション層が、構造中の他
のメタライゼーション層よりも高いレベルの、異なる形
態の応力を受けるため、最終メタライゼーション層の接
着に特別な問題がある。たとえば、最終メタライゼーシ
ョン層はしばしば、外部構造への電気的、物理的接続を
行うのに使用するはんだボール端子層に物理的に接続さ
れる。外部構造は(物理的運動により)異なる動きを
し、高レベルの応力(せん断力を含む)を最終メタライ
ゼーション層に与える傾向のある、異なる熱膨張係数を
有することがある。したがって、最終メタライゼーショ
ン層からの窒化シリコンのはく離は、半導体構造内のは
く離より一般的である。この問題の従来の解決方法は、
追加のマスキング・レベルを形成し、銅以外の追加の層
をパターン形成して銅とLM窒化物との間の応力を減少
させる。これらの解決方法は高価で、かなりのサイクル
時間を要し、LMのはく離の原因となる弱いCu・窒化
物境界面を直接解決する方法とはならない。
【0013】本発明は、一般にLM層の厚みの少なくと
も10〜20%まで、最終メタライゼーション層上にケ
イ化物層を形成することにより、このようなはく離を減
少させる。このように広範にケイ化物を形成すること
は、上述のCuと窒化物との接着の問題を解決するた
め、LMレベルにおいて必要である。また、最終メタラ
イゼーション層は一般に、非常に厚いメタラジーで構成
され、したがって抵抗率の変動に対する感度が低い。こ
のように、本発明によれば、従来のケイ化銅(CuS
i)系にみられる抵抗率の問題が回避される。本発明に
より改善された表面被覆率により、LM層形成における
厚みパーセント当たりの抵抗率の変動を少なくすること
ができる。
【0014】図1を参照すると、半導体デバイスの最終
メタライゼーション層の断面が示されている。具体的に
は、図1は、ここで示した構造を下層の配線層から絶縁
する二酸化シリコン層などの絶縁層10を示す。絶縁層
10の中には、配線パターン11が配置されている。た
とえば、配線パターン11は銅または他の類似する導電
性材料で構成される。
【0015】本発明の重要な点は、配線パターン11が
半導体構造の最終メタライゼーション(LM)層である
ことである。当業者には周知であるように、最終メタラ
イゼーション層は下層のメタライゼーション層よりかな
り厚いことが多い。最終メタライゼーション層が他のメ
タライゼーション層より厚いことにより、抵抗率の増大
に付随する不利益を生じることなく、厚いケイ化物層を
形成することができる。
【0016】最終メタライゼーション層11の側面およ
び底面は、タンタルまたは窒化タンタルなどの導体12
により、絶縁体10から分離されている。
【0017】下層の構造および絶縁体10、最終メタラ
イゼーション層11および導電層12は、当業者に周知
の方法を用いて形成される。たとえば、開口のパターン
は、従来のリソグラフィおよびエッチング技術を用い
て、絶縁体10中に形成することができる。次にリソグ
ラフィ法で形成した開口を、スパッタリング、化学気相
付着(CVD)、その他周知の付着法など、従来の付着
法を用いて、ライナ12でライニングされる。次にフォ
トリソグラフィ・マスクを、配線開口内のライナ12だ
けを残して除去することができる。次に、たとえば化学
気相付着、スパッタリング、または他の類似のメタライ
ゼーション法を用いて、開口を導電性材料11により充
てんする。次にこの構造を平坦化して、後の加工に備え
る。
【0018】本発明では、次に露出した導電体11の表
面を洗浄する。たとえば、導電体11の表面は、アンモ
ニア(NH3)または水素(H2)プラズマ強化化学気相
付着(PECVD)法など、どのような周知の洗浄法を
用いても洗浄することができる。プラズマは、450W
〜550Wの範囲内の電力を使用して供給することがで
きる。この場合、NH3の流速は標準状態で約2700
ml/分、N2の流速は標準状態で約800ml/分、
圧力2.6トル、温度400℃で10秒間供給する。代
替方法として、高密度プラズマ(HDP)リアクタ中
で、電力4500W、H2の流速は標準状態で約400
ml/分、圧力6ミリトル、温度0℃〜365℃で40
秒間、導体11の表面を洗浄してもよい。
【0019】洗浄により、前の工程およびブロックCu
Siの生成の間に、導体11上に生成する可能性のある
どのような酸化物も除去され、また導体11上に付着す
る可能性のあるどのような汚染物質も除去される。洗浄
処理により、従来のケイ化物法でよくみられたケイ化物
の島の形成が減少または排除される。したがって、本発
明により生成するケイ化物は、従来のケイ化銅構造より
均一であり、良好な接着が得られる。
【0020】次に、同じチェンバ中で、または導体11
の上面を清浄に保つために真空が維持されるなら他のチ
ェンバに移して、導体11の上部をケイ化させる。ケイ
化物層13は、従来のケイ化物法を用いて形成する。た
とえば、窒素を標準状態で1500ml/分、SiH4
を標準状態で15〜180ml/分の流速で、圧力を
2.6トル、温度を約400℃としたチェンバに流す。
処理は導体層11上にケイ化物層13が形成するのに十
分な時間(0〜180秒)続ける。相対的な厚みに関し
ては、最終メタライゼーション層11は8000Å、ケ
イ化物層13は約1000Åとすることができる。
【0021】当業者に周知のように、導体をケイ化する
には各種の方法を利用することが可能であり、前記は例
を示したにすぎない。上述のように、本発明により従来
知られていた10%の限度より厚いケイ化物を生成する
ことができるが、最終メタライゼーション層11は下層
の構造中のメタライゼーション層よりもかなり厚いた
め、抵抗率の問題は回避することができる。
【0022】さらに、ケイ化物を生成する前に最終メタ
ライゼーション層11の上部を洗浄することにより、ケ
イ化物生成の反応速度は大幅に改善される。また、洗浄
によりケイ化物生成または表面変化の均一性を高める。
この洗浄は、どのようなケイ化物生成にも利点がある
が、銅を適用したLMレベルを良好に集積できる比較的
厚いCuSiの生成に必要である。
【0023】しかし、本発明の洗浄の態様は、最終メタ
ライゼーションに限定されるものではない。したがっ
て、埋め込み配線層であっても、ケイ化物生成の前に行
う本発明の洗浄処理による利点がある。換言すれば、本
発明のこの態様は、接着を改善し、構造中のすべてのケ
イ化した配線層がはく離するのを減少させる。
【0024】次に、一連の絶縁層を、ケイ化した層13
の上に形成する。具体的には、窒化シリコンSi34
どの、Cu拡散バリアとして機能する絶縁層14を、周
知の従来の方法を用いて、特定の用途に必要な厚みに形
成する。たとえば、窒化シリコン層14は、約700Å
の厚みに形成することができる。本発明によれば、銅と
窒化物とが互いに有する接着強度より大きい接着強度
が、銅に対しても窒化物に対しても得られる界面層(1
3)が形成されるため、窒化シリコン層14と銅11と
の接着が改善される。
【0025】同様に二酸化シリコン15および追加の窒
化シリコン層16などの、追加の絶縁層を、絶縁層14
の上に形成する。次に、ポリイミド層17を形成して、
チップを機械的に保護する。次にこの構造を周知の方法
および技術を用いてパターン形成する。開口を周知の従
来の方法を用いて、導電性材料18により再びライニン
グする。次に、外部装置と端子接続を行うための、大き
い導電性端子19(C4レベルの接点)を、鉛(Pb)
またはスズ(Sb)のソルダ・ボールを使用して形成す
る。
【0026】上述の方法をフローチャートの形で図2に
示す。具体的には、項目20で最終メタライゼーション
層11を形成する。次に、項目21に示すように、最終
メタライゼーション層11を洗浄する。続いて、最終メ
タライゼーション層11の上部を利用して厚いケイ化物
層13を形成する。最後に、項目23に示すように、ケ
イ化物13の上に端子構造19を形成する。
【0027】上述のように、最終メタライゼーション層
は従来のシステムでは解決できなかったはく離の問題を
有する。本発明は、最終メタライゼーション層を洗浄
し、厚いケイ化物を最終メタライゼーション層の上に形
成することにより、これらの問題を解決する。したがっ
て、本発明は、製造コストを大幅に増大したり、製造工
程を変更したりすることなく、従来のシステムより耐久
性があり、信頼性が高い構造を製造することができる。
【0028】さらに、ケイ化物生成前に導体を洗浄する
ために導入したプラズマ処理により、整合性(conformi
ty)が良好となり、従来のケイ化物系の集積性(integr
atability)を高める、魅力のある反応機構を開始させ
る。さらに、連続性の高い自己整合層を形成することに
より、従来の系と比較して電気泳動が改善される。本発
明はまた、窒化物と銅との界面の連続性を改善すること
により、Cuのボイドの形成を抑制する。
【0029】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0030】(1)露出した最終メタライゼーション層
を有する構造を形成するステップと、前記最終メタライ
ゼーション層を洗浄するステップと、前記最終メタライ
ゼーション層の上にケイ化物を生成するステップと、前
記ケイ化物上に端子を形成するステップとを含む、半導
体構造を形成する方法。 (2)前記最終メタライゼーション層が銅を含む、上記
(1)に記載の方法。 (3)前記洗浄ステップが、前記最終メタライゼーショ
ン層にアンモニア・プラズマと水素プラズマのどちらか
一方を付与するステップを含む、上記(1)に記載の方
法。 (4)前記ケイ化物を生成するステップが、前記最終メ
タライゼーション層の厚みの上部10%〜20%に前記
ケイ化物を生成するステップを含む、上記(1)に記載
の方法。 (5)前記端子を形成するステップが、前記ケイ化物に
電気的に接続した鉛・スズはんだ端子の1個を形成させ
ることにより行う、上記(1)に記載の方法。 (6)前記端子を形成するステップが、前記ケイ化物と
電気的に直接接触させる開口を有し、前記ケイ化物に物
理的に接続した窒化シリコン層を形成させるステップを
含む、上記(1)に記載の方法。 (7)前記窒化シリコン層の上にさらに絶縁層を形成す
るステップを含む、上記(6)に記載の方法。 (8)露出した最終メタライゼーション層を有する構造
を形成するステップと、前記最終メタライゼーション層
を洗浄するステップと、前記最終メタライゼーション層
の上にケイ化物を生成させるステップと、前記ケイ化物
への接合構造体を形成するステップとを含む、接点を形
成する方法。 (9)前記最終メタライゼーション層が銅を含む、上記
(8)に記載の方法。 (10)前記洗浄ステップが、前記最終メタライゼーシ
ョン層にアンモニア・プラズマと水素プラズマのどちら
か一方を付与するステップを含む、上記(8)に記載の
方法。 (11)前記ケイ化物を生成するステップが、前記最終
メタライゼーション層の厚みの上部10%〜20%に前
記ケイ化物を生成するステップを含む、上記(8)に記
載の方法。 (12)前記接合構造体を形成するステップが、前記ケ
イ化物に電気的に接続した鉛・スズはんだ端子の1個を
形成させるステップを含む、上記(8)に記載の方法。 (13)前記接合構造体を形成するステップが、前記ケ
イ化物と電気的に直接接触させる開口を有し、前記ケイ
化物に物理的に接続した窒化シリコン層を形成させるス
テップを含む、上記(8)に記載の方法。 (14)前記窒化シリコン層の上にさらに絶縁層を形成
するステップを含む、上記(13)に記載の方法。 (15)相互接続メタラジーを有する半導体デバイスで
あって、実質的にケイ化物を含有しない第1層のメタラ
ジーと、接着パッドを有し、ケイ化させた表面を有する
最上層のメタラジーとを有する半導体デバイス。 (16)前記相互接続メタラジーが銅を含む、上記(1
5)に記載の半導体デバイス。 (17)前記ケイ化させた表面を形成する前に、アンモ
ニア・プラズマおよび水素プラズマのいずれかを供給し
て前記最上層を洗浄する、上記(15)に記載の半導体
デバイス。 (18)前記ケイ化させた表面が、前記最上層の厚みの
上部10%〜20%を構成する、上記(15)に記載の
半導体デバイス。 (19)前記ケイ化させた表面に電気的に接続した鉛・
スズはんだ端子の1個をさらに有する、上記(15)に
記載の半導体デバイス。 (20)前記ケイ化物に物理的に接続し、前記ケイ化さ
せた表面と電気的に直接接触させる開口を有する窒化シ
リコン層をさらに有する、上記(19)に記載の半導体
デバイス。
【図面の簡単な説明】
【図1】最終メタライゼーション層と、端子接続とを含
む半導体デバイスの部分を示す略断面図である。
【図2】本発明の好ましい方法を示すフロー・ダイアグ
ラムである。
【符号の説明】
10 絶縁層 11 配線パターン 12 導電層 13 ケイ化物層 14 絶縁層 15 絶縁層 16 絶縁層 17 ポリイミド層 18 導電性材料 19 端子
フロントページの続き (72)発明者 マーガレット・エル・ギブソン アメリカ合衆国05490 バーモント州ア ンダーヒル アイリッシュ・セトルメン ト・ロード 218 (72)発明者 ローン・セリアンニ アメリカ合衆国05468 バーモント州ミ ルトン サークル・ロード 84 (72)発明者 エリック・ジェイ・ホワイト アメリカ合衆国05445 バーモント州シ ャーロット ライン・ドライブ 210 (56)参考文献 特開 平1−151247(JP,A) 特開 平9−321045(JP,A) 特開 平9−17790(JP,A) 特開2000−58544(JP,A) 特開2000−150517(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3205 H01L 21/768 H01L 21/60

Claims (11)

    (57)【特許請求の範囲】
  1. 【請求項1】相互接続のための最終の銅配線層を有する
    半導体デバイスにおいて、前記銅配線層の表面から、前
    銅配線層の厚みの10%〜20%迄の部分が、ケイ化
    層にされてな前記銅配線層上に、前記ケイ化銅層に物理的に接続され
    た、前記ケイ化銅表面との直接的且つ電気的な接触のた
    めの開口を有する窒化シリコン層を有する、 ことを特徴とする半導体デバイス。
  2. 【請求項2】前記ケイ化表面と、前記開口の導電性ラ
    イニングを介して電気的に接続された鉛・スズはんだ端
    子の1個をさらに有する、請求項1記載の半導体デバイ
    ス。
  3. 【請求項3】前記銅配線層の側面及び底面が、導体によ
    り絶縁体から分離されている、請求項1または2記載の
    半導体デバイス。
  4. 【請求項4】前記窒化シリコン層上に、さらに絶縁層を
    有することを特徴とする請求項1〜3のいずれか1項に
    記載の半導体デバイス。
  5. 【請求項5】露出した最終メタライゼーション層を有す
    る構造を形成するステップと、 前記メタライゼーション層を洗浄するステップと、 前記メタライゼーション層の上部において、前記メタラ
    イゼーション層の厚みの10%〜20%の厚みで、ケイ
    化物を生成するステップと、 前記ケイ化物上に端子を形成するステップと、 を含む、半導体構造を形成する方法。
  6. 【請求項6】前記最終メタライゼーション層が銅を含
    む、請求項5に記載の方法。
  7. 【請求項7】前記洗浄ステップが、前記メタライゼーシ
    ョン層にアンモニア・プラズマと水素プラズマのどちら
    か一方を付与するステップを含む、請求項5に記載の方
    法。
  8. 【請求項8】前記端子を形成するステップが、前記ケイ
    化物層に電気的に接続した鉛・スズはんだ端子の1個を
    形成させることにより行う、請求項5に記載の方法。
  9. 【請求項9】前記端子を形成するステップが、前記ケイ
    化物層と電気的に直接接触させるための開口を有し、且
    つ、前記ケイ化物層に物理的に接続した窒化シリコン層
    を形成させるステップを含む、請求項5に記載の方法。
  10. 【請求項10】前記窒化シリコン層の上にさらに絶縁層
    を形成するステップを含む、請求項5に記載の方法。
  11. 【請求項11】請求項1〜4のいずれか1項に記載の半
    導体デバイスを用いて、接点を形成する方法。
JP2000116994A 1999-04-23 2000-04-18 ケイ化物層を含む半導体構造とその製造方法 Expired - Fee Related JP3485256B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/298,796 US6251775B1 (en) 1999-04-23 1999-04-23 Self-aligned copper silicide formation for improved adhesion/electromigration
US09/298796 1999-04-23

Publications (2)

Publication Number Publication Date
JP2000315688A JP2000315688A (ja) 2000-11-14
JP3485256B2 true JP3485256B2 (ja) 2004-01-13

Family

ID=23152037

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000116994A Expired - Fee Related JP3485256B2 (ja) 1999-04-23 2000-04-18 ケイ化物層を含む半導体構造とその製造方法

Country Status (4)

Country Link
US (2) US6251775B1 (ja)
JP (1) JP3485256B2 (ja)
KR (1) KR100509189B1 (ja)
TW (1) TW447044B (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
SG125881A1 (en) * 1999-12-03 2006-10-30 Lytle Steven Alan Define via in dual damascene process
JP3602024B2 (ja) * 2000-01-21 2004-12-15 シャープ株式会社 半導体装置及びその製造方法
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
JP2003045960A (ja) * 2001-08-01 2003-02-14 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6867135B1 (en) * 2002-04-19 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via bottom copper/barrier interface improvement to resolve via electromigration and stress migration
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
TW559999B (en) * 2002-05-08 2003-11-01 Nec Corp Semiconductor device having silicon-including metal wiring layer and its manufacturing method
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
JP4571781B2 (ja) 2003-03-26 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7309651B2 (en) * 2003-10-30 2007-12-18 Texas Instruments Incorporated Method for improving reliability of copper interconnects
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
KR100753098B1 (ko) 2004-12-28 2007-08-29 주식회사 하이닉스반도체 채널길이를 증가시킨 반도체 소자 및 그의 제조 방법
US7282433B2 (en) * 2005-01-10 2007-10-16 Micron Technology, Inc. Interconnect structures with bond-pads and methods of forming bump sites on bond-pads
KR100666377B1 (ko) * 2005-08-02 2007-01-09 삼성전자주식회사 패드 구조물, 이의 형성 방법, 이를 포함하는 반도체 장치및 그 제조 방법
KR100782485B1 (ko) * 2006-08-18 2007-12-05 삼성전자주식회사 알루미늄 및 구리 배선들을 전기적으로 접속시키는구조체들 및 그의 형성방법들
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US20140272187A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Adhesion improvement between cvd dielectric film and cu substrate
US9761439B2 (en) * 2014-12-12 2017-09-12 Cree, Inc. PECVD protective layers for semiconductor devices
US9673091B2 (en) 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion
FR3096830B1 (fr) 2019-05-27 2021-06-18 St Microelectronics Crolles 2 Sas Elément d'interconnexion et son procédé de fabrication

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4505029A (en) * 1981-03-23 1985-03-19 General Electric Company Semiconductor device with built-up low resistance contact
JPH01151247A (ja) 1987-12-08 1989-06-14 Seiko Epson Corp 半導体集積回路装置
US5503704A (en) * 1993-01-06 1996-04-02 The Regents Of The University Of California Nitrogen based low temperature direct bonding
US5633047A (en) * 1994-02-22 1997-05-27 International Business Machines Corporation Electronic devices having metallurgies containing copper-semiconductor compounds
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
KR0140639B1 (ko) * 1994-10-12 1998-07-15 문정환 구리실리사이드 형성방법
US5833758A (en) * 1995-02-07 1998-11-10 Harris Corporation Method for cleaning semiconductor wafers to improve dice to substrate solderability
EP0751566A3 (en) 1995-06-30 1997-02-26 Ibm Metal thin film barrier for electrical connections
US5844317A (en) * 1995-12-21 1998-12-01 International Business Machines Corporation Consolidated chip design for wire bond and flip-chip package technologies
JP2809196B2 (ja) 1996-05-30 1998-10-08 日本電気株式会社 半導体装置の製造方法
JP3660799B2 (ja) * 1997-09-08 2005-06-15 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6046101A (en) * 1997-12-31 2000-04-04 Intel Corporation Passivation technology combining improved adhesion in passivation and a scribe street without passivation
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
JP2000058544A (ja) 1998-08-04 2000-02-25 Matsushita Electron Corp 半導体装置及びその製造方法
JP2000150517A (ja) 1998-11-16 2000-05-30 Hitachi Ltd 半導体集積回路装置およびその製造方法

Also Published As

Publication number Publication date
JP2000315688A (ja) 2000-11-14
US6818992B1 (en) 2004-11-16
TW447044B (en) 2001-07-21
KR100509189B1 (ko) 2005-08-17
US6251775B1 (en) 2001-06-26
KR20010014802A (ko) 2001-02-26

Similar Documents

Publication Publication Date Title
JP3485256B2 (ja) ケイ化物層を含む半導体構造とその製造方法
US6159851A (en) Borderless vias with CVD barrier layer
JP3927771B2 (ja) マイクロ電子構造体を形成させる方法
JPH04271144A (ja) 半導体装置
US6566737B2 (en) Passivation structure for an integrated circuit
JPH08191104A (ja) 半導体集積回路装置およびその製造方法
JPH11135506A (ja) 半導体装置の製造方法
US6413863B1 (en) Method to resolve the passivation surface roughness during formation of the AlCu pad for the copper process
KR100421826B1 (ko) 반도체 장치 및 그 제조방법
KR20040019102A (ko) 높은 어스팩트비의 반도체 디바이스용 붕소-도핑 티타늄질화물층
JPH09283624A (ja) 半導体装置の製造方法
JPS63147347A (ja) 半導体装置
JPH05234935A (ja) 半導体装置及びその製造方法
JPH10172969A (ja) 半導体装置の製造方法
US7566972B2 (en) Semiconductor device and method for manufacturing the semiconductor device
KR20020053610A (ko) 반도체장치의 배선 및 배선연결부 제조방법
JP2564786B2 (ja) 半導体装置およびその製造方法
US6340638B1 (en) Method for forming a passivation layer on copper conductive elements
JP2779186B2 (ja) 半導体装置の製造方法
JP2981366B2 (ja) 半導体装置の製造方法
JP3087692B2 (ja) 半導体装置の製造方法
KR100807065B1 (ko) 반도체 소자의 금속배선 형성방법
JPH09275136A (ja) 半導体装置及びその製造方法
JPH04349629A (ja) 半導体装置及びその製造方法
JPH0577185B2 (ja)

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071024

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081024

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees