JP3453223B2 - Processing equipment - Google Patents

Processing equipment

Info

Publication number
JP3453223B2
JP3453223B2 JP23191895A JP23191895A JP3453223B2 JP 3453223 B2 JP3453223 B2 JP 3453223B2 JP 23191895 A JP23191895 A JP 23191895A JP 23191895 A JP23191895 A JP 23191895A JP 3453223 B2 JP3453223 B2 JP 3453223B2
Authority
JP
Japan
Prior art keywords
processing
unit
chamber
units
processed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP23191895A
Other languages
Japanese (ja)
Other versions
JPH08111449A (en
Inventor
一成 今橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP23191895A priority Critical patent/JP3453223B2/en
Publication of JPH08111449A publication Critical patent/JPH08111449A/en
Application granted granted Critical
Publication of JP3453223B2 publication Critical patent/JP3453223B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、処理装置に係り、
特に複数の処理工程を連続的に実施可能なマルチチャン
バ方式の処理装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a processing device,
In particular, the present invention relates to a multi-chamber processing apparatus capable of continuously performing a plurality of processing steps.

【0002】[0002]

【従来の技術】半導体製造工程においては、半導体ウェ
ハやLCD基板などの被処理体に対して成膜処理やエッ
チング処理などの複数の処理工程を反復して施すことに
より製品が完成される。そこで、近時、複数の処理ユニ
ットから構成され、その各処理ユニットにおいて被処理
体に対して各個別の処理を施すことにより、被処理体に
対して連続的に複数の処理工程を施すことが可能なマル
チチャンバ方式の処理装置が、大量処理及びスループッ
トの向上の観点から着目されている。
2. Description of the Related Art In a semiconductor manufacturing process, a product is completed by repeatedly performing a plurality of processing steps such as film forming processing and etching processing on an object to be processed such as a semiconductor wafer and an LCD substrate. Therefore, recently, it is possible to continuously perform a plurality of processing steps on an object to be processed by comprising a plurality of processing units and performing individual processing on the object to be processed in each processing unit. A possible multi-chamber type processing device is drawing attention from the viewpoints of large-scale processing and improvement of throughput.

【0003】例えば特開昭63−157870号公報等
には、図11に示すように、セパレーション室200を
中心にゲートバルブ201を介して複数の基板処理室2
02を放射状に配置し、そのセパレーション室200に
基板の通過、各基板処理室への基板の分配及び基板の一
時滞留の機能を持たせた典型的なマルチチャンバ方式の
処理装置が開示されている。しかしながら、上記のよう
な放射状配置式のマルチチャンバ型処理装置は、搬送系
203がセパレーション室200に集中しており、配置
される処理室の数が多い場合や各処理室での処理速度が
速い場合には、セパレーション室200の搬送系203
のみでは処理しきれず、スループットが低下するおそれ
があった。また、セパレーション室200の形状によ
り、配置可能な処理室の数には制限があり、設計の自由
度は低いものであった。さらにまた、多くの処理室を配
置しようとする場合には、中心部のセパレーション室2
00自体を大きく構成せねばならず、設置スペースが拡
大し、必然的に大容量のクリーンルームを構築せねばな
らず、イニシャルコストの増大を招いていた。同時に、
真空排気系に対する負荷の増大も無視できないものであ
った。さらにまた、種類の異なる基板処理室を配置する
場合には、処理室間におけるクロスコンタミの問題も生
じていた。
For example, in Japanese Patent Laid-Open No. 63-157870, as shown in FIG. 11, a plurality of substrate processing chambers 2 having a separation chamber 200 as a center and a gate valve 201 interposed therebetween.
There is disclosed a typical multi-chamber type processing apparatus in which 02s are radially arranged and the separation chamber 200 has functions of passing a substrate, distributing the substrate to each substrate processing chamber, and temporarily retaining the substrate. . However, in the radial arrangement type multi-chamber type processing apparatus as described above, the transfer system 203 is concentrated in the separation chamber 200, and when the number of arranged processing chambers is large or the processing speed in each processing chamber is high. In this case, the transport system 203 of the separation chamber 200
There is a risk that the throughput cannot be reduced because the processing cannot be completed by only using it. Further, the number of processing chambers that can be arranged is limited due to the shape of the separation chamber 200, and the degree of freedom in design is low. Furthermore, when many processing chambers are to be arranged, the separation chamber 2 in the center is
00 itself must be made large, the installation space must be expanded, and a large capacity clean room must be built inevitably, leading to an increase in initial cost. at the same time,
The increase in the load on the vacuum exhaust system was not negligible. Furthermore, when arranging different types of substrate processing chambers, there has been a problem of cross contamination between the processing chambers.

【0004】特開平63−28863号公報、特開平3
−161929号公報等には、図12に示すように、搬
送用通路210を設け、その通路の側方にゲートバルブ
211を介して複数の処理装置212を順次配置する通
路配置式のマルチチャンバ型処理装置が開示されてい
る。かかる方式によれば、配置される処理室の数が多い
場合に、上記放射状配置方式に比較すれば、搬送用通路
210(すなわち、上記セパレーション室200に相
当)の容積を小さくできるものの、やはり搬送用通路2
10の形状により、配置可能な処理室の数には制限があ
り、従って設計の自由度は低いものであった。また、放
射状配置方式に比較すれば小さいとは言うものの、搬送
用通路210の容積はまだまだ大きいので、真空排気系
に対する負荷も大きなものであった。
Japanese Patent Laid-Open Nos. 63-28863 and 3
In Japanese Patent Laid-Open No. 161929, etc., as shown in FIG. 12, a transfer passage 210 is provided, and a plurality of processing devices 212 are sequentially arranged on the side of the passage 210 via a gate valve 211. A processing device is disclosed. According to such a method, when the number of processing chambers to be arranged is large, the volume of the transfer passage 210 (that is, equivalent to the separation chamber 200) can be reduced as compared with the radial arrangement method, but the transfer is still performed. Passage 2
Due to the shape of 10, the number of process chambers that can be arranged is limited, and thus the degree of freedom in design was low. Further, although it is smaller than the radial arrangement method, since the volume of the transfer passage 210 is still large, the load on the vacuum exhaust system was large.

【0005】また、上記放射状配置式及び通路配置式と
は異なる方式として、特公平1−59354号公報等に
は、複数の搬送系を配した処理室を組み合わせて処理装
置を構成し、スループットの向上及び構成の簡素化を図
った処理装置が開示されているが、各処理室に必ず複数
の搬送系を配する必要があるため、配置される処理室の
数が増えると設計の自由度が低下する上、無駄な搬送系
が生じるという問題点がある。
Further, as a method different from the above-mentioned radial arrangement type and passage arrangement type, Japanese Patent Publication No. 1-59354 discloses that a processing apparatus is constructed by combining processing chambers in which a plurality of transfer systems are arranged. Although a processing apparatus that improves and simplifies the configuration is disclosed, since it is necessary to arrange a plurality of transfer systems in each processing chamber, the flexibility of design increases as the number of processing chambers arranged increases. Besides, there is a problem that the transfer system is wasted and a wasteful transport system is generated.

【0006】特に、8インチ以上の大口径ウェハ、例え
ば12インチのウェハや、LCD基板などの大型の被処
理体を処理するためのマルチチャンバ型処理装置を構築
しようとした場合には、装置の大型に伴う設置スペース
及びクリーンルーム容積の拡大が重大な関心事であり、
省スペースでかつ配置レイアウトの自由度の高い処理装
置の開発が希求されている。
In particular, when an attempt is made to construct a multi-chamber type processing apparatus for processing a large-diameter wafer of 8 inches or more, for example, a 12-inch wafer or a large object to be processed such as an LCD substrate, Expanding the installation space and clean room volume due to the large size is a serious concern,
Development of a processing device that saves space and has a high degree of freedom in layout is desired.

【0007】[0007]

【発明が解決しようとする課題】本発明は、従来のマル
チチャンバ型処理装置の有する上述の問題点に鑑みて成
されたものであり、その目的とするところは、真空処理
室と真空搬送室と気密中継室とローダ/アンローダ室を
ユニット化することにより、コストダウンを図るととも
に、処理装置に要求される処理工程の種類及び数、ある
いは設置スペースの条件に応じて自由な設計配置が、コ
ストの多大な増大を伴うことなく実施可能であり、また
その配置変更も容易であり、さらに組み込まれる真空処
理装置の数が多い場合であっても、真空搬送室の容積が
増大したり、あるいは真空搬送室用の真空排気系に対す
る負荷が増大したりせず、さらにまた、簡単な前処理工
程、後処理工程、検査工程、アライメント工程などの付
属処理を搬送中に実施することが可能であり、さらにま
たクロスコンタミの問題も解決可能な、新規かつ改良さ
れたマルチチャンバ方式の処理装置を提供することであ
る。
SUMMARY OF THE INVENTION The present invention has been made in view of the above-mentioned problems of the conventional multi-chamber type processing apparatus, and its object is to provide a vacuum processing chamber and a vacuum transfer chamber. By unitizing the airtight relay chamber and the loader / unloader chamber, the cost can be reduced, and the cost can be freely designed and arranged according to the type and number of processing steps required for the processing equipment or the conditions of the installation space. Can be carried out without enormous increase in size, and its layout can be easily changed, and the volume of the vacuum transfer chamber can be increased or the vacuum can be increased even when a large number of vacuum processing devices are incorporated. The load on the vacuum exhaust system for the transfer chamber does not increase, and during the transfer of auxiliary processes such as simple pre-processing, post-processing, inspection and alignment processes. Hodokosuru it is possible, furthermore as possible solve the problem of cross-contamination, is to provide a processing apparatus of a new, multi-chamber system with improved.

【0008】[0008]

【課題を解決するための手段】上記課題を解決するため
に、本発明のある観点によれば、複数の処理ユニット
備え、その各処理ユニットにおいて被処理体に対して各
個別の処理を施すことにより、前記被処理体に対して連
続的に複数の処理工程を施すことが可能な処理装置であ
って、前記各処理ユニットは、前記被処理体に対して各
個別の処理を施す真空処理室を備え、前記被処理体の搬
送手段を備えると共に前記真空処理室に1のゲートバル
ブを介して着脱可能に接続される真空搬送室を有する搬
送ユニットと組み合わせて、前記搬送ユニットと前記処
理ユニットとで対をなすように構成され、前記各処理ユ
ニット同士は、前記真空搬送室に気密接続手段を介して
着脱可能な気密中継室を介して相互に着脱可能に接続し
ていることを特徴とする処理装置が提供される。また、
前記真空搬送室は、1又は2以上のゲートバルブを介し
てローダ/アンローダ室を備える出入ユニットを接続可
能に構成することが好ましく、前記真空処理室、前記真
空搬送室、前記気密中継室は、それぞれ個別制御可能な
真空排気系を設けることも可能である。
To solve the above problems, according to one aspect of the present invention, a plurality of processing units are provided.
Comprising, by subjecting each individual processing to the object to be processed at the respective processing units, wherein a processing device capable of continuously performing a plurality of processing steps with respect to the object to be processed, each The processing unit includes a vacuum processing chamber for performing individual processing on the object to be processed, and carries the object to be processed.
A gate valve is provided in the vacuum processing chamber with a feeding means.
A vacuum transfer chamber that is detachably connected via a cable
In combination with a transport unit, the transport unit and the processing unit
The processing units are configured to be paired with each other, and the processing units are detachably connected to the vacuum transfer chamber via an airtight relay chamber that is detachable via an airtight connection means. A featured processing device is provided. Also,
It is preferable that the vacuum transfer chamber is configured to be connectable to an in / out unit including a loader / unloader chamber via one or more gate valves, and the vacuum processing chamber, the vacuum transfer chamber, and the airtight relay chamber are It is also possible to provide a vacuum exhaust system that can be individually controlled.

【0009】また本発明の別の観点によれば、複数の処
理ユニットを備え、その各処理ユニットにおいて被処理
体に対して各個別の処理を施すことにより、前記被処理
体に対して連続的に複数の処理工程を施すことが可能な
処理装置であって、前記各処理ユニットは、前記被処理
体に対して各個別の処理を施す真空処理室を備え、前記
被処理体の搬送手段を備えると共に前記真空処理室に1
のゲートバルブを介して着脱可能に接続される真空搬送
室を有する搬送ユニットと組み合わせて、前記搬送ユニ
ットと前記処理ユニットとで対をなすように構成され、
少なくとも、前記処理ユニットと、前記搬送ユニット
と、前記真空搬送室に気密接続手段を介して着脱可能に
接続可能な中継ユニットと、前記真空搬送室に1又は2
以上のゲートバルブを介して着脱可能に接続され前記真
空搬送室内に被処理体を搬入搬出する出入ユニットとを
含むユニット群から任意のユニットを選択し組み合わせ
ることにより構成され、前記各ユニットに設けられた他
のユニットとの連通用開口の寸法が共通であり、共通の
気密接続手段を介して前記各ユニット同士を接続するこ
とが可能であることを特徴とする処理装置。なお、前記
各ユニットには独立制御可能な真空搬送系をそれぞれ設
けることができる。
[0009] According to another aspect of the present invention, it includes a plurality of processing units, by carrying out each individual processing to the object to be processed at the respective processing unit, successively to the target object a processing apparatus capable of performing a plurality of processing steps, each processing unit includes a vacuum processing chamber for performing the individual processing to the object to be processed, wherein
The vacuum processing chamber is equipped with a means for transporting an object to be processed.
Vacuum transfer that is detachably connected via the gate valve of
In combination with a transport unit having a chamber, the transport unit
And the processing unit are paired with each other,
At least the processing unit , the transfer unit, a relay unit detachably connectable to the vacuum transfer chamber via an airtight connection means, and 1 or 2 in the vacuum transfer chamber.
It is configured by selecting and combining an arbitrary unit from a unit group including a loading / unloading unit for loading / unloading a target object into / from the vacuum transfer chamber, which is detachably connected through the above gate valve, and is provided in each unit. Further, the processing device is characterized in that the size of the opening for communication with other units is common, and the units can be connected to each other through a common airtight connection means. It should be noted that each of the units may be provided with a vacuum transfer system that can be independently controlled.

【0010】さらに別の観点によれば、複数の処理ユニ
ットと複数の搬送ユニットと複数の中継ユニットとを備
え、前記各処理ユニットにおいて被処理体に対して各個
別の処理を施すことにより、前記被処理体に対して連続
的に複数の処理工程を施すことが可能な処理装置であっ
て、前記各処理ユニットは、前記被処理体の搬送手段を
備えると共に前記処理ユニットにゲートバルブを介して
着脱可能に接続された前記搬送ユニットと組み合わせ
て、前記搬送ユニットと前記処理ユニットとで対をなす
ように構成され、前記各処理ユニット同士は、前記中継
ユニットを介して前記搬送ユニットを任意の方向に接続
することにより任意の態様に配置可能であることを特徴
とする処理装置が提供される。なお、前記各ユニットに
は独立制御可能な真空搬送系をそれぞれ設けることがで
きる。
According to another aspect , a plurality of processing units, a plurality of transport units, and a plurality of relay units are provided.
For example, by subjecting each individual processing to the object to be processed in the respective processing units, wherein a processing device capable of continuously performing a plurality of processing steps with respect to the object to be processed, each The processing unit includes a means for conveying the object to be processed.
Equipped with a gate valve to the processing unit
Combination with the transport unit detachably connected
And the transport unit and the processing unit form a pair.
And the processing units are connected to each other by the relay.
Connect the transport unit in any direction via the unit
It can be arranged in any manner by
A processing device is provided. It should be noted that each of the units may be provided with a vacuum transfer system that can be independently controlled.

【0011】また、上記各処理装置において、前記気密
中継室には、被処理体搬送手段、被処理体の検査手段、
被処理体の温調手段、被処理体の位置合わせ手段、処理
ガス供給手段、1又は2以上の被処理体を一時的に滞留
可能なバッファ機構などの装置を設置することが可能で
ある。
Further, in each of the above processing devices, the airtight relay chamber is provided with an object carrying means, an object inspecting means,
It is possible to install a device such as a temperature adjusting means for the object to be processed, a positioning means for the object to be processed, a processing gas supply means, a buffer mechanism capable of temporarily retaining one or more objects to be processed.

【0012】さらにまた、上記処理ユニットを構成する
真空処理室としては、例えば、前処理装置(例えば、加
熱装置、エッチング装置など)、成膜装置(例えば、ス
パッタ装置、CVD装置、真空蒸着装置など)、エッチ
ング装置、後処理装置(例えば、加熱装置など)などを
採用することが可能である。
Further, as the vacuum processing chamber constituting the above processing unit, for example, a pretreatment device (for example, a heating device, an etching device, etc.), a film forming device (for example, a sputtering device, a CVD device, a vacuum evaporation device, etc.). ), An etching device, a post-treatment device (for example, a heating device, etc.) can be employed.

【0013】[0013]

【発明の実施の形態】以下に、本発明の実施のいくつか
の形態について詳細に説明する。
BEST MODE FOR CARRYING OUT THE INVENTION Some embodiments of the present invention will be described in detail below.

【0014】本発明の実施の第一の形態にかかる基板の
処理装置は、少なくとも第1及び第2処理ユニットと、
少なくとも第1及び第2搬送ユニットと、少なくとも1
つの中継ユニットと、少なくとも1つの出入ユニットと
から構成される。前記各処理ユニットは、前記基板の夫
々が通過可能な少なくとも1つの開口部を有する処理ケ
ーシングと、この処理ケーシング内で前記基板の夫々を
支持するための手段と、前記処理ケーシング内で前記基
板の夫々に半導体処理を施す手段とを備えている。前記
各搬送ユニットは、前記基板の夫々が通過可能な少なく
とも4つの開口部を有する搬送ケーシングと、前記搬送
ケーシング内に配設された前記基板の夫々を搬送するた
めの搬送アームとを備えている。そして、前記第1及び
第2搬送ユニットには夫々前記第1及び第2処理ユニッ
トがジョイントを介して着脱可能に接続され、前記ジョ
イントは2つのユニットの隣接する開口部どうしを開閉
可能且つ気密に接続することができる。例えば、螺合手
段により気密に接続されている。前記中継ユニットは、
前記基板の夫々が通過可能な少なくとも2つの開口部を
有する中継ケーシングと、前記中継ケーシング内に配設
された前記基板の夫々を載置するための載置台とを備え
ている。そして、前記中継ユニットには前記第1及び第
2搬送ユニットがジョイントを介して接続され、前記ジ
ョイントは2つのユニットの隣接する開口部どうしを開
閉可能且つ気密に接続することができる。前記出入ユニ
ットは、前記基板の夫々が通過可能な少なくとも1つの
開口部を有する出入ケーシングと、前記出入ケーシング
内で、前記基板を間隔をおいて積載する少なくとも1つ
のカセットを昇降するための昇降手段とを備えている。
そして、前記出入ユニットには前記第1搬送ユニットが
ジョイントを介して接続され、前記ジョイントは2つの
ユニットの隣接する開口部どうしを開閉可能且つ気密に
接続することができる。前記処理、搬送、中継ユニット
及び出入ユニットの各開口部は、前記ユニットが実質的
に90度の角度を単位とした方向に接続されるように配
置され、前記基板の搬送方向が実質的に90度の角度を
単位として配向される。前記処理、搬送及び中継ユニッ
トの各ケーシングは真空室を形成するように、その開口
部の内、他のケーシングの開口部と接続されない開口部
は盲板により気密により閉鎖される。
A substrate processing apparatus according to a first embodiment of the present invention includes at least first and second processing units.
At least first and second transport units, and at least one
It is composed of one relay unit and at least one input / output unit. Each said processing unit comprises a processing casing having at least one opening through which each of said substrates can pass, means for supporting each of said substrates within said processing casing, and said substrate of said substrate within said processing casing. And means for performing semiconductor processing. Each of the transport units includes a transport casing having at least four openings through which each of the substrates can pass, and a transport arm for transporting each of the substrates arranged in the transport casing. . The first and second processing units are detachably connected to the first and second transport units, respectively, through joints, and the joints are capable of opening and closing adjacent openings of the two units in an airtight manner. Can be connected. For example, they are hermetically connected by screwing means. The relay unit is
There is provided a relay casing having at least two openings through which each of the substrates can pass, and a mounting table for mounting each of the substrates arranged in the relay casing. Further, the first and second transport units are connected to the relay unit via a joint, and the joint can openably and airtightly connect adjacent openings of the two units. The loading / unloading unit has a loading / unloading casing having at least one opening through which each of the substrates can pass, and a lifting unit for lifting and lowering at least one cassette for loading the substrates at a distance in the loading / unloading casing. It has and.
The first transport unit is connected to the input / output unit via a joint, and the joint can openably and airtightly connect adjacent openings of the two units. The respective openings of the processing, transport, relay unit, and loading / unloading unit are arranged so that the units are connected in a direction with a unit angle of substantially 90 degrees, and the substrate transport direction is substantially 90. It is oriented in degrees. Each of the casings of the processing, transfer and relay unit forms a vacuum chamber, and among the openings thereof, an opening that is not connected to the openings of other casings is hermetically closed by a blind plate.

【0015】また本発明の実施の第2の形態にかかる基
板の処理装置は、複数の処理ユニットと、複数の搬送ユ
ニットと、複数の中継ユニットと、複数の出入ユニット
と、から選択された少なくとも2つの処理ユニットと、
少なくとも2つの搬送ユニットと、少なくとも1つの中
継ユニットと、少なくとも1つの出入ユニットと、がジ
ョイントを介して接続されることにより形成される。前
記ユニットの夫々は前記基板の夫々が通過可能な単数若
しくは複数の開口部を有するケーシングを具備し、前記
ジョイントは2つのユニットの隣接する開口部どうしを
開閉可能且つ気密に接続するように構成される。前記ユ
ニットの前記開口部は、前記ユニットが実質的に90度
の角度を単位とした方向に接続されるように配置され、
前記基板の搬送方向が実質的に90度の角度を単位とし
て配向される。前記処理、搬送及び中継ユニットの各ケ
ーシングは真空室を形成するように、その開口部の内、
他のケーシングの開口部と接続されない開口部は盲板に
より気密により閉鎖される。各処理ユニットは、少なく
とも1つの前記開口部と、そのケーシング内で前記基板
の夫々を支持するための手段と、そのケーシング内で前
記基板の夫々に半導体処理を施す手段とを具備してい
る。各搬送ユニットは、少なくとも4つの前記開口部
と、そのケーシング内に配設された前記基板の夫々を搬
送するための搬送アームとを具備しており、各搬送ユニ
ットには夫々少なくとも1つの処理ユニットが前記ジョ
イントを介して接続される。各中継ユニットは、少なく
とも2つの開口部と、そのケーシング内に配設された前
記基板の夫々を載置するための載置台とを具備してお
り、各中継ユニットには夫々少なくとも1つの搬送ユニ
ットが前記ジョイントを介して接続され、2つの搬送ユ
ニット間に少なくとも1つの中継ユニットが介在され
る。各出入ユニットは、少なくとも1つの前記開口部
と、そのケーシング内で、前記基板(複数)を間隔をお
いて積載する少なくとも1つのカセットを昇降するため
の昇降手段とを具備し、各出入ユニットには少なくとも
1つの搬送ユニットが前記ジョイントを介して接続され
る。
A substrate processing apparatus according to a second embodiment of the present invention is at least selected from a plurality of processing units, a plurality of transfer units, a plurality of relay units, and a plurality of loading / unloading units. Two processing units,
It is formed by connecting at least two transport units, at least one relay unit, and at least one loading / unloading unit via a joint. Each of the units comprises a casing having one or more openings through which each of the substrates can pass, the joint being configured to openably and airtightly connect adjacent openings of the two units. It The openings of the units are arranged such that the units are connected in a direction substantially in units of 90 degrees.
The transport direction of the substrate is oriented with an angle of substantially 90 degrees as a unit. Each of the casings of the processing, transport and relay unit forms a vacuum chamber, of which the opening is
The openings that are not connected to the openings of the other casings are closed by a blind plate in an airtight manner. Each processing unit comprises at least one opening, means for supporting each of the substrates in its casing, and means for performing semiconductor processing on each of the substrates in its casing. Each transfer unit includes at least four openings and a transfer arm for transferring each of the substrates arranged in the casing thereof, and each transfer unit has at least one processing unit. Are connected via the joint. Each relay unit includes at least two openings and a mounting table for mounting each of the substrates arranged in its casing, and each relay unit has at least one transport unit. Are connected via the joint, and at least one relay unit is interposed between the two transport units. Each loading / unloading unit includes at least one opening and an elevating unit for raising and lowering at least one cassette for loading the plurality of substrates at intervals in the casing thereof. Is connected to at least one transport unit via the joint.

【0016】なお上記各実施の態様において、前記各ケ
ーシングに不活性ガス供給系と排気系とを接続し、夫々
独立的に内部圧力の制御ができるように構成してもよ
い。また、前記出入ユニットのケーシングを真空室とし
て形成し、不活性ガス供給系と排気系とを接続し、独立
的に内部圧力の制御ができるようにしてもよい。前記カ
セットを、不活性ガスで満たされた容器内に収納された
状態で前記出入ユニットに供給するように構成してもよ
い。その場合に、前記容器は、開口端を有する容器本体
と、前記容器本体の開口端を閉鎖すると共に前記カセッ
トを載せる底板とを具備するとともに、前記出入ユニッ
トが、前記容器本体と協働して閉鎖空間を形成する手段
を更に具備し、前記閉鎖空間を形成した状態で、前記昇
降手段により、前記底板を前記容器本体から移動させ、
前記容器から前記カセットをそのケーシング内に取込む
ように構成できる。前記各ユニットを接続するジョイン
トは、共通の取付け寸法を有するゲートバルブから構成
できる。前記中継ユニットは、180度の角度をなす2
方向若しくは90度の角度をなす2方向に2つの前記開
口部を有するように構成できる。また前記中継ユニット
は、検査、位置調節、温度調節、成膜の群から選択され
た少なくとも1つの付属処理を前記基板に施すための手
段を具備するように構成できる。前記出入ユニットのケ
ーシングは、2つの前記開口部を有し、前記昇降手段が
2つの前記カセットを昇降するように構成できる。前記
出入ユニットと実質的に同じ別の出入ユニットが、ジョ
イントを介して前記第2搬送ユニットに接続されるよう
に構成してもよい。各ユニットは、基準正方形のN個分
(Nは4以下の正の整数)の床面積を設置スペースとし
て付与されるように基本的に設計することが好ましい。
In each of the above embodiments, an inert gas supply system and an exhaust system may be connected to each of the casings so that the internal pressure can be controlled independently. Further, the casing of the inlet / outlet unit may be formed as a vacuum chamber, the inert gas supply system and the exhaust system may be connected, and the internal pressure may be independently controlled. The cassette may be configured to be supplied to the in / out unit while being housed in a container filled with an inert gas. In that case, the container includes a container body having an open end and a bottom plate that closes the open end of the container body and mounts the cassette, and the loading / unloading unit cooperates with the container body. Further comprising means for forming a closed space, the bottom plate being moved from the container body by the elevating means in a state where the closed space is formed,
It can be configured to take the cassette from the container into its casing. The joint connecting the units may be a gate valve having a common mounting dimension. The relay unit has an angle of 180 degrees.
Direction or two directions forming two angles of 90 degrees can be configured. Further, the relay unit may be configured to include means for performing at least one accessory process selected from the group of inspection, position adjustment, temperature adjustment, and film formation on the substrate. The casing of the loading / unloading unit may have two openings, and the elevating means may elevate the two cassettes. Another input / output unit that is substantially the same as the input / output unit may be configured to be connected to the second transport unit via a joint. It is preferable that each unit is basically designed so that a floor area of N reference squares (N is a positive integer of 4 or less) is provided as an installation space.

【0017】次に、添付図面を参照しながら、本発明の
実施の形態にかかる基板の処理装置の構成について、さ
らに具体的に説明する。
Next, the structure of the substrate processing apparatus according to the embodiment of the present invention will be described more specifically with reference to the accompanying drawings.

【0018】図1には、本発明の一実施例に係るマルチ
チャンバ型処理装置の平面図が示されている。図中、処
理装置は各基本ユニットに分解された状態となってい
る。基本ユニットは、大寸法の処理ユニットU1、搬送
ユニットU2、直進用の中継ユニットU3、2つのカセ
ットを収納できる出入ユニットU4とから主に構成され
ている。
FIG. 1 is a plan view of a multi-chamber type processing apparatus according to an embodiment of the present invention. In the figure, the processing device is in a state of being disassembled into each basic unit. The basic unit is mainly composed of a large-sized processing unit U1, a transport unit U2, a straight-ahead relay unit U3, and an in / out unit U4 capable of accommodating two cassettes.

【0019】図2には、本発明の他の実施例に係るマル
チチャンバ型処理装置の平面図が示されている。図中、
処理装置は各基本ユニットに分解された状態となってい
る。この実施例の処理装置においては、図1図示の処理
装置で使用された基本ユニットU1〜U4以外に、小寸
法の処理ユニットU5、処理ユニットU5を取り付ける
ための搬送ユニットU6、方向変換用の中継ユニットU
7、1つのカセットを収納できる出入りユニットU8が
追加の基本ユニットとして使用される。
FIG. 2 is a plan view of a multi-chamber type processing apparatus according to another embodiment of the present invention. In the figure,
The processing device is in a state of being disassembled into each basic unit. In the processing apparatus of this embodiment, in addition to the basic units U1 to U4 used in the processing apparatus shown in FIG. 1, a small-sized processing unit U5, a transport unit U6 for mounting the processing unit U5, and a relay for direction change. Unit U
7, an in / out unit U8 capable of accommodating one cassette is used as an additional basic unit.

【0020】各ユニットU1〜U8は、被処理基板であ
る半導体ウェハWの寸法に依存して決定され、例えば、
一辺がLの基準正方形RS(L×L)の低正数倍(実施
例では4以下)の床面積を設置スペースとして付与され
るように基本的に設計される。換言すると、各ユニット
U1〜U8は、平面図において、直交座標系のグリッド
により規定できる一辺がLの基準正方形RSのN倍(N
は正の整数で、図1及び図2図示の実施例ではN=1、
2、4)の面積を実質的に付与される。
Each of the units U1 to U8 is determined depending on the size of the semiconductor wafer W which is the substrate to be processed.
It is basically designed so that a floor area that is a low positive number multiple (4 or less in the embodiment) of the reference square RS (L × L) having one side of L is provided as an installation space. In other words, in the plan view, each of the units U1 to U8 is N times (N times the reference square RS whose one side can be defined by the grid of the orthogonal coordinate system is L).
Is a positive integer, and in the embodiment shown in FIGS. 1 and 2, N = 1,
The area of 2, 4) is given substantially.

【0021】別の視点から述べると、各ユニットU1〜
U8は、実質的に90度の角度を単位とした方向に、次
々と接続され、被処理基板である半導体ウェハWの搬送
方向が、実質的に90度の角度を単位として配向され
る。即ち、被処理基板である半導体ウェハWの搬送路
は、実質的に直進、後退或いは90度の角度で左右いず
れかの方向に曲がるように形成される。より具体的に
は、隣接する3つのユニットは、0度、180度の角度
で接続され、実質的に直線的な搬送路を形成するか、9
0度或いは270度の角度で接続され、実質的に直角に
曲がる搬送路を形成する。
From another perspective, each unit U1 to
U8 are connected one after another in a direction in which a unit of angle of 90 degrees is substantially used, and the transport direction of the semiconductor wafer W which is a substrate to be processed is oriented in a unit of angle of substantially 90 degrees. That is, the transport path of the semiconductor wafer W, which is the substrate to be processed, is formed so as to substantially advance, recede, or bend in either the left or right direction at an angle of 90 degrees. More specifically, three adjoining units are connected at an angle of 0 ° and 180 ° to form a substantially linear transport path, or 9
The conveyance paths are connected at an angle of 0 degree or 270 degrees and form a conveyance path that bends at a substantially right angle.

【0022】各ユニットU1〜U8は、単数若しくは複
数の開口部4を有する耐圧構造のケーシング2を具備
し、各開口部4にはフランジ6が配設される。フランジ
6を介して各開口部4が気密に閉鎖されると、各ケーシ
ング2は真空室を形成する。各ユニットU1〜U8のケ
ーシング2には、不活性ガスの供給系7からのライン及
び排気系8(図3参照)からのラインが個々に接続され
る。従って、各ケーシング2が真空室を形成した状態に
おいて、各ユニットU1〜U8に対応する各真空室は独
立的に所定の減圧雰囲気に設定可能となる。
Each of the units U1 to U8 includes a casing 2 having a pressure resistant structure having a single or a plurality of openings 4, and a flange 6 is provided in each opening 4. When the openings 4 are hermetically closed via the flanges 6, the casings 2 form vacuum chambers. A line from an inert gas supply system 7 and a line from an exhaust system 8 (see FIG. 3) are individually connected to the casing 2 of each of the units U1 to U8. Therefore, in a state where each casing 2 forms a vacuum chamber, each vacuum chamber corresponding to each unit U1 to U8 can be independently set to a predetermined reduced pressure atmosphere.

【0023】各ユニットU1〜U8は気密ジョイント及
び開閉手段として機能するゲートバルブGVに接続され
る。各ユニットU1〜U8のフランジ6は同一のゲート
バルブGVを取付けることができるように共通の寸法を
有し、従って、本処理装置で使用されるゲートバルブG
Vは、実質的に同一のバルブからなる。但し、各部にお
ける必要な耐圧に応じて、各バルブが異なる設定耐圧を
有するようにしてもよい。なお、各ユニットU1〜U8
の開口部4もまた同じ開口寸法を有する。
Each of the units U1 to U8 is connected to an airtight joint and a gate valve GV which functions as an opening / closing means. The flanges 6 of the units U1 to U8 have common dimensions so that the same gate valve GV can be mounted, and therefore, the gate valve G used in the present processing apparatus is the same.
V consists of substantially identical valves. However, each valve may have a different set breakdown voltage depending on the required breakdown voltage in each part. In addition, each unit U1 to U8
The apertures 4 of 4 also have the same aperture dimensions.

【0024】また、各ユニットU1〜U8間の接続に使
用されない開口部4は、フランジ6に取付けられる盲板
BPにより気密に閉鎖される。
The opening 4 which is not used for connection between the units U1 to U8 is hermetically closed by a blind plate BP attached to the flange 6.

【0025】図1に図示の処理装置には2つの、また図
2に図示の処理装置には1つの大寸法の処理ユニットU
1が配設される。処理ユニットU1は、前述の一辺がL
の基準正方形RSを2×2で並べた正方形を設置スペー
スとして想定して設計される。即ち、ユニットU1〜U
8を接続して組立てた場合、処理ユニットU1を設置す
るには、2×2分の基準正方形RSが必要となる。しか
し、処理ユニットU1のケーシング2の一辺の長さは2
Lよりかなり小さくなっている。
Two large processing units U are provided in the processing apparatus shown in FIG. 1 and one in the processing apparatus shown in FIG.
1 is provided. In the processing unit U1, the above-mentioned one side is L
It is designed assuming that a square in which the reference squares RS of 2 are arranged in 2 × 2 is an installation space. That is, the units U1 to U
When 8 units are connected and assembled, a standard square RS of 2 × 2 minutes is required to install the processing unit U1. However, the length of one side of the casing 2 of the processing unit U1 is 2
It is much smaller than L.

【0026】図2に図示の処理装置には2つの小寸法の
処理ユニットU5が配設される。処理ユニットU5は、
基準正方形RSの1つ分を設置スペースとして想定して
設計される。被処理基板が小さい場合や、付帯設備が少
ない処理内容の場合、このような小寸法処理ユニットU
5でも対応することができる。
The processing apparatus shown in FIG. 2 is provided with two small processing units U5. The processing unit U5 is
It is designed by assuming one of the reference squares RS as an installation space. If the substrate to be processed is small, or if the processing contents are few with auxiliary equipment, such a small size processing unit U
5 is also available.

【0027】処理ユニットU1、U5のケーシング2は
共に略正方形の平面形状をなし、ケーシング2の一側面
に、その垂直中心線を対称軸として1つの開口部4が形
成される。処理ユニットU1、U5のケーシング2内に
は、半導体ウェハWを載置するための載置台10が中央
に配設される。載置台10には、ウェハWをロード及び
アンロードを補助するように上下動可能なリフトピン
(図示せず)が内蔵される。図1に図示の2つの処理ユ
ニットU1どうし、或いは、図2に図示の処理ユニット
U5どうしは、例えば、同一の処理内容、或いは異なる
処理内容、例えば、成膜処理及びエッチング処理を夫々
行うものとすることができる。各処理内容に対応する処
理ユニットU1、U5の構成は後に詳述する。
The casings 2 of the processing units U1 and U5 both have a substantially square planar shape, and one opening 4 is formed on one side surface of the casing 2 with the vertical center line as the axis of symmetry. In the casing 2 of the processing units U1 and U5, a mounting table 10 for mounting the semiconductor wafer W is arranged at the center. The mounting table 10 has a lift pin (not shown) that can be moved up and down to assist loading and unloading of the wafer W. The two processing units U1 shown in FIG. 1 or the processing units U5 shown in FIG. 2 perform the same processing content or different processing content, for example, film forming processing and etching processing, respectively. can do. The configurations of the processing units U1 and U5 corresponding to each processing content will be described later in detail.

【0028】なお、処理ユニットU1の平面形状は、正
方形以外の形状、例えば矩形、円形、多角形とすること
ができる。また、開口部4を複数設けることも可能であ
る。
The planar shape of the processing unit U1 may be a shape other than a square, such as a rectangle, a circle, or a polygon. It is also possible to provide a plurality of openings 4.

【0029】大寸法の処理ユニットU1の夫々は、実質
的に同一の搬送ユニットU2に接続される。また、2つ
の小寸法の処理ユニットU5は1つの搬送ユニットU6
に接続される。搬送ユニットU2、U6は基準正方形R
Sを1×2で並べた矩形を設置スペースとして想定して
設計される。即ち、搬送ユニットU2、U6のケーシン
グ2は矩形の平面形状をなす。
Each of the large size processing units U1 is connected to a substantially identical transport unit U2. Further, the two small-sized processing units U5 are combined into one transport unit U6.
Connected to. Transport units U2 and U6 are standard square R
It is designed by assuming a rectangle in which S is arranged in 1 × 2 as an installation space. That is, the casing 2 of each of the transport units U2 and U6 has a rectangular planar shape.

【0030】搬送ユニットU2のケーシング2には5つ
の開口部4が形成され、より具体的には、両端面及び一
側面に夫々その垂直中心線を対称軸として1つの開口部
4が形成され、他側面にはその垂直中心線を対称軸とし
て一対の開口部4が形成される。これに対して、搬送ユ
ニットU6のケーシング2には6つの開口部4が形成さ
れ、より具体的には、両端面に夫々その垂直中心線を対
称軸として1つの開口部4が形成され、両側面にはその
垂直中心線を対称軸として一対の開口部4が形成され
る。搬送ユニットU2、U6の開口部4は、実質的に9
0度の角度間隔で4方向に1つずつ、合計で少なくとも
4個あることが望ましい。
Five openings 4 are formed in the casing 2 of the transport unit U2, and more specifically, one opening 4 is formed on both end faces and one side face with the vertical center line as the axis of symmetry. A pair of openings 4 is formed on the other side surface with the vertical center line as the axis of symmetry. On the other hand, the casing 2 of the transport unit U6 is formed with six openings 4, and more specifically, one opening 4 is formed on both end faces with the vertical center line as the axis of symmetry. A pair of openings 4 are formed on the surface with the vertical center line as the axis of symmetry. The openings 4 of the transport units U2 and U6 are substantially 9
It is desirable that there be at least four in total, one in each of four directions at an angular interval of 0 degrees.

【0031】図3に図示の如く、搬送ユニットU2、U
6のケーシング2内には、伸縮自在な搬送アーム12が
配設される。搬送アーム12は、リンク機構を介して接
続されたアーム素子14a、14及びフォーク16とを
具備する。搬送アーム12は駆動部18により伸縮駆動
されるだけでなく、上下方向にも駆動される。搬送アー
ム12は、搬送ユニットU2、U6の各開口部4を介し
て、ユニットU1〜U8間でウェハWを搬送する。
As shown in FIG. 3, the transport units U2, U
In the casing 2 of 6, an expandable and contractible transfer arm 12 is arranged. The transfer arm 12 includes arm elements 14a and 14 and a fork 16 which are connected via a link mechanism. The transfer arm 12 is not only expanded and contracted by the drive unit 18, but also vertically moved. The transfer arm 12 transfers the wafer W between the units U1 to U8 via the openings 4 of the transfer units U2 and U6.

【0032】図1図示の処理装置には、直線的な搬送路
を形成するように2つの搬送ユニットU2を接続する中
継ユニットU3が配設される。図2図示の処理装置に
は、90度の角度で曲がった搬送路を形成するように2
つの搬送ユニットU2、U6を接続する中継ユニットU
7が配設される。中継ユニットU3、U7は基準正方形
RSの1つ分を設置スペースとして想定して設計され
る。即ち、中継ユニットU3、U7のケーシング2は正
方形の平面形状をなす。
The processing apparatus shown in FIG. 1 is provided with a relay unit U3 which connects two transport units U2 so as to form a linear transport path. In the processing apparatus shown in FIG. 2, it is necessary to form a curved conveyance path at an angle of 90 degrees.
Relay unit U that connects two transport units U2 and U6
7 are provided. The relay units U3 and U7 are designed by assuming one of the reference squares RS as an installation space. That is, the casing 2 of the relay units U3 and U7 has a square planar shape.

【0033】直進用の中継ユニットU3のケーシング2
には、対向する2面に夫々その垂直中心線を対称軸とし
て1つの開口部4が形成される。これに対して、方向変
換用の中継ユニットU7のケーシング2には、隣接する
2面に夫々その垂直中心線を対称軸として1つの開口部
4が形成される。
Casing 2 of relay unit U3 for straight traveling
In this case, one opening 4 is formed on each of the two opposing surfaces with the vertical center line as the axis of symmetry. On the other hand, in the casing 2 of the relay unit U7 for direction change, one opening 4 is formed on each of the two adjacent surfaces with the vertical center line as the axis of symmetry.

【0034】中継ユニットU3、U7のケーシング2内
には、半導体ウェハWを載置するための載置台20が中
央に配設される。載置台20には、ウェハWのロード及
びアンロードを補助するように上下動可能なリフトピン
(図示せず)が内蔵される。中継ユニットU3、U7
は、単に2つ搬送ユニットU2間においてウェハWを一
時的に保管するだけでなく、ウェハWの検査、温度調
節、熱処理、アライメント等の任意の機能を具備するこ
とができる。例えば、図2図示の処理装置の左端部に
は、中継ユニットU3が、搬送ユニットU2を接続する
ためではなく、付属の機能を利用するために組込まれて
いる。
In the casing 2 of the relay units U3 and U7, a mounting table 20 for mounting the semiconductor wafer W is arranged at the center. The mounting table 20 has a lift pin (not shown) that is vertically movable to assist loading and unloading of the wafer W. Relay units U3 and U7
Can not only temporarily store the wafer W between the two transfer units U2, but can also have arbitrary functions such as inspection of the wafer W, temperature adjustment, heat treatment, and alignment. For example, at the left end of the processing apparatus shown in FIG. 2, the relay unit U3 is incorporated not for connecting the transport unit U2 but for utilizing the attached function.

【0035】図1図示の処理装置には、2つの搬送ユニ
ットU2の夫々に接続されるように、実質的に同一の2
つの出入ユニットU4が配設される。出入ユニットU4
は基準正方形RSを1×2で並べた矩形を設置スペース
として想定して設計され、2つのウェハカセットCを導
入可能に形成される。各ウェハカセットCには、複数枚
例えば25枚の半導体ウェハWが収納される。これに対
して、図2図示の処理装置には、搬送ユニットU2、U
6に夫々接続されるように2つの出入ユニットU8が配
設される。出入ユニットU8は基準正方形RSの1つ分
を設置スペースとして想定して設計され、1つのウェハ
カセットCを導入可能に形成される。
The processing apparatus shown in FIG. 1 has substantially the same two units so as to be connected to the two transport units U2, respectively.
One entry / exit unit U4 is provided. Exit unit U4
Is designed on the assumption that a rectangle formed by arranging the reference squares RS by 1 × 2 is set as an installation space, and is formed so that two wafer cassettes C can be introduced. A plurality of, for example, 25 semiconductor wafers W are stored in each wafer cassette C. On the other hand, in the processing device shown in FIG.
Two in-and-out units U8 are arranged so as to be connected to the respective six. The loading / unloading unit U8 is designed on the assumption that one of the reference squares RS is used as an installation space, and is formed so that one wafer cassette C can be introduced.

【0036】図示のように2つの出入ユニットU4、U
8が使用される場合、通常、一方は処理前ウェハWをシ
ステム内に取入れるために使用され、他方は処理後ウェ
ハWをシステム外に取出すために使用される。しかし、
1つの出入ユニットU4の、一方のウェハカセットCが
処理前ウェハWをシステム内に取入れるために使用さ
れ、他方のウェハカセットCが処理後ウェハWをシステ
ム外に取出すために使用される場合もある。また、1つ
のウェハカセットCが、処理前及び処理後ウェハWの両
者を同時に扱うために使用される場合もある。
As shown in the figure, two access units U4, U
When 8 is used, one is usually used to take the unprocessed wafer W into the system and the other is used to take the processed wafer W out of the system. But,
In the case where one wafer cassette C of one loading / unloading unit U4 is used to load the unprocessed wafer W into the system, and the other wafer cassette C is used to load the processed wafer W out of the system. is there. In addition, one wafer cassette C may be used to handle both pre-processed and post-processed wafers W at the same time.

【0037】ウェハカセットCは、表面洗浄後のウェハ
Wの表面に自然酸化膜が形成されるのを防止するため、
不活性ガスで満たされた気密性のカセット容器152に
入れられた状態で、本処理装置へ搬送されてくる。出入
ユニットU4、U8は、この様なシール状態のウェハカ
セットCを外気に触れさせることなく、そのケーシング
2内に取入れできるように構成される。
The wafer cassette C prevents the natural oxide film from being formed on the surface of the wafer W after the surface cleaning.
It is conveyed to this processing apparatus in a state of being contained in an airtight cassette container 152 filled with an inert gas. The loading / unloading units U4 and U8 are configured so that the wafer cassette C in such a sealed state can be loaded into the casing 2 thereof without exposing it to the outside air.

【0038】より具体的には、カセット容器152は、
下部に開口端を有する矩形の容器本体154と、同開口
端を気密に閉鎖する着脱可能な底板156を具備する。
底板156は、容器本体154の下部のフランジ158
の下面に、Oリング等のシール材160を介して気密に
取付けられる。容器152内には、カセットCを収容し
た状態で、大気圧に対して陽圧に設定された、清浄度の
高い窒素等の不活性ガスが充填される。このため、容器
152には、ガスを導入するためのバルブ付きノズル
(図示せず)が接続される。
More specifically, the cassette container 152 is
It is provided with a rectangular container body 154 having an open end at the bottom and a removable bottom plate 156 that hermetically closes the open end.
The bottom plate 156 is a flange 158 at the bottom of the container body 154.
Is airtightly attached to the lower surface of the via a sealing material 160 such as an O-ring. The container 152 is filled with an inert gas such as nitrogen having a high degree of cleanliness, which is set to a positive pressure with respect to the atmospheric pressure in a state where the cassette C is accommodated. For this reason, a valved nozzle (not shown) for introducing gas is connected to the container 152.

【0039】底板156の周面内には、突出及び退避可
能に複数のロックピン162が配設され、これらは、容
器本体154の下部の内壁に形成された凹部と係合す
る。ロックピン162は、底板156の中央に内蔵され
る円板164に連結され、円板164の回転により、容
器本体154の凹部に対する係合及び離脱を行えるよう
になっている。円板164の底部には、円板164を回
転させるための凹部166が形成される。
Inside the peripheral surface of the bottom plate 156, a plurality of lock pins 162 are arranged so that they can project and retract, and these engage with the recesses formed in the inner wall of the lower portion of the container body 154. The lock pin 162 is connected to a disc 164 built in the center of the bottom plate 156, and the rotation of the disc 164 allows the lock pin 162 to be engaged with and disengaged from the recess of the container body 154. A recess 166 for rotating the disc 164 is formed at the bottom of the disc 164.

【0040】これに対して、カセット容器152を載置
するための出入ユニットU4、U8のケーシング2の天
板170には、開口部174が形成される。開口部17
4は、ボールネジ172で、出入ユニットU4、U8の
ケーシング2内を上下に駆動される蓋176により開閉
される。蓋176の上面中央には上方に突出する複数の
ピン178が配設され、これらは蓋176に内蔵された
回転駆動体180に取付けられると共に、底板156の
凹部166と係合可能となっている。即ち、凹部166
とピン178とが係合した状態で、回転駆動体180が
回転すると、底板156の円板164も回転し、ロック
ピン162が容器本体154に対する係合及び離脱を行
うことができる。
On the other hand, an opening 174 is formed in the top plate 170 of the casing 2 of the loading / unloading units U4 and U8 for mounting the cassette container 152. Opening 17
4 is a ball screw 172 which is opened and closed by a lid 176 which is vertically driven in the casing 2 of the in-out unit U4, U8. A plurality of pins 178 projecting upward are arranged in the center of the upper surface of the lid 176. These pins 178 are attached to the rotary drive body 180 incorporated in the lid 176 and can be engaged with the recesses 166 of the bottom plate 156. . That is, the recess 166
When the rotary driver 180 rotates with the pin 178 engaged with the disk 164, the disc 164 of the bottom plate 156 also rotates, and the lock pin 162 can engage and disengage from the container body 154.

【0041】また、開口部174の周囲で天板170の
上面には複数のクランプ182が配設される。クランプ
182は、容器本体154のフランジ158の上面に係
合し、容器本体154をケーシング2の天板170に押
し付ける。容器本体154をケーシング2の天板170
との間には、Oリング等のシール材(図示せず)が配設
され、両部材間を気密にシールする。
A plurality of clamps 182 are arranged on the top surface of the top plate 170 around the opening 174. The clamp 182 engages with the upper surface of the flange 158 of the container body 154 and presses the container body 154 against the top plate 170 of the casing 2. The container body 154 is attached to the top plate 170 of the casing 2.
A sealing material (not shown) such as an O-ring is provided between the two members to hermetically seal between the two members.

【0042】この様な構成の出入ユニットU4、U8に
おいて、処理前ウェハWが処理装置内に取入れる際は、
先ず、カセット容器152が天板170上の所定位置に
載置される。次に、クランプ182が旋回し、容器本体
154を気密状態で天板170に対して固定する。次
に、蓋176の回転駆動体180が回転し、カセット容
器152の底板156の円板164を介して、ロックピ
ン162を解除する。次に、蓋176が下降すると、底
板156及びウェハカセットCが、容器本体154を天
板170上に残して下降する。即ち、カセットCが出入
ユニットU4、U8のケーシング2内に取込まれ、処理
前ウェハWが搬送ユニットU2の搬送アーム12により
受取り可能となる。
In the loading / unloading units U4 and U8 having such a structure, when the unprocessed wafer W is loaded into the processing apparatus,
First, the cassette container 152 is placed at a predetermined position on the top plate 170. Next, the clamp 182 rotates to fix the container body 154 to the top plate 170 in an airtight state. Next, the rotation driving body 180 of the lid 176 rotates, and the lock pin 162 is released via the disc 164 of the bottom plate 156 of the cassette container 152. Next, when the lid 176 descends, the bottom plate 156 and the wafer cassette C descend while leaving the container body 154 on the top plate 170. That is, the cassette C is loaded into the casing 2 of the loading / unloading units U4 and U8, and the unprocessed wafer W can be received by the transport arm 12 of the transport unit U2.

【0043】また、処理後ウェハWを処理装置外に取出
す場合は、上述とは逆の手順で、天板170に対して固
定された容器本体154内に、処理後ウェハWを積んだ
ウェハカセットCを収納する。この場合、出入ユニット
U4、U8のケーシング2内を不活性ガスで満たしてお
けば、カセット容器152内に不活性ガスを満たした状
態で処理後ウェハWのカセットCを収納することができ
る。
When the processed wafer W is to be taken out of the processing apparatus, the wafer cassette in which the processed wafer W is loaded in the container body 154 fixed to the top plate 170 is reversed by the procedure reverse to the above. Store C. In this case, if the casing 2 of each of the loading / unloading units U4 and U8 is filled with an inert gas, the cassette C of the processed wafer W can be stored in the cassette container 152 with the inert gas filled therein.

【0044】この様にすれば、本処理装置は、処理前ウ
ェハWの取入れから処理後ウェハWの取出しまでの間、
外部雰囲気の影響を受けない自己完結的な閉鎖空間を形
成することとなるので、本処理装置を高度なクリーンル
ーム内に設置する必要がなくなる。即ち、本処理装置を
収容するための大容量の高度なクリーンルームを設ける
必要がなくなるため、本処理装置を構築するためのイニ
シャルコストを大幅に低減することが可能となる。
In this way, the present processing apparatus is capable of handling the unprocessed wafer W from the unprocessed wafer W to the unprocessed wafer W.
Since the self-contained closed space that is not affected by the external atmosphere is formed, it is not necessary to install the present processing apparatus in a sophisticated clean room. That is, since it is not necessary to provide a high-capacity, high-quality clean room for accommodating the present processing apparatus, it is possible to significantly reduce the initial cost for constructing the present processing apparatus.

【0045】次に、図4を参照しながら中継ユニットU
3(U7)の具体例について詳述する。図4図示の中継
ユニットU3は、ステンレスやアルミニウムなどからな
る気密なケーシング21内に載置台23を具備する。載
置台23には上下動可能なリフトピン24が内装され
る。リフトピン24は、ウェハWの受け取り時に上昇
し、所定の搬送アームよりウェハWを受け取った後に下
降し、載置台23の載置面上にウェハWを載置する。載
置台23の載置面には、必要に応じて、静電チャックな
どのウェハ固定手段を設けることが可能である。
Next, referring to FIG. 4, the relay unit U
A specific example of No. 3 (U7) will be described in detail. The relay unit U3 shown in FIG. 4 includes a mounting table 23 in an airtight casing 21 made of stainless steel or aluminum. A lift pin 24 that can move up and down is installed in the mounting table 23. The lift pins 24 move up when the wafer W is received, move down after receiving the wafer W from a predetermined transfer arm, and place the wafer W on the mounting surface of the mounting table 23. A wafer fixing means such as an electrostatic chuck can be provided on the mounting surface of the mounting table 23, if necessary.

【0046】載置台23には図示しない冷媒源より液体
窒素などの冷媒を供給循環させることが可能な冷却ジャ
ケット25が内装されることもある。冷却ジャケット2
5からの冷熱伝達により、ウェハWを所望の温度にまで
冷却することもできる。ウェハWの上方には、赤外線ラ
ンプなどの加熱手段26が載置されることもあり、ウェ
ハWの温度を所望の温度にまで加熱することも可能であ
る。この様に、中継ユニットU3には、搬入されたウェ
ハWを所望の温度に温調するための温調手段を設けるこ
とが可能である。例えば、前段の処理ユニットにおい
て、加熱処理されたウェハWを搬送中に常温にまで冷却
したり、搬送中のウェハWを加熱して、簡単なアニール
処理を施すことが可能である。なお、加熱手段26とし
て、赤外線ランプに代え、載置台23に内装された電気
抵抗体を用いることもできる。
The mounting table 23 may be equipped with a cooling jacket 25 capable of supplying and circulating a coolant such as liquid nitrogen from a coolant source (not shown). Cooling jacket 2
The cold heat transfer from 5 also allows the wafer W to be cooled to a desired temperature. A heating means 26 such as an infrared lamp may be placed above the wafer W, and it is possible to heat the temperature of the wafer W to a desired temperature. As described above, the relay unit U3 can be provided with a temperature adjusting means for adjusting the temperature of the loaded wafer W to a desired temperature. For example, in the former processing unit, the heat-treated wafer W can be cooled to room temperature during transportation, or the wafer W being transported can be heated for simple annealing. As the heating means 26, an electric resistor built in the mounting table 23 can be used instead of the infrared lamp.

【0047】中継ユニットU3には、検査装置27、例
えば、射入射干渉計、静電容量式検査装置、フィゾー干
渉計、光電式検査装置、超音波式検査装置などを設ける
ことにより処理後ウェハWの表面形状、例えばフラット
ネス、そり、厚みなどを測定検査することも可能であ
る。更に必要な場合には、光学式濃度計、可視紫外分光
光度計、赤外分光光度系、走査型トンネル電子顕微鏡、
オージェ電子顕微鏡、触針式膜厚計、エリプソメータ、
走査型電子顕微鏡、EPMA、異物検査装置などを設置
することによりウェハWの物性を詳細に検査することも
可能である。かかる構成により、処理後ウェハWの欠陥
検査を搬送中に実施し、重大な欠陥が発見された場合に
は、後段の処理を省略し、欠陥ウェハWを装置外にアン
ロードすることができる。なお、図4に示す中継ユニッ
トU3には、検査装置27の一例として、射入射干渉計
が示されており、発光素子27aから射出させた特定波
長の照射光をウェハWの表面にて反射させ受光素子27
bにおいて受光し、その干渉波形によりウェハWの表面
の状態を検査する。
The relay unit U3 is provided with an inspection device 27, for example, a radiation incidence interferometer, a capacitance type inspection device, a Fizeau interferometer, a photoelectric type inspection device, an ultrasonic type inspection device, etc. It is also possible to measure and inspect the surface shape, such as flatness, warpage, and thickness. Further, if necessary, an optical densitometer, a visible ultraviolet spectrophotometer, an infrared spectrophotometer, a scanning tunneling electron microscope,
Auger electron microscope, stylus type film thickness meter, ellipsometer,
It is also possible to inspect the physical properties of the wafer W in detail by installing a scanning electron microscope, EPMA, foreign matter inspection device, and the like. With such a configuration, the defect inspection of the processed wafer W can be performed during transportation, and when a serious defect is found, the subsequent process can be omitted and the defective wafer W can be unloaded outside the apparatus. Note that the relay unit U3 shown in FIG. 4 shows a radiant-incidence interferometer as an example of the inspection device 27, and reflects the irradiation light of a specific wavelength emitted from the light emitting element 27a on the surface of the wafer W. Light receiving element 27
Light is received at b, and the state of the surface of the wafer W is inspected by the interference waveform.

【0048】中継ユニットU3には、必要に応じて、処
理ガス導入系29が接続される。これにより、所定のガ
ス、例えば窒素ガスを導入し、処理後ウェハWの表面に
窒化膜を形成し、処理面を保護することが可能となる。
更にまた、中継ユニットU3の載置台23には、必要に
応じて、ウェハWの位置調節手段が配備される。これに
より、予め中継ユニットにてアライメントした後に後段
の処理ユニットにウェハWを搬出することができる。
A processing gas introduction system 29 is connected to the relay unit U3, if necessary. This makes it possible to introduce a predetermined gas, for example, nitrogen gas, form a nitride film on the surface of the processed wafer W, and protect the processed surface.
Furthermore, the mounting table 23 of the relay unit U3 is provided with position adjusting means for the wafer W, if necessary. As a result, the wafer W can be unloaded to the subsequent processing unit after being aligned by the relay unit in advance.

【0049】また、中継ユニットU3内に昇降可能なカ
セットを設置し、25枚程度までの一時的なウェハの保
管に使用することも可能である。
It is also possible to install a cassette that can be raised and lowered within the relay unit U3 and use it for temporary storage of up to about 25 wafers.

【0050】中継ユニットU3に装備可能な付属機能
は、上述の内容に限定されるものではなく、前段の処理
工程から後段の処理工程へ被処理基板を搬送中に施すこ
とが可能なあらゆる処理、検査、位置調節、温調などを
施すための1つ或いは複数の機能とすることができる。
逆に、中継ユニットは、全ての付属機能を省略し、他の
ユニットとは別個独立に圧力制御することが可能な単な
る中継室として構成することも可能である。なお、直進
用の中継ユニットU3では、2つの開口部4が対向して
配置されるが、方向変換用の中継ユニットU7では、2
つの開口部4は90度の角度を成すように配置される。
The auxiliary functions that can be provided in the relay unit U3 are not limited to the above-mentioned contents, and any processing that can be performed during the transfer of the substrate to be processed from the previous processing step to the subsequent processing step, It can be one or more functions for performing inspection, position adjustment, temperature control, etc.
On the contrary, the relay unit can be configured as a simple relay chamber in which all the attached functions are omitted and the pressure can be controlled independently of other units. In the straight-ahead relay unit U3, the two openings 4 are arranged to face each other, but in the direction-changing relay unit U7,
The two openings 4 are arranged so as to form an angle of 90 degrees.

【0051】次に、処理ユニットU1、U5の具体例に
ついて、図5、図6及び図7を参照しながら説明する。
Next, specific examples of the processing units U1 and U5 will be described with reference to FIGS. 5, 6 and 7.

【0052】図5には、処理ユニットU1、U5として
使用されるマグネトロン式スパッタ装置が示される。図
示のように、スパッタ装置40は、ステンレスやアルミ
ニウム等からなる気密なバレル状のケーシング41を備
える。ケーシング41内には、上方から順次、陰極4
2、ターゲット43、コリメータ44、陽極45が対向
配置される。陽極45は被処理基板である半導体ウェハ
Wを載置固定する載置台を兼ね、その載置面にチャック
46によりウェハWを固定載置される。
FIG. 5 shows a magnetron type sputtering apparatus used as the processing units U1 and U5. As shown in the figure, the sputtering apparatus 40 includes an airtight barrel-shaped casing 41 made of stainless steel, aluminum, or the like. In the casing 41, the cathode 4 is
2, the target 43, the collimator 44, and the anode 45 are arranged to face each other. The anode 45 also serves as a mounting table for mounting and fixing the semiconductor wafer W which is the substrate to be processed, and the wafer W is fixedly mounted on the mounting surface by the chuck 46.

【0053】導電性金属からなる陰極42には可変直流
高圧電源47が接続される。スパッタ処理時には、例え
ば10〜20KWの直流電力を印加することにより、陰
極42と陽極45との間にグロー放電を生じさせる。そ
して、陰極42の下面に接合されたターゲット43にイ
オン粒子を衝突させ、弾かれたスパッタリング粒子を、
ターゲット43に対向する位置に載置されたウェハWの
処理面に被着させる。陰極42の上部には回転自在の永
久磁石48が設置される。永久磁石48により、陰極4
2の近傍に直交電磁界が形成され、二次イオンがトラッ
プされることにより、イオン化が促進される。永久磁石
48の配置及び/または形状を調整することにより、形
成される膜厚のばらつきを調整することができる。陰極
42には冷却ジャケット49が内装され、冷媒、例えば
冷却水を循環させることにより、陰極42及び/または
ターゲット43の昇温が抑制される。
A variable DC high voltage power supply 47 is connected to the cathode 42 made of a conductive metal. During the sputtering process, a glow discharge is generated between the cathode 42 and the anode 45 by applying a DC power of 10 to 20 KW, for example. Then, the ion particles collide with the target 43 bonded to the lower surface of the cathode 42 to disperse the sputtered particles,
The processing surface of the wafer W placed at a position facing the target 43 is attached. A rotatable permanent magnet 48 is installed above the cathode 42. With the permanent magnet 48, the cathode 4
An orthogonal electromagnetic field is formed in the vicinity of 2, and secondary ions are trapped, which promotes ionization. By adjusting the arrangement and / or the shape of the permanent magnet 48, it is possible to adjust the variation in the formed film thickness. A cooling jacket 49 is incorporated in the cathode 42, and the temperature rise of the cathode 42 and / or the target 43 is suppressed by circulating a cooling medium such as cooling water.

【0054】ケーシング41の下部には、アルミニウム
等の導電性金属からなり陽極も兼ねる載置台45が載置
される。載置台45は、略円筒状に構成され、昇降機構
50により昇降自在である。載置台45には、ヒータ等
の加熱装置51が内装され、ウェハWを所望の温度、例
えば200℃にまで昇温させることができる。ウェハW
の裏面には、管路52を介して窒素ガス等の供給するこ
とが可能であり、加熱装置51からの伝熱特性を向上さ
せている。
On the lower part of the casing 41, a mounting table 45 made of a conductive metal such as aluminum and also serving as an anode is mounted. The mounting table 45 is formed in a substantially cylindrical shape and can be raised and lowered by an elevating mechanism 50. A heating device 51 such as a heater is incorporated in the mounting table 45, and the wafer W can be heated to a desired temperature, for example, 200 ° C. Wafer W
Nitrogen gas or the like can be supplied to the back surface of the heater via the pipe line 52 to improve the heat transfer characteristics from the heating device 51.

【0055】陰極42/ターゲット43と陽極(載置
台)45の間にはコリメータ44が設置される。コリメ
ータ44はステンレス等の導電性金属製の円板にハニカ
ム状または円形の断面を有する多数の小孔を穿設してな
る。コリメータ44の周囲にはセラミックス等の絶縁部
材が取り付けられ、ケーシング41の内壁やシールと5
3等から電気的に絶縁され、プロセス時には、電気的フ
ローティング状態に保持される。ケーシング41内に
は、陰極42から陽極(載置台)45に至るスパッタリ
ング粒子が飛翔する空間を囲むように、例えばステンレ
ス等からなるシールド53が形成され、ケーシング41
の内壁がスパッタリング粒子から保護される。なお、こ
のシールド53は接地によりグランド電位に落とされて
おり、プロセス時には一種の対向電極としても作用する
ものである。
A collimator 44 is installed between the cathode 42 / target 43 and the anode (mounting table) 45. The collimator 44 is formed by forming a large number of small holes having a honeycomb or circular cross section on a disk made of a conductive metal such as stainless steel. An insulating member such as ceramics is attached to the periphery of the collimator 44, and the inner wall of the casing 41 and the seal 5
It is electrically insulated from 3 etc. and is kept in an electrically floating state during the process. In the casing 41, a shield 53 made of, for example, stainless steel is formed so as to surround a space where the sputtered particles fly from the cathode 42 to the anode (mounting table) 45.
The inner walls of the are protected from sputtered particles. The shield 53 is grounded to the ground potential and also acts as a kind of counter electrode during the process.

【0056】ケーシング41には、ガス源53からマス
フローコントローラ54を介して、所望の処理ガスを供
給するための処理ガス導入管55が接続される。所定の
処理ガスとして、例えば第1管路55aからアルゴン等
の不活性ガスが導入され、第2管路55bから窒素等の
反応性ガスが導入される。ケーシング41の下方には排
気口56が設けられ、図示しない真空ポンプ、例えばド
ライポンプによりケーシング内が所望の圧力に真空引き
可能となる。
A processing gas introduction pipe 55 for supplying a desired processing gas from the gas source 53 through the mass flow controller 54 is connected to the casing 41. As the predetermined processing gas, for example, an inert gas such as argon is introduced from the first pipeline 55a, and a reactive gas such as nitrogen is introduced from the second pipeline 55b. An exhaust port 56 is provided below the casing 41, and the inside of the casing can be evacuated to a desired pressure by a vacuum pump (not shown) such as a dry pump.

【0057】図6には、処理ユニットU1、U5として
使用されるプラズマエッチング装置71が示される。エ
ッチング装置71は、導電性材料、例えばアルミニウム
等からなる円筒或いは矩形状に成形された気密なケーシ
ング72を有する。ケーシング72の底部にはセラミッ
ク等の絶縁材73を介して、ウェハWを載置するための
略円筒状の載置台74が収容される。載置台74は、ア
ルミニウム等より形成された複数の部材をボルト等によ
り組付けることにより構成することができる。載置台7
4には、冷却手段75や加熱手段76等の熱源手段が内
設され、ウェハWの処理面を所望の温度に調整すること
ができる。
FIG. 6 shows a plasma etching apparatus 71 used as the processing units U1 and U5. The etching apparatus 71 has a cylindrical or rectangular airtight casing 72 made of a conductive material such as aluminum. A substantially cylindrical mounting table 74 for mounting the wafer W is housed in the bottom of the casing 72 via an insulating material 73 such as ceramics. The mounting table 74 can be configured by assembling a plurality of members formed of aluminum or the like with bolts or the like. Table 7
A heat source means such as a cooling means 75 and a heating means 76 is internally provided at 4, and the processing surface of the wafer W can be adjusted to a desired temperature.

【0058】冷却手段75は、例えば冷却ジャケット等
から構成され、冷却ジャケット75には、例えば液体窒
素等の冷媒を冷媒導入管77を介して導入可能である。
導入された液体窒素は同冷却ジャケット75内を循環
し、その間に核沸騰により冷熱を生じる。かかる構成に
より、例えば−196℃の液体窒素の冷熱が冷却ジャケ
ット75から載置台74を介してウェハWに対して伝熱
し、ウェハWの処理面を所望する温度まで冷却する。な
お、液体窒素の核沸騰により生じた窒素ガスは冷媒排出
管78より容器外へ排出される。
The cooling means 75 is composed of, for example, a cooling jacket or the like, and a cooling medium such as liquid nitrogen can be introduced into the cooling jacket 75 through a refrigerant introducing pipe 77.
The introduced liquid nitrogen circulates in the cooling jacket 75, during which nucleate boiling produces cold heat. With this configuration, for example, the cold heat of liquid nitrogen at −196 ° C. is transferred from the cooling jacket 75 to the wafer W via the mounting table 74, and the processing surface of the wafer W is cooled to a desired temperature. The nitrogen gas generated by the nucleate boiling of liquid nitrogen is discharged from the container through the refrigerant discharge pipe 78.

【0059】載置台74には温調用ヒータ等の加熱手段
76が配置される。温調用ヒータ76は、例えば窒化ア
ルミニウム等の絶縁性焼結体にタングステン等の導電性
抵抗発熱体が挿入されてなる。抵抗発熱体が電力供給リ
ード79によりフィルタ80を介して電力源81から所
望の電力を受けて発熱し、ウェハWの処理面の温度を所
望する温度まで加熱し、温度制御を行う。
A heating means 76 such as a temperature adjusting heater is arranged on the mounting table 74. The temperature adjusting heater 76 is formed by inserting a conductive resistance heating element such as tungsten into an insulating sintered body such as aluminum nitride. The resistance heating element receives desired power from the power source 81 via the filter 80 via the power supply lead 79 to generate heat, heats the temperature of the processing surface of the wafer W to a desired temperature, and controls the temperature.

【0060】載置台74は、上面中央部が凸状にされた
円板状で、この中央上面には、例えば静電チャック82
がウェハWと略同径大、好ましくはウェハWの径よりも
若干小さい径で設けられる。静電チャック82は、ウェ
ハWを載置保持する面としてポリイミド樹脂等の高分子
絶縁材料からなる2枚のフィルム82a、82b間に銅
箔等の導電膜82cを挟持した静電チャックシートより
構成される。導電膜82cは、電圧供給リード83によ
り、途中高周波をカットするフィルタ84、例えばコイ
ルを介して可変直流電圧源85に接続される。従って、
導電膜82cに高電圧を印加することにより、静電チャ
ック82の上側フィルム82aの上面にウェハWをクー
ロン力により吸着保持し得る。なお、被処理基板を保持
するチャック手段として、静電チャック82に代え、例
えば、昇降運動自在の円環状のクランプ部材等の、被処
理基板を機械的に保持するメカニカル・チャック手段を
用いることができる。
The mounting table 74 is in the form of a disk having a convex upper surface center portion, and the electrostatic chuck 82 is mounted on the central upper surface, for example.
Are substantially the same diameter as the wafer W, and preferably have a diameter slightly smaller than the diameter of the wafer W. The electrostatic chuck 82 is composed of an electrostatic chuck sheet in which a conductive film 82c such as a copper foil is sandwiched between two films 82a and 82b made of a polymer insulating material such as a polyimide resin as a surface for mounting and holding the wafer W. To be done. The conductive film 82c is connected to the variable DC voltage source 85 by a voltage supply lead 83 via a filter 84 that cuts high frequencies midway, for example, a coil. Therefore,
By applying a high voltage to the conductive film 82c, the wafer W can be adsorbed and held on the upper surface of the upper film 82a of the electrostatic chuck 82 by Coulomb force. As the chuck means for holding the substrate to be processed, instead of the electrostatic chuck 82, for example, a mechanical chuck means for mechanically holding the substrate to be processed such as an annular clamp member which can be moved up and down is used. it can.

【0061】静電チャックシート82には、伝熱ガス供
給孔86が同心円状に穿設される。伝熱ガス供給孔86
には、伝熱ガス供給管87が接続され、図示しないガス
源よりヘリウム等の伝熱ガスを、ウェハWの裏面と静電
チャック82のチャック面との間に形成される微小空間
に供給し、載置台74からウェハWへの伝熱効率を高め
ることができる。
Heat transfer gas supply holes 86 are concentrically formed in the electrostatic chuck sheet 82. Heat transfer gas supply hole 86
A heat transfer gas supply pipe 87 is connected to the heat transfer gas supply unit 87, and heat transfer gas such as helium is supplied from a gas source (not shown) to a minute space formed between the back surface of the wafer W and the chuck surface of the electrostatic chuck 82. The heat transfer efficiency from the mounting table 74 to the wafer W can be improved.

【0062】載置台74の周囲には、静電チャック82
上のウェハWの外周を囲むように環状のフォーカスリン
グ87が配置される。フォーカスリング87は反応性イ
オンを引き寄せない絶縁性または導電性の材料からな
り、反応性イオンを内側の半導体ウェハWにだけ効果的
に入射せしめるように作用する。
An electrostatic chuck 82 is provided around the mounting table 74.
An annular focus ring 87 is arranged so as to surround the outer circumference of the upper wafer W. The focus ring 87 is made of an insulating or conductive material that does not attract reactive ions, and acts so that the reactive ions are effectively incident only on the semiconductor wafer W inside.

【0063】載置台74には、中空に成形された動体よ
りなる給電棒88が接続され、給電棒88にはブロッキ
ングコンデンサ89を介して高周波電源90が接続され
る。プロセス時には、例えば13.56MHzの高周波
電力が給電棒88を介して載置台74に印加される。か
かる構成により、載置台74は下部電極として作用し、
ウェハWに対向するように設けられた上部電極91との
間にグロー放電を生じ、ケーシング内に導入された処理
ガスをプラズマ化し、そのプラズマ流にて被処理基板に
エッチング処理を施す。
A power feeding rod 88 formed of a hollow moving body is connected to the mounting table 74, and a high frequency power source 90 is connected to the power feeding rod 88 via a blocking capacitor 89. During the process, for example, high frequency power of 13.56 MHz is applied to the mounting table 74 via the power feeding rod 88. With this configuration, the mounting table 74 acts as a lower electrode,
Glow discharge is generated between the wafer W and the upper electrode 91 provided so as to face the wafer W, the processing gas introduced into the casing is turned into plasma, and the substrate to be processed is subjected to etching processing by the plasma flow.

【0064】上部電極91は、載置台74の載置面上方
に、これより約10〜20mm程度離間させて配置され
る。上部電極91は中空に形成され、その中空部に処理
ガス供給管92が接続される。処理ガス源93より流量
制御器(MFC)94を介して所定の処理ガス、例えば
CF4等のエッチングガスが供給管92から導入され
る。中空部の中程には、処理ガスの均一拡散を促進する
ための多数の小孔が穿設されたバッフル板95が配置さ
れる。バッフル板95の下方には処理ガス噴出口として
多数の小孔96が穿設された板部材からなる処理ガス導
入部97が設置される。
The upper electrode 91 is arranged above the mounting surface of the mounting table 74 and spaced from it by about 10 to 20 mm. The upper electrode 91 is formed hollow, and the processing gas supply pipe 92 is connected to the hollow portion. A predetermined processing gas, for example, an etching gas such as CF4 is introduced from a supply pipe 92 from a processing gas source 93 through a flow rate controller (MFC) 94. A baffle plate 95 having a large number of small holes for promoting uniform diffusion of the processing gas is arranged in the middle of the hollow portion. Below the baffle plate 95, a processing gas introduction part 97 made of a plate member having a large number of small holes 96 formed therein is installed as a processing gas ejection port.

【0065】ケーシング72の下方には真空ポンプ等か
らなる排気系に連通する排気口98が設けられ、ケーシ
ング内を所定の圧力に、例えば0.5Torrに真空排
気することができる。載置台74とケーシング72の内
壁との間には複数のバッフル孔が穿設されたバッフル板
99が、載置台74を囲むように配置される。バッフル
板99は、プロテクトリング或いは排気リングとも称さ
れ、排気流の流れを整え、ケーシング72内から処理ガ
ス等を均一に排気するためのものである。
Below the casing 72, an exhaust port 98 communicating with an exhaust system such as a vacuum pump is provided, and the inside of the casing can be evacuated to a predetermined pressure, for example, 0.5 Torr. A baffle plate 99 having a plurality of baffle holes is provided between the mounting table 74 and the inner wall of the casing 72 so as to surround the mounting table 74. The baffle plate 99 is also referred to as a protect ring or an exhaust ring, and serves to regulate the flow of the exhaust flow and uniformly exhaust the processing gas and the like from the inside of the casing 72.

【0066】図7には、処理ユニットU1、U5として
使用される枚葉式の熱CVD装置111が示される。C
VD装置111は、所定の減圧雰囲気にまで真空引き自
在な略円筒状の気密なケーシング112を有する。ケー
シング112の天井面113の中央には、中空の円筒形
状からなるシャワーヘッド114が気密に設けられる。
シャワーヘッド114の上部に処理ガス供給管115が
接続され、処理ガス源116より流量制御器(MFC)
117を介して、所定のプロセスガスがシャワーヘッド
114に導入される。シャワーヘッド114の下面、即
ち後述の載置台118との対向面には、ガス噴出口11
9が複数穿設される。処理ガス導入管115からシャワ
ーヘッド114内に導入された処理ガスは、ガス噴出口
119通じて、ケーシング112内の載置台118に向
けて均等に噴き出される。
FIG. 7 shows a single-wafer thermal CVD apparatus 111 used as the processing units U1 and U5. C
The VD device 111 has a substantially cylindrical airtight casing 112 that can be evacuated to a predetermined reduced pressure atmosphere. A shower head 114 having a hollow cylindrical shape is airtightly provided at the center of a ceiling surface 113 of the casing 112.
A processing gas supply pipe 115 is connected to the upper portion of the shower head 114, and a flow rate controller (MFC) is connected to the processing gas source 116.
A predetermined process gas is introduced into the showerhead 114 via 117. The gas ejection port 11 is provided on the lower surface of the shower head 114, that is, the surface facing the mounting table 118, which will be described later.
A plurality of 9 are drilled. The processing gas introduced from the processing gas introduction pipe 115 into the shower head 114 is evenly ejected toward the mounting table 118 in the casing 112 through the gas ejection port 119.

【0067】ケーシング112の底部近傍には、真空ポ
ンプ等の排気手段120に通じる排気管121が設けら
れる。排気手段120の稼働により、ケーシング112
は、所定の減圧雰囲気、例えば10−6Torrに設
定、維持が可能となる。ケーシング112の底部は、略
円筒状の支持体122によって支持された底板123に
よって構成される。底板123の内部には冷却水溜12
4が設けられ、冷却水パイプ125によって供給される
冷却水が、冷却水溜124内を循環する。
An exhaust pipe 121 communicating with an exhaust means 120 such as a vacuum pump is provided near the bottom of the casing 112. By operating the exhaust means 120, the casing 112
Can be set and maintained at a predetermined reduced pressure atmosphere, for example, 10 −6 Torr. The bottom of the casing 112 is composed of a bottom plate 123 supported by a substantially cylindrical support body 122. Inside the bottom plate 123, the cooling water reservoir 12
4 is provided, and the cooling water supplied by the cooling water pipe 125 circulates in the cooling water reservoir 124.

【0068】載置台118は底板123の上面にヒータ
126を介して設けられ、ヒータ126及び載置台11
8の周囲は、断熱壁127によって囲まれる。載置台1
18の上にはウェハWが載置される。断熱壁127は、
その表面が鏡面仕上げされて周囲からの放射熱を反射
し、断熱を図るように構成される。ヒータ126は絶縁
体の中に略帯状の発熱体を所定のパターン、例えば渦巻
き状に埋設してなる。ヒータ126は、ケーシング11
2外部に設置された図示しない交流電源から印加される
電圧により所定の温度、例えば400℃〜2000℃ま
で発熱し、載置台118上に載置されたウェハWを所定
の温度、例えば800℃に維持する。
The mounting table 118 is provided on the upper surface of the bottom plate 123 via the heater 126, and the heater 126 and the mounting table 11 are provided.
The periphery of 8 is surrounded by a heat insulating wall 127. Table 1
A wafer W is placed on the wafer 18. The heat insulation wall 127 is
Its surface is mirror-finished to reflect radiant heat from the surroundings and to be insulated. The heater 126 is formed by burying a substantially strip-shaped heating element in an insulator in a predetermined pattern, for example, a spiral shape. The heater 126 is the casing 11
2 Heat is generated to a predetermined temperature, for example, 400 ° C. to 2000 ° C., by a voltage applied from an AC power supply (not shown) installed outside, and the wafer W placed on the mounting table 118 is heated to a predetermined temperature, for example, 800 ° C. maintain.

【0069】載置台118の上面には、ウェハWを吸
着、保持するための静電チャック128が設けられる。
静電チャック128は、ウェハWを載置保持する面とし
てポリイミド樹脂等の高分子絶縁材料からなる2枚のフ
ィルム128a、128b間に銅箔等の導電膜128c
を挟持した静電チャックシートより構成される。導電膜
128cには、図示しない可変直流電圧源が接続され
る。このように、導電膜128cに高電圧を印加するこ
とにより、静電チャック128の上側フィルム128a
の上面にウェハWをクーロン力により吸着保持し得る。
An electrostatic chuck 128 for attracting and holding the wafer W is provided on the upper surface of the mounting table 118.
The electrostatic chuck 128 has a conductive film 128c such as a copper foil between two films 128a and 128b made of a polymer insulating material such as polyimide resin as a surface for mounting and holding the wafer W.
It is composed of an electrostatic chuck sheet sandwiching. A variable DC voltage source (not shown) is connected to the conductive film 128c. In this way, by applying a high voltage to the conductive film 128c, the upper film 128a of the electrostatic chuck 128 is formed.
The wafer W can be adsorbed and held on the upper surface of the substrate by Coulomb force.

【0070】載置台118の中心部に底板123を貫通
する伝熱媒体供給管129が嵌入する。伝熱媒体供給管
129の先端に接続された流路130を介して供給され
た例えばHeガス等の伝熱媒体が、静電チャック128
の載置面に載置されたウェハの裏面に供給される。
A heat transfer medium supply pipe 129 penetrating the bottom plate 123 is fitted in the center of the mounting table 118. The heat transfer medium such as He gas supplied through the flow path 130 connected to the tip of the heat transfer medium supply pipe 129 is the electrostatic chuck 128.
Is supplied to the back surface of the wafer mounted on the mounting surface.

【0071】載置台118中には、温度センサ131の
検知部132が配置され、載置台118内部の温度を逐
次検出する。温度センサ131からの信号に基づいて、
ヒータ126に給電される交流電源のパワー等を抑制す
ることにより、載置台118の載置面を所望の温度にコ
ントロールできる。
A detection unit 132 of a temperature sensor 131 is arranged in the mounting table 118 and sequentially detects the temperature inside the mounting table 118. Based on the signal from the temperature sensor 131,
By suppressing the power of the AC power supply supplied to the heater 126, the mounting surface of the mounting table 118 can be controlled to a desired temperature.

【0072】断熱壁127の側面外周と、底板123の
側面外周、及び支持体122の側面外周と、ケーシング
112の側壁133内周とによって創出される略環状の
空間内には、載置台118の載置面に載置されるウェハ
Wを、昇降させるためのリフタ134が設けられる。
In the substantially annular space created by the outer periphery of the heat insulating wall 127, the outer periphery of the bottom plate 123, the outer periphery of the support 122, and the inner periphery of the side wall 133 of the casing 112, the mounting table 118 is provided. A lifter 134 for raising and lowering the wafer W placed on the placement surface is provided.

【0073】次に、処理ユニットU1として上述の処理
装置を用い、コンタクトホールに配線材を形成するよう
に構成したマルチチャンバ型処理装置の実施例につい
て、図8を参照して説明する。図8において、処理ユニ
ットU1aはエッチング装置71の構造を有するエッチ
ングユニットであり、処理ユニットU1b、U4c、ス
パッタ装置40の構造を有する第1及び第2スパッタユ
ニットであり、処理ユニットU1dは、CVD装置11
1の構造を有するCVDユニットである。
Next, an embodiment of a multi-chamber type processing apparatus using the above processing apparatus as the processing unit U1 and configured to form a wiring material in a contact hole will be described with reference to FIG. In FIG. 8, a processing unit U1a is an etching unit having a structure of an etching apparatus 71, processing units U1b and U4c, and first and second sputtering units having a structure of a sputtering apparatus 40, and the processing unit U1d is a CVD apparatus. 11
1 is a CVD unit having a structure of 1.

【0074】図1及び図2図示の実施例で述べたよう
に、各処理ユニットU1a、U1b、U1c、U1dの
夫々は、ゲートバルブGVを介して専用の搬送ユニット
U2(図8では符号U2a、U2b、U2c、U2dで
指示)に接続され、搬送ユニットU2どうしはゲートバ
ルブGVを介して中継ユニットU3(図8では符号U3
a、U3b、U3cで指示)により接続される。図8の
左右両端の搬送ユニットU2a、U2dには、夫々出入
ユニットU4(図8では符号U4a、U4bで指示)が
ゲートバルブGVを介して接続される。前述の如く、各
ユニットU1〜U4には、不活性ガスの供給系及び排気
系が個々に接続され、独立的に所定の減圧雰囲気に設定
可能となっている。搬送ユニットU2の使用しない開口
部は盲板BPにより気密に閉鎖される。
As described in the embodiments shown in FIGS. 1 and 2, each of the processing units U1a, U1b, U1c and U1d has a dedicated transfer unit U2 (reference numeral U2a, reference numeral U2a in FIG. 8) via the gate valve GV. U2b, U2c, and U2d), and the transfer units U2 are connected to each other via a gate valve GV to a relay unit U3 (reference numeral U3 in FIG. 8).
a, U3b, U3c). The transport units U2a and U2d at the left and right ends of FIG. 8 are respectively connected with the in-out unit U4 (indicated by symbols U4a and U4b in FIG. 8) via the gate valve GV. As described above, the inert gas supply system and the exhaust system are individually connected to each of the units U1 to U4 so that a predetermined reduced pressure atmosphere can be set independently. The unused portion of the transport unit U2 is hermetically closed by the blind plate BP.

【0075】次に、図8図示のマルチチャンバ型処理装
置により、シリコンウェハW上に形成されたシリコン酸
化膜からなる層間絶縁膜にスルーホールを形成し、その
スルーホール内を含むウェハW上にチタン膜/チタン窒
化膜/タングステン膜を、配線材として成膜する場合の
動作について簡単に説明する。
Next, by using the multi-chamber type processing apparatus shown in FIG. 8, through holes are formed in the interlayer insulating film made of the silicon oxide film formed on the silicon wafer W, and the wafer W including the inside of the through holes is formed. The operation when the titanium film / titanium nitride film / tungsten film is formed as the wiring material will be briefly described.

【0076】先ず、左側の出入ユニットU4a内に、処
理前のウェハWを積んだウェハカセットCを前述の態様
で導入する。次に、左側の出入ユニットU4a内に導入
されたウェハカセットCから、搬送ユニットU2aの搬
送アーム12によりウェハWを一枚取出し、ウェハに形
成されたオリフラに基づいて所定の位置決めを行った
後、エッチングユニットU1aにウェハWを搬入する。
次に、エッチングユニットU1の対向電極74、91
(図6参照)間に電圧を印加してグロー放電を生じさ
せ、処理ガスをプラズマ化し、このプラズマのイオン種
及び活性種を使用し、層間絶縁膜をエッチングしてスル
ーホールを形成する。
First, the wafer cassette C loaded with unprocessed wafers W is introduced into the loading / unloading unit U4a on the left side in the above-described manner. Next, one wafer W is taken out from the wafer cassette C introduced into the left-hand loading / unloading unit U4a by the transfer arm 12 of the transfer unit U2a, and predetermined positioning is performed based on the orientation flat formed on the wafer. The wafer W is loaded into the etching unit U1a.
Next, the counter electrodes 74 and 91 of the etching unit U1
(See FIG. 6) A voltage is applied between them to generate glow discharge, the processing gas is turned into plasma, and the interlayer insulating film is etched using the ion species and active species of this plasma to form through holes.

【0077】エッチング処理終了後、搬送ユニットU2
aの搬送アーム12により、エッチングユニットU1a
からウェハWを取出し、中継ユニットU3a内に搬入す
る。中継ユニットU3a内には、例えばオゾンを導入
し、エッチング処理後の被処理基板に対して後処理とし
てアッシングを施す。中継ユニットU3aにおいては、
必要に応じて、所定の検査、温調、位置合わせ等の付属
処理を行う。
After the etching process is completed, the transport unit U2
By the transfer arm 12 of a, the etching unit U1a
The wafer W is taken out from and loaded into the relay unit U3a. For example, ozone is introduced into the relay unit U3a, and the substrate to be processed after the etching process is subjected to ashing as a post-process. In the relay unit U3a,
If necessary, ancillary processing such as predetermined inspection, temperature control, and alignment is performed.

【0078】中継ユニットU3aにおける付属処理の終
了後、搬送ユニットU2bの搬送アーム12により、中
継ユニットU3aからウェハWを取出し、第1スパッタ
ユニットU1bにウェハWを搬入する。次に、第1スパ
ッタユニットU1bの載置台45(図5参照)上でウェ
ハWを所望の温度、例えば200℃にまで加熱する。次
に、電極42、45間に、例えば10〜20KWの直流
高圧電力を印加してグロー放電を生じさせ、アルゴン等
の不活性ガスをプラズマ化する。そして、陰極の下面に
接合されたチタンからなるターゲット43にイオン粒子
を衝突させ、弾かれたチタン粒子を、ターゲット43に
対向するウェハWの処理面に被着させる。この様にし
て、エッチングにより形成されたスルーホール内を含む
ウェハW上にチタン膜をオーミックコンタクト層として
形成する。
After the accessory processing in the relay unit U3a is completed, the transfer arm 12 of the transfer unit U2b takes out the wafer W from the relay unit U3a and carries the wafer W into the first sputtering unit U1b. Next, the wafer W is heated to a desired temperature, for example, 200 ° C., on the mounting table 45 (see FIG. 5) of the first sputtering unit U1b. Next, a high voltage DC of 10 to 20 KW, for example, is applied between the electrodes 42 and 45 to cause glow discharge, and an inert gas such as argon is turned into plasma. Then, the ion particles collide with the target 43 made of titanium bonded to the lower surface of the cathode, and the repelled titanium particles are deposited on the processed surface of the wafer W facing the target 43. In this way, a titanium film is formed as an ohmic contact layer on the wafer W including the inside of the through hole formed by etching.

【0079】チタン膜形成後、搬送ユニットU2bの搬
送アーム12により、第1スパッタユニットU1bから
ウェハWを取出し、中継ユニットU3b内に搬入する。
中継ユニットU3bにおいては、必要に応じて、所定の
検査、温調、位置合わせ等の付属処理を行う。
After the titanium film is formed, the transfer arm 12 of the transfer unit U2b takes out the wafer W from the first sputtering unit U1b and carries it into the relay unit U3b.
In the relay unit U3b, ancillary processing such as predetermined inspection, temperature adjustment, and alignment is performed as necessary.

【0080】中継ユニットU3bにおける付属処理の終
了後、搬送ユニットU2cの搬送アーム12により、中
継ユニットU3bからウェハWを取出し、第2スパッタ
ユニットU1cにウェハWを搬入する。次に、第2スパ
ッタユニットU1cの載置台45(図5参照)上でウェ
ハWを所望の温度、例えば200℃にまで加熱する。次
に、電極42、45間に、例えば10〜20KWの直流
高圧電力を印加してグロー放電を生じさせ、窒素ガスを
プラズマ化する。そして、陰極の下面に接合されたチタ
ンからなるターゲット43にイオン粒子を衝突させ、弾
かれたチタン粒子を、窒化させると共に、ターゲット4
3に対向するウェハWの処理面に被着させる。この様に
して、既に形成されたチタン膜上に、チタン窒化膜をバ
リヤ層として形成する。
After the auxiliary processing in the relay unit U3b is completed, the transfer arm 12 of the transfer unit U2c takes out the wafer W from the relay unit U3b and carries the wafer W into the second sputtering unit U1c. Next, the wafer W is heated to a desired temperature, for example, 200 ° C., on the mounting table 45 (see FIG. 5) of the second sputtering unit U1c. Next, a high voltage DC of 10 to 20 KW, for example, is applied between the electrodes 42 and 45 to cause glow discharge, and the nitrogen gas is turned into plasma. Then, the ion particles collide with the target 43 made of titanium bonded to the lower surface of the cathode to nitride the repelled titanium particles, and the target 4
The processed surface of the wafer W facing the wafer No. 3 is attached. In this way, a titanium nitride film is formed as a barrier layer on the already formed titanium film.

【0081】チタン窒化膜形成後、搬送ユニットU2c
の搬送アーム12により、第2スパッタユニットU1c
からウェハWを取出し、中継ユニットU3c内に搬入す
る。中継ユニットU3cにおいては、必要に応じて、所
定の検査、温調、位置合わせ等の付属処理を行う。
After forming the titanium nitride film, the transport unit U2c
Of the second sputtering unit U1c by the transfer arm 12 of
The wafer W is taken out from and loaded into the relay unit U3c. In the relay unit U3c, ancillary processing such as predetermined inspection, temperature adjustment, and alignment is performed as necessary.

【0082】中継ユニットU3cにおける付属処理の終
了後、搬送ユニットU2dの搬送アーム12により、中
継ユニットU3cからウェハWを取出し、CVDユニッ
トU1dにウェハWを搬入する。次に、CVDユニット
U1dの載置台118(図7参照)上でウェハWを所望
の温度、例えば800℃にまで加熱する。次に、ケーシ
ング112内にタングステン含有ガスを導入し、CVD
法により、既に形成されたチタン窒化膜上にタングステ
ン膜を形成する。この様にして、チタン膜/チタン窒化
膜/タングステン膜からなる配線材をスルーホール内を
含むウェハW上に成膜する。
After the attachment process in the relay unit U3c is completed, the transfer arm 12 of the transfer unit U2d takes out the wafer W from the relay unit U3c and carries the wafer W into the CVD unit U1d. Next, the wafer W is heated to a desired temperature, for example, 800 ° C., on the mounting table 118 (see FIG. 7) of the CVD unit U1d. Next, a tungsten-containing gas is introduced into the casing 112 to perform CVD.
By the method, a tungsten film is formed on the titanium nitride film already formed. In this way, the wiring material composed of the titanium film / titanium nitride film / tungsten film is formed on the wafer W including the inside of the through holes.

【0083】チタン窒化膜形成後、搬送ユニットU2d
の搬送アーム12により、CVDユニットU1dからウ
ェハWを取出し、右側の出入ユニットU4bのウェハカ
セットC内に処理後のウェハWを挿入する。ウェハカセ
ットCは、処理後のウェハWで満載された後、本処理装
置から取出される。なお、図示はしていないが、最後段
の搬送ユニットU2dの他方の側に更に別の中継ユニッ
トU3を設け、その中継ユニットで成膜状態を検査する
ように処理装置を構成することも可能である。また、更
に別の処理ユニットを設けて、エッチバック等の後処理
を施すように処理装置を構成することも可能である。
After forming the titanium nitride film, the transport unit U2d
The wafer W is taken out from the CVD unit U1d by the transfer arm 12 and the processed wafer W is inserted into the wafer cassette C of the right-side loading / unloading unit U4b. The wafer cassette C is loaded with the processed wafers W and then taken out from the processing apparatus. Although not shown, it is also possible to provide a further relay unit U3 on the other side of the transport unit U2d at the last stage and configure the processing apparatus to inspect the film formation state at the relay unit. is there. It is also possible to provide another processing unit and configure the processing apparatus to perform post-processing such as etch back.

【0084】また、本発明によれば、種々の障害物を避
けるように搬送路を方向変換することにより、与えられ
た条件に合わせて様々な態様でのマルチチャンバ型処理
装置を設計することができる。
Further, according to the present invention, by changing the direction of the transfer path so as to avoid various obstacles, it is possible to design a multi-chamber type processing apparatus in various modes according to given conditions. it can.

【0085】例えば、図9にレイアウトが示される実施
例においては、柱CB及び固定設置物FOを避けるよう
にマルチチャンバ型処理装置がジグザグ型に形成され
る。
For example, in the embodiment whose layout is shown in FIG. 9, the multi-chamber type processing apparatus is formed in a zigzag type so as to avoid the pillar CB and the fixed installation FO.

【0086】図9図示の処理装置において、例えば、上
側の1カセット収納用の出入ユニットU8がシステム入
口、下側の1カセット収納用の出入ユニットU8がシス
テム出口として使用される。また、図10にレイアウト
が示される実施例においては、9個という多数の処理ユ
ニットU1が、部屋の両端の壁RWにより限られた長さ
に納まるように、搬送ユニットU2及び中継ユニットU
3、U7を介してU字形に配置される。図10図示の処
理装置において、例えば、右側の2カセット収納用の出
入ユニットU4がシステム入口、左側の2カセット収納
用の出入ユニットU4がシステム出口として使用され
る。
In the processing apparatus shown in FIG. 9, for example, the upper cassette storage unit U8 for storing one cassette is used as the system inlet, and the lower storage cassette unit U8 for storing one cassette is used as the system outlet. In the embodiment whose layout is shown in FIG. 10, the transport unit U2 and the relay unit U are arranged so that a large number of nine processing units U1 can be accommodated in the length limited by the walls RW at both ends of the room.
3, U-shaped through U7. In the processing apparatus shown in FIG. 10, for example, the right and left two-cassette storage unit U4 is used as the system inlet, and the left-side two-cassette storage unit U4 is used as the system outlet.

【0087】上述の如く、本発明によれば、中継ユニッ
トを介して搬送ユニットを次々と接続することにより、
任意処理内容の処理ユニットを任意の数だけ使用してマ
ルチチャンバ型処理装置を構築することができる。この
際、各基ユニットに必要な不活性ガス供給系及び排気系
は、各ユニット毎に別個に形成されるので、特定の1つ
のユニットの排気系に過剰な負担が伴ることはない。従
って、従来の処理装置のように、真空搬送系の形状や能
力により設置できる処理室の種類及び数が限定されるこ
とはない。また、本発明に係るマルチチャンバ型処理装
置の構築後の処理ユニットの追加、変更、削除等も自在
に行うことができる。しかも、本処理装置は、ウェハカ
セットの取入れから、取出しまで自己完結的な閉鎖空間
を形成し、クリーンルーム内に配置する必要がない。従
って、本処理装置の設計の自由度は非常に高いものとな
る。
As described above, according to the present invention, by connecting the transport units one after another through the relay unit,
A multi-chamber type processing apparatus can be constructed by using an arbitrary number of processing units having arbitrary processing contents. At this time, since the inert gas supply system and the exhaust system required for each base unit are formed separately for each unit, the exhaust system of one specific unit is not overloaded. Therefore, unlike the conventional processing apparatus, the type and number of processing chambers that can be installed are not limited by the shape and capacity of the vacuum transfer system. Further, it is possible to freely add, change, delete, etc. the processing unit after the construction of the multi-chamber type processing apparatus according to the present invention. Moreover, the present processing apparatus forms a self-contained closed space from the loading of the wafer cassette to the loading thereof, and does not need to be arranged in the clean room. Therefore, the degree of freedom in designing the processing apparatus is extremely high.

【0088】更に、本発明によれば、中継ユニットに図
3に示すような各種検査、アライメント、温調等の機能
を設けることにより、搬送中に各種検査、位置調節、温
調等の処理を施すことが可能となり、システムのスルー
プットを大幅に向上させることができる。
Further, according to the present invention, by providing the relay unit with various inspection, alignment, temperature control, and other functions as shown in FIG. 3, various inspections, position adjustments, temperature control, and other processes can be performed during transportation. It is possible to increase the throughput of the system.

【0089】なお、図5乃至図7においては、処理ユニ
ットとして、スパッタ装置、エッチング装置、熱CVD
装置が夫々示されるが、この他、処理ユニットとして
は、半導体処理において使用される種々の装置、例え
ば、プラズマCVD装置、RPT(ラピット・サーマル
・プロセス)装置、アニール装置、アッシング装置、酸
化膜装置、熱処理装置等が含まれる。また、半導体処理
を受ける被処理基板としては、半導体ウェハの他、LC
D基板が含まれる。
Note that, in FIGS. 5 to 7, as the processing unit, a sputtering apparatus, an etching apparatus, a thermal CVD
The apparatus is shown respectively, but in addition to this, as the processing unit, various apparatuses used in semiconductor processing, for example, a plasma CVD apparatus, an RPT (rapid thermal process) apparatus, an annealing apparatus, an ashing apparatus, an oxide film apparatus. , A heat treatment device and the like. Further, as the substrate to be processed for semiconductor processing, in addition to semiconductor wafers, LC
A D board is included.

【0090】[0090]

【発明の効果】以上のように本発明は構成されているの
で、真空処理室と真空搬送室から成る処理ユニット、あ
るいは真空処理室と真空搬送室と気密中継室とから成る
処理ユニットを、何種類でも又はいくつでも、気密中継
室を介して自由に接続することが可能となり、処理装置
の設計の自由度が増大し、要求される処理工程の種類及
び数に自由に応じることが可能な処理装置を構築するこ
とができる。また後に設計変更が生じた場合であって
も、処理ユニット単位で移動することにより、容易に対
応可能である。特に、従来の放射状配置方式のように、
配置される処理室の数が増えることにより真空搬送室の
容積が飛躍的に増大することもない。また、従来の通路
配置方式のように、比較的大きな容積の真空搬送室を真
空引きするのではなく、各真空搬送室を個別制御可能な
真空排気系により真空引きすることが可能なので、真空
引きに要する時間を大幅に短縮することが可能である。
Since the present invention is configured as described above, a processing unit including a vacuum processing chamber and a vacuum transfer chamber, or a processing unit including a vacuum processing chamber, a vacuum transfer chamber, and an airtight relay chamber can be used. It becomes possible to freely connect any number or types through the airtight relay room, increasing the degree of freedom in designing the processing equipment, and processing that can freely respond to the type and number of required processing steps. The device can be constructed. Further, even if the design is changed later, it can be easily dealt with by moving the processing unit. In particular, like the traditional radial arrangement,
The volume of the vacuum transfer chamber does not dramatically increase due to the increase in the number of processing chambers arranged. In addition, unlike the conventional passage arrangement method, it is possible to evacuate a vacuum transfer chamber having a relatively large volume, but it is possible to evacuate each vacuum transfer chamber by an individually controllable vacuum exhaust system. It is possible to significantly reduce the time required for.

【0091】さらに本発明によれば、ユニット化をさら
に押し進め、真空処理装置、真空搬送室、気密中継室、
ローダ/アンローダ室をそれぞれユニット化し、それら
の組合わせにより処理装置を構成することにより、さら
に設計の自由度を増し、ユニット化によるコストダウン
を図ることが可能である。その際に、ユニット同士を接
続する連通用開口の寸法を共通化し、共通の気密接続手
段、例えばゲートバルブを介して前記各ユニット同士を
接続することにより、さらに一層装置のコストダウン及
び設計の自由度が増し、場合によっては異なるメーカー
によって製造された真空処理装置同士を接続して、マル
チチャンバ型処理装置を構成することも可能となる。
Furthermore, according to the present invention, unitization is further promoted, and a vacuum processing apparatus, a vacuum transfer chamber, an airtight relay chamber,
By forming the loader / unloader chamber as a unit and constructing the processing device by combining them, it is possible to further increase the degree of freedom in design and reduce costs by unitizing. At that time, the size of the communication opening for connecting the units is made common, and the respective units are connected to each other through a common airtight connection means, for example, a gate valve, so that the cost of the device can be further reduced and the design can be freely performed. It is also possible to construct a multi-chamber type processing apparatus by connecting vacuum processing apparatuses manufactured by different manufacturers depending on circumstances.

【0092】また各気密中継室に被処理体の搬送手段を
設けることにより、処理ユニット間での被処理体の自由
な受け渡しが可能となるとともに、気密中継室に検査装
置を設置することにより、処理済みウェハの各種検査、
例えば成膜厚さ、歪み等の検査を実施することにより欠
陥の早期発見が可能となり、欠陥ウェハに対する無駄な
処理を省略することが可能となる。また気密中継室に
は、被処理体の温調手段を設けることも可能であり、例
えば、加熱手段により気密中継室において簡単なアニー
ル処理などを施すことができる。また例えば、熱CVD
装置により加熱したウェハを、載置台に内装された冷却
ジャケットからの冷熱伝達により冷却することも可能で
ある。また、気密中継室にアライメント装置を設置する
ことにより、搬送中に被処理体のアライメントを実施す
ることも可能である。さらにまた、所定の処理ガスを導
入し、搬送中に、例えば被処理体の処理面に窒化膜など
の保護膜を形成する構成を採用することも可能である。
以上のように、本発明構成により、搬送経路中の気密中
継室において、検査等の処理を施すことが可能となり、
処理装置のスループットを向上させることができる。
Further, by providing a means for transferring the object to be processed in each airtight relay chamber, it becomes possible to freely transfer the object to be processed between the processing units, and by installing an inspection device in the airtight relay chamber, Various inspection of processed wafers,
For example, it is possible to detect defects at an early stage by inspecting the film thickness, strain, etc., and it is possible to omit useless processing for defective wafers. Further, the airtight relay chamber can be provided with a temperature control means for the object to be processed, and for example, a simple annealing process can be performed in the airtight relay chamber by the heating means. Also, for example, thermal CVD
It is also possible to cool the wafer heated by the apparatus by cold heat transfer from a cooling jacket built in the mounting table. In addition, by installing an alignment device in the airtight relay chamber, it is possible to perform alignment of the object to be processed during transportation. Furthermore, it is also possible to adopt a configuration in which a predetermined processing gas is introduced and a protective film such as a nitride film is formed on the processing surface of the object to be processed during transportation.
As described above, according to the configuration of the present invention, it is possible to perform a process such as an inspection in the airtight relay chamber in the transfer route,
The throughput of the processing device can be improved.

【0093】さらにまた、本発明構成によれば、上記真
空搬送室には、自由にローダ室/又はアンローダ室を取
り付けることができるので、ローダ室/アンローダ室の
形状又はレイアウトにより処理装置全体の設計の自由度
が規制されることもない。もちろん必要によっては、ロ
ーダ/アンローダ専用の処理ユニットを構成することも
可能である。
Furthermore, according to the configuration of the present invention, since the loader chamber / or the unloader chamber can be freely attached to the vacuum transfer chamber, the entire processing apparatus can be designed according to the shape or layout of the loader chamber / unloader chamber. The degree of freedom is not regulated. Of course, if necessary, a processing unit dedicated to the loader / unloader can be configured.

【0094】そして、さらにまた、上記処理ユニットを
構成する真空処理室としては、例えば、前処理装置(例
えば、加熱装置、エッチング装置など)、成膜装置(例
えば、スパッタ装置、CVD装置、真空蒸着装置な
ど)、エッチング装置、後処理装置(例えば、加熱装置
など)などを採用することが可能であるので、半導体製
造装置に要求される各種ニーズに柔軟に対応可能であ
る。
Furthermore, as the vacuum processing chamber constituting the above processing unit, for example, a pretreatment device (eg, heating device, etching device, etc.), a film forming device (eg, sputtering device, CVD device, vacuum deposition). Device), an etching device, a post-processing device (for example, a heating device), and the like, so that it is possible to flexibly meet various needs required for a semiconductor manufacturing device.

【図面の簡単な説明】[Brief description of drawings]

【図1】図1は本発明の一実施例に係るマルチチャンバ
型処理装置を示す平面図であり、処理装置は各基本ユニ
ットに分解された状態となっている。
FIG. 1 is a plan view showing a multi-chamber type processing apparatus according to an embodiment of the present invention, in which the processing apparatus is disassembled into respective basic units.

【図2】図2は本発明の他の実施例に係るマルチチャン
バ型処理装置を示す平面図であり、処理装置は各基本ユ
ニットに分解された状態となっている。
FIG. 2 is a plan view showing a multi-chamber type processing apparatus according to another embodiment of the present invention, in which the processing apparatus is disassembled into respective basic units.

【図3】図3は搬送ユニットと出入ユニットとの関係を
示す断面図である。
FIG. 3 is a cross-sectional view showing the relationship between a transport unit and an in / out unit.

【図4】図4は中継ユニットの詳細を示す断面図であ
る。
FIG. 4 is a cross-sectional view showing details of a relay unit.

【図5】図5は処理ユニットとして使用可能なスパッタ
装置を示す断面図である。
FIG. 5 is a sectional view showing a sputtering apparatus usable as a processing unit.

【図6】図6は処理ユニットとして使用可能なエッチン
グ装置を示す断面図である。
FIG. 6 is a sectional view showing an etching apparatus usable as a processing unit.

【図7】図7は処理ユニットとして使用可能なCVD装
置を示す断面図である。
FIG. 7 is a sectional view showing a CVD apparatus usable as a processing unit.

【図8】図8は本発明の更に他の実施例に係るマルチチ
ャンバ型処理装置を示す平面図である。
FIG. 8 is a plan view showing a multi-chamber type processing apparatus according to still another embodiment of the present invention.

【図9】図9は本発明の更に他の実施例に係るマルチチ
ャンバ型処理装置を示す平面図である。
FIG. 9 is a plan view showing a multi-chamber type processing apparatus according to still another embodiment of the present invention.

【図10】図10は本発明の更に他の実施例に係るマル
チチャンバ型処理装置を示す平面図である。
FIG. 10 is a plan view showing a multi-chamber type processing apparatus according to still another embodiment of the present invention.

【図11】図11は従来のマルチチャンバ型処理装置を
示す概略平面図である。
FIG. 11 is a schematic plan view showing a conventional multi-chamber type processing apparatus.

【図12】図12は従来の別のマルチチャンバ型処理装
置を示す概略平面図である。
FIG. 12 is a schematic plan view showing another conventional multi-chamber type processing apparatus.

【符号の説明】[Explanation of symbols]

BP 盲板 GV ゲートバルブ U1 処理ユニット U2 搬送ユニット U3 中継ユニット U4 出入ユニット 2 ケーシング 4 開口部 6 フランジ 7 不活性ガス供給系 8 排気系 10 載置台 12 搬送アーム BP blind plate GV gate valve U1 processing unit U2 transport unit U3 relay unit U4 entry / exit unit 2 casing 4 openings 6 flange 7 Inert gas supply system 8 exhaust system 10 table 12 Transport arm

フロントページの続き (51)Int.Cl.7 識別記号 FI H01L 21/205 H01L 21/205 21/3065 21/31 A 21/31 21/302 B (58)調査した分野(Int.Cl.7,DB名) H01L 21/68 B23Q 7/14 B65G 49/07 C23C 14/56 H01L 21/02 H01L 21/31 Continuation of front page (51) Int.Cl. 7 Identification code FI H01L 21/205 H01L 21/205 21/3065 21/31 A 21/31 21/302 B (58) Fields investigated (Int.Cl. 7 , DB name) H01L 21/68 B23Q 7/14 B65G 49/07 C23C 14/56 H01L 21/02 H01L 21/31

Claims (19)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 複数の処理ユニットを備え、その各処理
ユニットにおいて被処理体に対して各個別の処理を施す
ことにより、前記被処理体に対して連続的に複数の処理
工程を施すことが可能な処理装置であって、 前記各処理ユニットは、前記被処理体に対して各個別の
処理を施す真空処理室を備え、前記被処理体の搬送手段
を備えると共に前記真空処理室に1のゲートバルブを介
して着脱可能に接続される真空搬送室を有する搬送ユニ
ットと組み合わせて、前記搬送ユニットと前記処理ユニ
ットとで対をなすように構成され、 前記各処理ユニット同士は、前記真空搬送室に気密接続
手段を介して着脱可能な気密中継室を介して相互に着脱
可能に接続していることを特徴とする処理装置。
[Claim 1 further comprising a plurality of processing units, by carrying out each individual processing to the object to be processed at each of its processing units, be subjected to continuous plurality of processing steps to the target object A processing apparatus capable of processing, wherein each of the processing units includes a vacuum processing chamber that performs a separate process on the object to be processed, and the means for conveying the object to be processed.
And a gate valve is provided in the vacuum processing chamber.
And a transfer unit having a vacuum transfer chamber that is detachably connected
In combination with the transport unit and the processing unit.
The processing units are removably connected to the vacuum transfer chamber via an airtight connection chamber that is removable via an airtight connection means. Characterizing processing device.
【請求項2】 前記処理装置はマルチチャンバである
ことを特徴とする請求項1に記載の処理装置。
2. The processing apparatus according to claim 1, wherein the processing apparatus is a multi-chamber.
【請求項3】 前記真空搬送室には、1又は2以上のゲ
ートバルブを介してローダ/アンローダ室を備える出入
ユニットを接続可能であることを特徴とする、請求項1
又は2に記載の処理装置。
3. The vacuum transfer chamber can be connected to an in / out unit including a loader / unloader chamber via one or more gate valves.
Or the processing device according to 2.
【請求項4】 前記真空処理室、前記真空搬送室、前記
気密中継室は、それぞれ個別制御可能な真空排気系を備
えていることを特徴とする、請求項1〜3のいずれかに
記載の処理装置。
4. The vacuum processing chamber, the vacuum transfer chamber, and the airtight relay chamber are each provided with an individually controllable vacuum exhaust system. Processing equipment.
【請求項5】 複数の処理ユニットを備え、その各処理
ユニットにおいて被処理体に対して各個別の処理を施す
ことにより、前記被処理体に対して連続的に複数の処理
工程を施すことが可能な処理装置であって、 前記各処理ユニットは、前記被処理体に対して各個別の
処理を施す真空処理室を備え、前記被処理体の搬送手段
を備えると共に前記真空処理室に1のゲートバルブを介
して着脱可能に接続される真空搬送室を有する搬送ユニ
ットと組み合わせて、前記搬送ユニットと前記処理ユニ
ットとで対をなすように構成され、 少なくとも、前記処理ユニットと、前記搬送ユニット
と、前記真空搬送室に気密接続手段を介して着脱可能に
接続可能な中継ユニットと、前記真空搬送室に1又は2
以上のゲートバルブを介して着脱可能に接続され前記真
空搬送室内に被処理体を搬入搬出する出入ユニットとを
含むユニット群から任意のユニットを選択し組み合わせ
ることにより構成され、 前記各ユニットに設けられた他のユニットとの連通用開
口の寸法が共通であり、共通の気密接続手段を介して前
記各ユニット同士を接続することが可能であることを特
徴とする処理装置。
5. comprising a plurality of processing units, by carrying out each individual processing to the object to be processed at each of its processing units, be subjected to continuous plurality of processing steps to the target object A processing apparatus capable of processing, wherein each of the processing units includes a vacuum processing chamber that performs a separate process on the object to be processed, and the means for conveying the object to be processed.
And a gate valve is provided in the vacuum processing chamber.
And a transfer unit having a vacuum transfer chamber that is detachably connected
In combination with the transport unit and the processing unit.
At least the processing unit , the transfer unit, a relay unit detachably connectable to the vacuum transfer chamber via an airtight connection means, and the vacuum transfer chamber. 1 or 2
It is configured by selecting and combining an arbitrary unit from a unit group including a loading / unloading unit for loading and unloading an object to be processed into and from the vacuum transfer chamber, which is detachably connected through the above gate valve, and is provided in each unit. Further, the processing device is characterized in that the size of the opening for communication with other units is common, and the units can be connected to each other through a common airtight connection means.
【請求項6】 前記処理装置は、マルチチャンバである
ことを特徴とする請求項5に記載の処理装置。
6. The processing apparatus according to claim 5, wherein the processing apparatus is a multi-chamber.
【請求項7】 前記搬送ユニットは、少なくとも1の処
理ユニットとの連通用開口、少なくとも2の中継ユニッ
トとの連通用開口、少なくとも1の出入ユニットとの連
通用開口を備えており、使用しない開口は気密に封止可
能であることを特徴とする、請求項5に記載の処理装
置。
7. The transport unit is provided with an opening for communication with at least one processing unit, an opening for communication with at least two relay units, and an opening for communication with at least one loading / unloading unit, and an opening that is not used. The processing apparatus according to claim 5, wherein the processing unit is hermetically sealed.
【請求項8】 前記気密中継室は、少なくとも2つの前
記真空搬送室との連通用開口を備えており、使用しない
開口は気密に封止可能であることを特徴とする、請求項
5〜7のいずれかに記載の処理装置。
8. The airtight relay chamber has at least two openings for communicating with the vacuum transfer chamber, and the unused openings can be hermetically sealed. The processing device according to any one of 1.
【請求項9】 前記気密中継室は、被処理体の検査手段
を備えていることを特徴とする、請求項1〜8のいずれ
かに記載の処理装置。
9. The processing apparatus according to claim 1, wherein the airtight relay chamber is equipped with an inspection unit for inspecting an object to be processed.
【請求項10】 前記気密中継室は、被処理体の温調手
段を備えていることを特徴とする、請求項1〜9のいず
れかに記載の処理装置。
10. The processing apparatus according to claim 1, wherein the airtight relay chamber is provided with a temperature control means for the object to be processed.
【請求項11】 前記気密中継室は、被処理体の位置合
わせ手段を備えていることを特徴とする、請求項1〜1
0のいずれかに記載の処理装置。
11. The airtight relay chamber is provided with a means for aligning an object to be processed.
0. The processing device according to any one of 0.
【請求項12】 前記気密中継室は、1又は2以上の被
処理体を一時的に滞留可能なバッファ機構を備えている
ことを特徴とする、請求項1〜11のいずれかに記載の
処理装置。
12. The process according to claim 1, wherein the airtight relay chamber is equipped with a buffer mechanism capable of temporarily retaining one or more objects to be processed. apparatus.
【請求項13】 前記各ユニットの大きさは、被処理基
板の寸法に依存して決定されていることを特徴とする
求項1又は5に記載の処理装置。
13. The contract according to claim 1, wherein the size of each unit is determined depending on the size of the substrate to be processed.
The processing device according to claim 1 or 5 .
【請求項14】 前記処理装置は、マルチチャンバであ
ることを特徴とする請求項13に記載の処理装置。
14. The processing apparatus according to claim 13, wherein the processing apparatus is a multi-chamber.
【請求項15】 複数の処理ユニットと複数の搬送ユニ
ットと複数の中継ユニットとを備え、前記各処理ユニッ
トにおいて被処理体に対して各個別の処理を施すことに
より、前記被処理体に対して連続的に複数の処理工程を
施すことが可能な処理装置であって、 前記各処理ユニットは、前記被処理体の搬送手段を備え
ると共に前記処理ユニットにゲートバルブを介して着脱
可能に接続された前記搬送ユニットと組み合わせて、前
記搬送ユニットと前記処理ユニットとで対をなすように
構成され、 前記各処理ユニット同士は、前記中継ユニットを介して
前記搬送ユニットを任意の方向に接続することにより任
意の態様に配置可能であることを特徴とする処理装置。
15. A plurality of processing units, a plurality of transport units, and a plurality of relay units are provided, and the individual processing is performed on the object to be processed in each of the processing units, whereby the object to be processed is processed. A processing apparatus capable of continuously performing a plurality of processing steps, wherein each of the processing units includes a transport unit for the object to be processed.
It is also attached to and detached from the processing unit via a gate valve.
In combination with the transport unit connected as possible,
The transport unit and the processing unit are configured to form a pair, and each of the processing units is connected to the transport unit in any direction via the relay unit to form an arbitrary mode. A processing device, which can be arranged.
【請求項16】 前記処理装置は、マルチチャンバであ
ることを特徴とする請求項15に記載の処理装置。
16. The processing apparatus according to claim 15, wherein the processing apparatus is a multi-chamber.
【請求項17】 前記複数の処理ユニットは、横一列に
配置されていることを特徴とする請求項15に記載の処
理装置。
17. The processing apparatus according to claim 15, wherein the plurality of processing units are arranged in a horizontal row.
【請求項18】 前記複数の処理ユニットは、ジグザグ
型に配置されていることを特徴とする請求項15に記載
の処理装置。
18. The processing apparatus according to claim 15, wherein the plurality of processing units are arranged in a zigzag pattern.
【請求項19】 前記複数の処理ユニットは、U字型に
配置されていることを特徴とする請求項15に記載の処
理装置。
19. The plurality of processing units, the processing apparatus according to claim 15, characterized in that it is arranged in a U-shape.
JP23191895A 1994-08-19 1995-08-16 Processing equipment Expired - Fee Related JP3453223B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP23191895A JP3453223B2 (en) 1994-08-19 1995-08-16 Processing equipment

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP21822394 1994-08-19
JP6-218223 1994-08-19
JP23191895A JP3453223B2 (en) 1994-08-19 1995-08-16 Processing equipment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2002270690A Division JP3965343B2 (en) 1994-08-19 2002-09-17 Processing equipment

Publications (2)

Publication Number Publication Date
JPH08111449A JPH08111449A (en) 1996-04-30
JP3453223B2 true JP3453223B2 (en) 2003-10-06

Family

ID=26522451

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23191895A Expired - Fee Related JP3453223B2 (en) 1994-08-19 1995-08-16 Processing equipment

Country Status (1)

Country Link
JP (1) JP3453223B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101764444B1 (en) * 2011-09-29 2017-08-02 에이에스엠 인터내셔널 엔.브이. Modular semiconductor processing system

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (en) * 1995-07-19 1997-02-07 Hitachi Ltd Vacuum processor and semiconductor production line using the processor
TW333658B (en) * 1996-05-30 1998-06-11 Tokyo Electron Co Ltd The substrate processing method and substrate processing system
JPH10214682A (en) * 1997-01-30 1998-08-11 Mitsubishi Chem Corp Manufacturing device and manufacture of organic electroluminescent element
JPH10241858A (en) * 1997-02-25 1998-09-11 Tdk Corp Manufacture of organic electroluminescent display device and device therefor
TWI278932B (en) 1997-03-05 2007-04-11 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
KR100524054B1 (en) 1997-11-21 2005-10-26 가부시키가이샤 에바라 세이사꾸쇼 Polishing apparatus and workpiece holder used therein and polishing method and method of fabricating a semiconductor wafer
JPH11222675A (en) * 1998-02-06 1999-08-17 Ulvac Corp Multichamber type vacuum device
WO2000029799A1 (en) * 1998-11-13 2000-05-25 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
JP2000150618A (en) * 1998-11-17 2000-05-30 Tokyo Electron Ltd Vacuum treatment system
WO2000063953A1 (en) 1999-04-16 2000-10-26 Tokyo Electron Limited Method of manufacturing semiconductor device and manufacturing line thereof
JP2001023918A (en) 1999-07-08 2001-01-26 Nec Corp Semiconductor thin-film forming apparatus
US6376387B2 (en) * 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
JP3907889B2 (en) * 1999-11-01 2007-04-18 康人 唐澤 Substrate transfer device
US6520727B1 (en) * 2000-04-12 2003-02-18 Asyt Technologies, Inc. Modular sorter
EP1273031B1 (en) * 2000-04-13 2005-08-10 NanoPhotonics AG Modular substrate measurement system
JP4719337B2 (en) * 2000-07-05 2011-07-06 キヤノンアネルバ株式会社 Etching chamber with movable shield mechanism
JP4753224B2 (en) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 Gas line system
JP4708545B2 (en) * 2000-10-05 2011-06-22 ルネサスエレクトロニクス株式会社 Optical observation microscope
US8808457B2 (en) * 2002-04-15 2014-08-19 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
JP3970184B2 (en) * 2003-01-10 2007-09-05 東京エレクトロン株式会社 Processing equipment
JP2006128559A (en) * 2004-11-01 2006-05-18 Tokyo Electron Ltd Substrate processing system
CN100492599C (en) * 2004-06-30 2009-05-27 株式会社爱发科 Vacuum processing apparatus
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
JP2006303514A (en) * 2006-05-01 2006-11-02 Fujitsu Ltd Electrostatic chuck, depositing method and etching method
JP4098338B2 (en) 2006-07-20 2008-06-11 川崎重工業株式会社 Wafer transfer device and substrate transfer device
US7560007B2 (en) * 2006-09-11 2009-07-14 Lam Research Corporation In-situ wafer temperature measurement and control
US8225683B2 (en) 2007-09-28 2012-07-24 Lam Research Corporation Wafer bow metrology arrangements and methods thereof
JP4494524B2 (en) 2007-11-09 2010-06-30 キヤノンアネルバ株式会社 Inline wafer transfer device
JP4746027B2 (en) * 2007-12-10 2011-08-10 川崎重工業株式会社 Substrate transfer method
KR101177600B1 (en) * 2008-02-14 2012-08-27 현대중공업 주식회사 A turn-over device using rotating frame & a transfer car
JP5195640B2 (en) * 2009-05-22 2013-05-08 東京エレクトロン株式会社 Heat treatment equipment
JP2010177700A (en) * 2010-04-14 2010-08-12 Fujitsu Semiconductor Ltd Manufacturing apparatus for semiconductor device
JP2010157776A (en) * 2010-04-14 2010-07-15 Fujitsu Semiconductor Ltd Electrostatic chuck
JP2010177701A (en) * 2010-04-14 2010-08-12 Fujitsu Semiconductor Ltd Electrostatic chuck
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
KR101685752B1 (en) * 2011-02-08 2016-12-12 도쿄엘렉트론가부시키가이샤 Substrate relay device, substrate relay method and substrate treatment device
JP2013033965A (en) * 2011-07-29 2013-02-14 Semes Co Ltd Substrate processing apparatus, substrate processing facility, and substrate processing method
JP5415513B2 (en) * 2011-11-14 2014-02-12 東京エレクトロン株式会社 Semiconductor manufacturing apparatus, cluster tool, and control method of semiconductor manufacturing apparatus
JP5819357B2 (en) * 2013-07-09 2015-11-24 川崎重工業株式会社 Substrate transfer device and substrate transfer robot
JP5819356B2 (en) * 2013-07-09 2015-11-24 川崎重工業株式会社 Substrate transfer robot and substrate transfer apparatus
JP2017017355A (en) * 2016-10-14 2017-01-19 川崎重工業株式会社 Substrate transfer robot
JP2020532114A (en) * 2017-08-30 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Integrated epitaxy system High temperature pollutant removal
US11149345B2 (en) * 2017-12-11 2021-10-19 Applied Materials, Inc. Cryogenically cooled rotatable electrostatic chuck
JP7271403B2 (en) * 2019-11-26 2023-05-11 エア・ウォーター株式会社 Deposition apparatus and method of using the deposition apparatus
JP2020074440A (en) * 2020-01-17 2020-05-14 川崎重工業株式会社 Substrate transfer robot
JP7150776B2 (en) * 2020-03-31 2022-10-11 キヤノントッキ株式会社 Film forming apparatus and electronic device manufacturing method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101764444B1 (en) * 2011-09-29 2017-08-02 에이에스엠 인터내셔널 엔.브이. Modular semiconductor processing system

Also Published As

Publication number Publication date
JPH08111449A (en) 1996-04-30

Similar Documents

Publication Publication Date Title
JP3453223B2 (en) Processing equipment
US5695564A (en) Semiconductor processing system
JP3965343B2 (en) Processing equipment
US7019263B2 (en) Substrate heating apparatus and multi-chamber substrate processing system
JP4237939B2 (en) Vacuum processing equipment with improved substrate heating and cooling
KR0155572B1 (en) Reduced pressure processing system
US5607009A (en) Method of heating and cooling large area substrates and apparatus therefor
KR100682163B1 (en) Hybrid pvd-cvd system
US6321680B2 (en) Vertical plasma enhanced process apparatus and method
US6841200B2 (en) Dual wafer load lock
JP2729106B2 (en) Wafer processing cluster tool batch preheating and degassing method and apparatus
US5863170A (en) Modular process system
US9252037B2 (en) Vacuum treatment apparatus and a method for manufacturing
JP4547119B2 (en) Vacuum processing equipment
JP3162955B2 (en) Plasma processing equipment
US20170352562A1 (en) Dodecadon transfer chamber and processing system having the same
KR100456711B1 (en) Heat treatment apparatus
WO1999036587A1 (en) Vertical plasma enhanced process apparatus and method
JPH11102951A (en) Processor
JPH0653304A (en) Low-pressure processing device
JP4091288B2 (en) Processing method of processing object
JPH0722501A (en) Treatment equipment
JP2973141B2 (en) Vacuum apparatus and control method thereof
JP3415272B2 (en) Processing equipment
JP3073161B2 (en) Vacuum processing equipment

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20020716

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030708

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120718

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150718

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees