JP2933605B1 - How to create layout data - Google Patents

How to create layout data

Info

Publication number
JP2933605B1
JP2933605B1 JP10120584A JP12058498A JP2933605B1 JP 2933605 B1 JP2933605 B1 JP 2933605B1 JP 10120584 A JP10120584 A JP 10120584A JP 12058498 A JP12058498 A JP 12058498A JP 2933605 B1 JP2933605 B1 JP 2933605B1
Authority
JP
Japan
Prior art keywords
cell
routing
automatic placement
wiring
cells
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP10120584A
Other languages
Japanese (ja)
Other versions
JPH11312185A (en
Inventor
徹也 菊池
Original Assignee
日本電気アイシーマイコンシステム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本電気アイシーマイコンシステム株式会社 filed Critical 日本電気アイシーマイコンシステム株式会社
Priority to JP10120584A priority Critical patent/JP2933605B1/en
Application granted granted Critical
Publication of JP2933605B1 publication Critical patent/JP2933605B1/en
Publication of JPH11312185A publication Critical patent/JPH11312185A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Abstract

【要約】 【課題】 従来は、配線混雑部で未配線、迂回配線が発
生する。また、フィードスルーセルの挿入はデータ量が
増大し、LSIチップのサイズの拡大は、集積度の低下
をもたらす。 【解決手段】 自動配置配線セルが配置され(ステップ
21)、概略配線を行い(ステップ22)、詳細配線が
行われる(ステップ24)。概略配線及び詳細配線を行
った後、スルー配線の結線要求に対して自動配置配線セ
ル内の配線通過可能箇所が存在するか否か判定し(ステ
ップ23、25)、配線通過可能箇所が不足しており、
スルー配線の実現が不可能であるときは、セルサイズの
変更を行った後(ステップ20)、再度、自動配置配線
LSIチップ設計(ステップ13)の自動配置(ステッ
プ21)を行う。セルサイズの変更は、実際のセルサイ
ズの自動配置配線セルに対し、仮想のGRID枠を付加
することで行う。
Conventionally, unwiring and detour wiring occur in a wiring congestion part. Insertion of a feedthrough cell increases the amount of data, and an increase in the size of an LSI chip causes a reduction in the degree of integration. SOLUTION: An automatic placement and routing cell is placed (Step 21), schematic wiring is performed (Step 22), and detailed wiring is performed (Step 24). After performing the schematic wiring and the detailed wiring, it is determined whether or not there is a place where the wiring can pass in the automatic placement and routing cell in response to the connection request of the through wiring (steps 23 and 25). And
If the through wiring cannot be realized, the cell size is changed (step 20), and then the automatic placement and wiring LSI chip design (step 13) is again automatically placed (step 21). The cell size is changed by adding a virtual GRID frame to the automatic placement and routing cell of the actual cell size.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明はレイアウトデータの
作成方法に係り、特に半導体集積回路設計における自動
配置配線レイアウトに際し、時に自動配置配線セルのセ
ル内部に端子を有するレイアウトセルを使用したレイア
ウトデータの作成方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for creating layout data, and more particularly, to automatic layout wiring in a semiconductor integrated circuit design, sometimes using layout cells having terminals inside the cells. How to create.

【0002】[0002]

【従来の技術】現在、半導体集積回路、例えば大規模半
導体集積回路(LSI:Large ScaleIntegrated circui
t)等においては、LSIチップ設計の手法として、電
子計算機を用いた自動配置配線によるレイアウト設計が
行われている。LSIチップの設計方法には様々なもの
があるが、特定用途IC(ASIC:Application Spec
ific Integrated Circuit)の分野などでは、セルと呼
ばれる単位のレイアウトを組み合わせる手法を用いてL
SI設計を行っている。よって、ASICでは、ネット
リスト(回路接続情報)、及びレイアウト(図形情報)
をセル単位のデータで用意し、それらを使った自動配置
配線が行われている。
2. Description of the Related Art At present, a semiconductor integrated circuit, for example, a large scale integrated circuit (LSI) is known.
In t) and the like, layout design is performed by automatic placement and routing using an electronic computer as an LSI chip design technique. There are various LSI chip design methods, and a specific application IC (ASIC: Application Spec) is used.
In the field of integrated circuits (LIFs), a method of combining layouts of units called cells is used.
We are doing SI design. Therefore, in the ASIC, the net list (circuit connection information) and the layout (graphic information)
Are prepared in cell unit data, and automatic placement and routing using them is performed .

【0003】従来は、LSIチップ設計において、自動
配置配線によるレイアウト設計では、自動配置配線セル
の設計を行った後、LSIチップ設計で使用する自動配
置配線セルを集めたライブラリなる単位として、自動配
置配線ツールに入力し、レイアウトデータを作成して自
動配置配線を行っている。
Conventionally, in an LSI chip design, in the layout design by automatic placement and routing, after the automatic placement and routing cells are designed, the automatic placement and routing cells used in the LSI chip design are collected as a library unit. Input to the wiring tool, create layout data and perform automatic placement and routing.

【0004】図6は従来のレイアウトデータの作成方法
の一例の概略フローチャートを示す。同図において、ま
ず、LSIチップのレイアウト設計のために、自動配置
配線用セル設計を行って(ステップ11)、各機能毎の
自動配置配線セルを複数作成し、それらをまとめて自動
配置配線用セルライブラリを得る(ステップ12)。
FIG. 6 is a schematic flowchart showing an example of a conventional layout data creating method. In the figure, first, for layout design of an LSI chip, a cell for automatic placement and routing is designed (step 11), a plurality of automatic placement and routing cells for each function are created, and these cells are collectively collected for automatic placement and routing. A cell library is obtained (step 12).

【0005】続いて、自動配置配線LSIチップ設計
(ステップ13)において、回路が必要とする自動配置
配線セルを上記の自動配置配線用セルライブラリから各
々呼び出し、LSIチップデータとして登録する。その
後、自動配置の処理工程により各自動配置配線セルが配
置され(ステップ21)、概略配線を行う(ステップ2
2)。
Subsequently, in the automatic placement and routing LSI chip design (step 13), the automatic placement and routing cells required by the circuit are called from the automatic placement and routing cell library and registered as LSI chip data. Thereafter, the automatic placement and routing cells are placed in the automatic placement processing step (step 21), and schematic routing is performed (step 2).
2).

【0006】次に、判定工程(ステップ23)におい
て、スルー配線の結線要求に対して自動配置配線セル内
の配線通過可能箇所が存在するか否か判定し、配線通過
可能箇所が不足しており、スルー配線の実現が不可能な
場合は、処理工程(ステップ17)において、チップサ
イズを拡大して自動配置配線セル間の隙間を広げてスル
ー配線を実現させることにより回避する(ステップ1
8)か、又は隣接する自動配置配線セル間に通過配線専
用のセル(フィードスルーセル)を発生、挿入してスル
ー配線を実現させることにより回避する(ステップ1
9)。そして、再度、自動配置配線LSIチップ設計
(ステップ13)の自動配置(ステップ21)を行う。
Next, in a determination step (step 23), it is determined whether or not there is a passable part in the automatic placement and routing cell in response to a request for connection of a through wiring. If it is impossible to realize the through wiring, the processing step (step 17) avoids this by increasing the chip size and widening the gap between the automatically arranged and wiring cells to realize the through wiring (step 1).
8) Alternatively, a cell (feed-through cell) dedicated to the passing wiring is generated and inserted between the adjacent automatic placement and wiring cells to avoid this by realizing the through wiring (step 1).
9). Then, the automatic placement (Step 21) of the automatic placement and wiring LSI chip design (Step 13) is performed again.

【0007】一方、ステップ23にて自動配置配線セル
内の配線通過可能箇所が満足されていると判定された場
合は、詳細配線を行った後(ステップ24)、再びスル
ー配線の結線要求に対して自動配置配線セル内の配線通
過可能箇所が存在するか否か判定する(ステップ2
5)。配線通過可能箇所が不足しており、スルー配線の
実現が不可能であると判定された場合は、処理工程(ス
テップ17)において、チップサイズを拡大して自動配
置配線セル間の隙間を広げてスルー配線を実現させるこ
とにより回避する(ステップ18)か、又は隣接する自
動配置配線セル間に通過配線専用のセル(フィードスル
ーセル)を発生、挿入してスルー配線を実現させること
により回避する(ステップ19)。そして、再度、自動
配置配線LSIチップ設計(ステップ13)の自動配置
(ステップ21)を行う。
On the other hand, if it is determined in step 23 that the passable portion in the automatic placement and routing cell is satisfied, detailed wiring is performed (step 24), and then a request for connection of through wiring is again made. It is determined whether or not there is a route-passable part in the automatic placement and routing cell (step 2).
5). If it is determined that there are not enough wiring-passable parts and it is impossible to realize through wiring, in the processing step (step 17), the chip size is increased and the gap between the automatically arranged wiring cells is increased. Avoidance by realizing through wiring (step 18) or avoiding by generating and inserting a cell (feedthrough cell) dedicated to passing wiring between adjacent automatic arrangement wiring cells (realizing through wiring) (step 18). Step 19). Then, the automatic placement (Step 21) of the automatic placement and wiring LSI chip design (Step 13) is performed again.

【0008】一方、ステップ25にて自動配置配線セル
内の配線通過可能箇所が満足されていると判定された場
合は、自動配置配線検証が行われる(ステップ26)。
この自動配置配線検証において発生したエラーが修復不
可能である場合、および、判定工程(ステップ27)に
おいて、スルー配線の結線要求に対して、自動配置配線
セル内の配線通過可能箇所が不足しており、スルー配線
の実現が不可能であると判定された場合は、処理工程
(ステップ17)において、チップサイズを拡大して自
動配置配線セル間の隙間を広げてスルー配線を実現させ
ることにより回避する(ステップ18)か、又は隣接す
る自動配置配線セル間に通過配線専用のセル(フィード
スルーセル)を発生、挿入してスルー配線を実現させる
ことにより回避する(ステップ19)。そして、再度、
自動配置配線LSIチップ設計(ステップ13)の自動
配置(ステップ21)を行う。
On the other hand, if it is determined in step 25 that the place where the wiring can be passed in the automatic placement and routing cell is satisfied, automatic placement and routing verification is performed (step 26).
If the error generated in the automatic placement and routing verification is irreparable, and in the determination step (step 27), there is a shortage of possible wiring locations in the automatic placement and routing cell in response to the through wiring connection request. If it is determined that the through wiring cannot be realized, it is avoided in the processing step (step 17) by realizing the through wiring by increasing the chip size and widening the gap between the automatically arranged and wiring cells. (Step 18) or avoidance by generating and inserting a cell (feedthrough cell) dedicated to the passing wiring between adjacent automatic placement and wiring cells to realize the through wiring (Step 19). And again,
Automatic placement and wiring (Step 21) of LSI chip design (Step 13) is performed.

【0009】以上のステップ23、25及び27の判定
工程のすべてにおいてスルー配線の実現が可能であると
判定された場合は、LSIチップデータが作成され(ス
テップ14)、LSIチップデータのレイアウト検証を
行い(ステップ15)、LSIチップ設計レイアウト完
了となる(ステップ16)。
If it is determined that through wiring can be realized in all of the determination steps in steps 23, 25 and 27, LSI chip data is created (step 14), and layout verification of the LSI chip data is performed. (Step 15), and the LSI chip design layout is completed (Step 16).

【0010】一方、以上のステップ23、25及び27
の判定工程のいずれかにおいてスルー配線の配置が不可
能であると判定された場合は、処理工程(ステップ1
7)において、チップサイズを拡大して自動配置配線セ
ル間の隙間を広げてスルー配線を実現させることにより
回避する(ステップ18)か、又は隣接する自動配置配
線セル間に通過配線専用のセル(フィードスルーセル)
を発生、挿入してスルー配線を実現させて回避する(ス
テップ19)ことにより、スルー配線の実現をし、収束
に導かれる。しかし、入力データや自動配置配線ツール
の性能等により収束しない場合が発生し、自動配置配線
ツールは何度もループ(自動配線のやり直し)してしま
い実行時間が長くなるばかりか収束しない場合がある。
On the other hand, the above steps 23, 25 and 27
If it is determined in any of the determination steps that the placement of the through wiring is impossible, the processing step (step 1)
7) In order to avoid this by realizing through wiring by increasing the chip size and widening the gap between the automatic placement and routing cells (step 18), or a cell dedicated to passing wiring between adjacent automatic placement and routing cells (step 18). Feed-through cell)
Is generated and inserted to realize and avoid through wiring (step 19), thereby realizing through wiring and leading to convergence. However, there are cases where convergence does not occur due to input data, the performance of the automatic placement and routing tool, and the like, and the automatic placement and routing tool loops (re-executes automatic routing) many times, which not only increases the execution time but also does not converge. .

【0011】ここで、自動配置配線について図7を用い
て簡単に説明する。図7に示す自動配置配線セル41、
42、43、44、45、46、47、48、49、5
1、52、53は自動配置配線セル内に存在するグリッ
ド(以下、GRIDと記す)と呼ばれる自動配置配線セ
ルを形成する際の単位で区切られたGRID枠(一般的
にはSITEとも呼ばれる)の数によって、各自動配置
配線セルのサイズが決定されている。
Here, the automatic placement and routing will be briefly described with reference to FIG. The automatic placement and routing cell 41 shown in FIG.
42, 43, 44, 45, 46, 47, 48, 49, 5
Reference numerals 1, 52, and 53 denote GRID frames (generally referred to as SITEs) that are divided in units for forming an automatic placement and routing cell called a grid (hereinafter referred to as GRID) existing in the automatic placement and routing cell. The number determines the size of each automatic placement and routing cell.

【0012】自動配置配線セルは、自動配置配線セル4
1、43、44、45、48、49、51、52のよう
に、自動配置配線セル内の各GRID枠の数に対し端子
が全て存在するか、または、端子が多数存在するような
GRID枠に対する端子の密度の高いセル(以下、高端
子密度セルと称する。)と、自動配置配線セル42、4
6、47、53のように、自動配置配線セル内の各GR
ID枠の数に対し端子が少数しか存在しないGRID枠
に対する端子の密度の低いセル(以下、低端子密度セル
と称する。)とに分けられる。
The automatic placement and routing cell is an automatic placement and routing cell 4
A GRID frame such as 1, 43, 44, 45, 48, 49, 51, 52, in which all terminals are present or a large number of terminals are present for each GRID frame in the automatic placement and routing cell. And a cell having a high terminal density (hereinafter, referred to as a high terminal density cell) with respect to
6, 47, 53, each GR in the automatic placement and routing cell
A cell having a low terminal density for a GRID frame having only a small number of terminals relative to the number of ID frames (hereinafter, referred to as a low terminal density cell) is classified.

【0013】これらの自動配置配線セルに対し、配線を
行う場合、配線に通常2層以上の配線が用いられ、横方
向(水平方向)と縦方向(垂直方向)にそれぞれ別の層
が割り当てられる。一般には図7に示す自動配置配線セ
ル42、46、47、53のような自動配置配線セル内
に縦方向の配線の通過配線が可能な箇所が存在し、複数
の自動配置配線セル行(例えば、40、50)をまたが
る必要のある配線(このような配線をスルー配線と呼
ぶ)は上記通過配線可能なセル内の箇所を使用して実現
される。
When wiring is performed on these automatic placement and routing cells, two or more layers of wiring are usually used for wiring, and different layers are allocated in the horizontal direction (horizontal direction) and the vertical direction (vertical direction). . In general, there are places in the automatic placement and routing cells such as the automatic placement and routing cells 42, 46, 47, and 53 shown in FIG. , 40, 50) (such wires are referred to as through wires) are realized by using locations in the cell where the pass-through wiring is possible.

【0014】自動配置配線では、上記セル内の通過配線
可能な箇所がスルー配線の結線要求に対して不足する場
合があり、このような場合、原因として高端子密度セル
が隣接または密集していることにより、上記端子へ結線
される配線が必要となるため、スルー配線が通過可能な
箇所が減少することなどがあげられる。このような場
合、スルー配線の結線要求に対して通過可能な箇所が不
足することとなるため、高端子密度セルの隣接、または
密集している箇所での未配線となり、自動配置配線ツー
ルが未収束となる。
In the automatic placement and routing, there may be a case where a portion where the passing wiring in the cell is possible is insufficient for the connection request of the through wiring. In such a case, the high terminal density cells are adjacent or densely arranged. This requires wiring to be connected to the terminal, so that the number of places through which the through wiring can pass is reduced. In such a case, there will be a shortage of places that can pass through in response to the through wiring connection request.Therefore, there will be no wiring next to the high terminal density cell or a dense area, and the automatic placement and routing tool will not It converges.

【0015】また、他の通過可能箇所へ迂回し、スルー
配線を可能とするため図7に示す迂回配線60が発生
し、収束した場合でも配線長が長くなってしまい、回路
特性が悪化してしまう。そこで、従来は、このような場
合、図6のフローチャートと共に説明したように、処理
工程(ステップ17)において、チップサイズを拡大し
て自動配置配線セル間の隙間を広げてスルー配線を実現
させることにより回避する(ステップ18)か、又は隣
接する自動配置配線セル間に通過配線専用のセル(フィ
ードスルーセル)を発生、挿入してスルー配線を実現さ
せることにより回避するようにしている(ステップ1
9)。
In addition, a detour wiring 60 shown in FIG. 7 is generated to make a detour to another passable part and to enable a through wiring, and the wiring length becomes longer even when the wiring is converged, resulting in deterioration of circuit characteristics. I will. Conventionally, in such a case, as described with reference to the flowchart of FIG. 6, in the processing step (step 17), it is necessary to increase the chip size and widen the gap between the automatically arranged and wired cells to realize the through wiring. (Step 18), or by generating and inserting a cell dedicated to passing wiring (feedthrough cell) between adjacent automatic placement and wiring cells to realize through wiring (step 1).
9).

【0016】[0016]

【発明が解決しようとする課題】ところで、現在、LS
Iチップの高集積度化が益々厳しく要求されており、L
SIに搭載するセルサイズはどんどん小さくなってきて
いる。このような中でLSIチップのレイアウト設計は
自動配置配線を用いた設計が主流であり、当然自動配置
配線用の配線性の向上も要求されてきている。また、L
SIチップの設計工程において、回路の複雑化、集積度
向上等により、多数の自動配置配線セルが必要となって
きており、自動配置配線セル設計の設計工数の増大やL
SIチップデータの増大についても無視できなくなって
きている。
By the way, at present, LS
As the integration of I-chips is increasingly strictly demanded,
The cell size mounted on SI is becoming smaller and smaller. Under such circumstances, the layout design of the LSI chip is mainly designed using the automatic placement and routing, and naturally, the improvement of the wiring property for the automatic placement and routing is also required. Also, L
In the design process of the SI chip, a large number of automatic placement and routing cells are required due to the complexity of the circuit and the improvement of the integration degree.
The increase in SI chip data cannot be ignored.

【0017】LSIチップ設計の際、設計する回路によ
り、LSIチップ内の自動配置配線セル使用総数、各機
能毎の自動配置配線セルの使用個数や回路のピンペア数
等により集積度が異なる。しかるに、上記の従来のレイ
アウトデータの作成方法では、自動配置配線セルは、あ
らゆる回路に対応するため各機能毎に複数用意されてお
り、各自動配置配線セルのセルサイズは固定のものとな
っているため、回路中に高端子密度セルが非常に多数使
用されているような場合などでは、スルー配線の結線要
求に対し、通過箇所が不足してしまうことがあり、未配
線、迂回配線が発生し、集積度や回路特性が悪化する事
態となってしまう。
When designing an LSI chip, the degree of integration varies depending on the circuit to be designed, such as the total number of automatically arranged and wired cells used in the LSI chip, the number of automatically arranged and wired cells used for each function, the number of circuit pin pairs, and the like. However, in the above-described conventional layout data creation method, a plurality of automatic placement and routing cells are prepared for each function in order to correspond to any circuit, and the cell size of each automatic placement and routing cell is fixed. Therefore, when a very large number of high terminal density cells are used in a circuit, etc., there may be a shortage of passing points in response to the connection request for through wiring, and unwiring and detour wiring may occur. However, the degree of integration and circuit characteristics are degraded.

【0018】また、従来、スルー配線の実現のために、
一般的に行われていたフィードスルーセルの挿入は、設
計後のLSIチップデータのデータ量が増大してしまう
という問題がある。更に、LSIチップのサイズの拡大
によるスルー配線の実現に関しては、スルー配線の通過
可能個所が多数存在する低端子密度セルとスルー配線の
通過可能個所が全くない、または極少数しか存在しない
高端子密度セルの使用頻度に関わらず、局所的に収束し
ない箇所があると、一律、LSIチップのサイズを拡大
してしまうため、無駄な領域が増加してしまい、集積度
が低下するという問題がある。
Conventionally, in order to realize through wiring,
Insertion of feedthrough cells, which has been generally performed, has a problem that the data amount of LSI chip data after design increases. Furthermore, regarding the realization of through wiring by enlarging the size of the LSI chip, a low terminal density cell in which there are many places through which the through wiring can pass and a high terminal density in which there are no places through which the through wiring can pass, or where only a very few exist. Regardless of the frequency of use of the cell, if there is a portion that does not converge locally, the size of the LSI chip is uniformly increased, so that there is a problem that a useless area increases and the degree of integration decreases.

【0019】本発明は以上の点に鑑みなされたもので、
自動配線における未配線、迂回配線を低減することによ
り、LSIチップサイズの低減、かつ、LSIチップデ
ータのデータ量を低減し得る半導体集積回路チップ設計
のレイアウトデータの作成方法を提供することを目的と
する。
The present invention has been made in view of the above points.
An object of the present invention is to provide a method of creating layout data for a semiconductor integrated circuit chip design that can reduce the size of an LSI chip and reduce the amount of LSI chip data by reducing unwiring and detour wiring in automatic wiring. I do.

【0020】また、本発明の他の目的は、データ量の増
大や集積度の低下をあまりもたらすことなく、スルー配
線を実現し得るレイアウトデータの作成方法を提供する
ことにある。
It is another object of the present invention to provide a method of creating layout data that can realize through wiring without significantly increasing the data amount or lowering the degree of integration.

【0021】[0021]

【課題を解決するための手段】本発明は上記の目的を達
成するため、自動配置配線用セルを作成する第1のステ
ップと、作成された自動配置配線用セルをライブラリに
登録する第2のステップと、ライブラリから呼び出され
た自動配置配線用セルを自動で配置する第3のステップ
と、自動配置された自動配置配線用セルに対して概略配
線を行う第4のステップと、第4のステップによる概略
配線結果を基に詳細な配線を行う第5のステップと、第
5のステップによる詳細配線後に検証を行う第6のステ
ップと、第4のステップによる概略配線後及び第5のス
テップによる詳細配線後にスルー配線の結線が不可能な
場合に、セルサイズの変更を行い、再び第3のステップ
による自動配置をやり直させる第7のステップとを含む
ようにしたものである。
In order to achieve the above object, the present invention provides a first step of creating an automatic placement and routing cell and a second step of registering the created automatic placement and routing cell in a library. A step, a third step of automatically arranging the cells for automatic placement and routing called from the library, a fourth step of performing schematic routing on the automatically placed cells for automatic placement and routing, and a fourth step A fifth step of performing detailed wiring based on a result of the schematic wiring according to the above, a sixth step of performing verification after the detailed wiring of the fifth step, and a detail after the general wiring of the fourth step and the fifth step A step of changing the cell size when the connection of the through wiring is impossible after the wiring, and again performing the automatic placement in the third step. That.

【0022】この発明では、概略配線の後及び詳細配線
の後に、セル列の長手方向(セル行方向)と直交する方
向の配線、すなわちスルー配線の結線が不可能な場合
に、同一機能でセルサイズのみ変更された自動配置配線
セルに基づいて、その変更したセル部分にスルー配線を
配置するようにしたため、配線混雑部に存在する高端子
密度セル隣接時に高端子密度セルのみ、見かけ上のセル
サイズを変更することにより、高端子密度セル同士が隣
接しても、端子に結線される配線以外にスルー配線の結
線ができる。また、本発明では、自動配置配線セルの再
設計、フィードスルーの挿入、場合によってはLSIチ
ップのサイズの拡大を行う必要がない。
According to the present invention, after the general wiring and after the detailed wiring, if the wiring in the direction orthogonal to the longitudinal direction of the cell column (cell row direction), that is, the connection of the through wiring is impossible, the cells with the same function are used. Based on the automatic placement and routing cell whose size has been changed only, the through wiring is placed in the changed cell part, so only the high terminal density cell appears adjacent to the high terminal density cell existing in the wiring congestion area, the apparent cell By changing the size, even if the high terminal density cells are adjacent to each other, through wiring can be connected in addition to the wiring connected to the terminal. Further, in the present invention, there is no need to redesign the automatic placement and routing cells, insert a feedthrough, and possibly enlarge the size of the LSI chip.

【0023】ここで、上記の第7のステップは、自動配
置配線用セルの1組の平行な辺の長さの変更をすること
でセルサイズの変更を行ってもよい。また、本発明は、
いくつかのセルサイズに当する自動配置配線用セルを予
め生成し、ライブラリに登録しておくことを特徴とす
る。
In the seventh step, the cell size may be changed by changing the length of one set of parallel sides of the cell for automatic placement and routing. Also, the present invention
It is characterized in that cells for automatic placement and routing corresponding to several cell sizes are generated in advance and registered in a library.

【0024】また、本発明の上記の第7のステップは、
いくつか生成したセルサイズの情報をテーブルに格納
し、テーブルを参照することでセルサイズの変更を行う
ことを特徴とする。また、本発明は、自動配置配線用セ
ルそのものを自動配置配線ツール内、または自動配置配
線ツール以外で自動処理することにより、自動配置配線
用セルのセルサイズの変更を行うことを特徴とする。
The seventh step of the present invention is as follows:
It is characterized in that some generated cell size information is stored in a table, and the cell size is changed by referring to the table. Further, the present invention is characterized in that the cell size of the automatic placement and routing cell is changed by automatically processing the automatic placement and routing cell itself in the automatic placement and routing tool or by a device other than the automatic placement and routing tool.

【0025】[0025]

【発明の実施の形態】次に、本発明の実施の形態につい
て、図面と共に説明する。図1は本発明になる半導体集
積回路チップ設計のレイアウトデータの作成方法の一実
施の形態のフローチャートを示す。同図中、図6と同一
処理工程には同一符号を付してあ。図1において、ま
ず、LSIチップのレイアウト設計のために、自動配置
配線用セル設計を行って(ステップ11)、各機能毎の
自動配置配線セルを複数作成し、それらをまとめて自動
配置配線用セルライブラリを得る(ステップ12)。
Next, an embodiment of the present invention will be described with reference to the drawings. FIG. 1 shows a flowchart of an embodiment of a method for creating layout data for designing a semiconductor integrated circuit chip according to the present invention. 6, the same processing steps as those in FIG. 6 are denoted by the same reference numerals. In FIG. 1, first, a cell for automatic placement and routing is designed for the layout design of an LSI chip (step 11), a plurality of automatic placement and routing cells for each function are created, and these are grouped together for automatic placement and routing. A cell library is obtained (step 12).

【0026】続いて、自動配置配線LSIチップ設計
(ステップ13)において、回路が必要とする自動配置
配線セルを上記の自動配置配線用セルライブラリから各
々呼び出し、LSIチップデータとして登録する。その
後、自動配置の処理工程により各自動配置配線セルが配
置され(ステップ21)、概略配線を行う(ステップ2
2)。
Subsequently, in the automatic placement and routing LSI chip design (step 13), the automatic placement and routing cells required by the circuit are called from the automatic placement and routing cell library and registered as LSI chip data. Thereafter, the automatic placement and routing cells are placed in the automatic placement processing step (step 21), and schematic routing is performed (step 2).
2).

【0027】次に、スルー配線の結線要求に対して自動
配置配線セル内の配線通過可能箇所が存在するか否か判
定し(ステップ23)、配線通過可能箇所が不足してお
り、スルー配線の実現が不可能な場合は、セルサイズの
変更を行い(ステップ20)、再度、自動配置配線LS
Iチップ設計(ステップ13)の自動配置(ステップ2
1)を行う。
Next, in response to the request for connection of the through wiring, it is determined whether or not there is a place that can pass through the wiring in the automatic placement and routing cell (step 23). If this is not possible, the cell size is changed (step 20), and the automatic placement and routing LS is performed again.
Automatic placement of I chip design (step 13) (step 2)
Perform 1).

【0028】一方、ステップ23で自動配置配線セル内
の配線通過可能箇所が満足されていると判定された場合
は、詳細配線が行われる(ステップ24)。詳細配線を
行った後、再びスルー配線の結線要求に対して自動配置
配線セル内の配線通過可能箇所が存在するか否か判定し
(ステップ25)、スルー配線の結線要求に対して自動
配置配線セル内の配線通過可能箇所が不足しており、ス
ルー配線の実現が不可能であると判定された場合は、セ
ルサイズの変更を行った後(ステップ20)、再度、自
動配置配線LSIチップ設計(ステップ13)の自動配
置(ステップ21)を行う。
On the other hand, if it is determined in step 23 that the portion of the automatic placement and routing cell that can pass through the wiring is satisfied, detailed wiring is performed (step 24). After performing the detailed wiring, it is again determined whether or not there is a place where the wiring can pass in the automatic placement and routing cell in response to the through wiring connection request (step 25), and the automatic placement and routing is performed in response to the through wiring connection request. If it is determined that there is not enough wiring-passable part in the cell and it is impossible to realize through wiring, the cell size is changed (step 20), and then the automatic placement and wiring LSI chip design is performed again. The automatic arrangement (step 21) of (step 13) is performed.

【0029】一方、ステップ25で自動配置配線セル内
の配線通過可能箇所が満足されていると判定された場合
は、自動配置配線検証が行われる(ステップ26)。こ
の自動配置配線検証において発生したエラーが修復不可
能である場合、および、続く判定処理(ステップ27)
において、スルー配線の結線要求に対して自動配置配線
セル内の配線通過可能箇所が不足しており、スルー配線
の実現が不可能であると判定された場合は、セルサイズ
の変更を行った後(ステップ20)、再度、自動配置配
線LSIチップ設計(ステップ13)の自動配置(ステ
ップ21)を行う。
On the other hand, if it is determined in step 25 that the place where the wiring can pass in the automatic placement and routing cell is satisfied, the automatic placement and routing verification is performed (step 26). If the error occurred in the automatic placement and routing verification is irreparable, and the following determination processing (step 27)
In the case where it is determined that there is a shortage of places that can pass through the wiring in the automatic placement and routing cell in response to the connection request of the through wiring and that it is impossible to realize the through wiring, after changing the cell size, (Step 20) Automatic placement (Step 21) of automatic placement and wiring LSI chip design (Step 13) is performed again.

【0030】以上のステップ23、25及び27の判定
工程のすべてにおいて、スルー配線の実現が可能である
と判定された場合は、LSIチップレイアウトデータが
作成され(ステップ14)、LSIチップデータのレイ
アウト検証を行い(ステップ15)、LSIチップ設計
レイアウト完了となる(ステップ16)。一方、ステッ
プ23、25及び27の判定工程のいずれかにおいてス
ルー配線の実現が不可能であると判定された場合は、自
動配置配線セルのセルサイズの変更を行う(ステップ2
0)ことにより、スルー配線を実現する。
If it is determined in all of the determination steps of steps 23, 25, and 27 that through wiring can be realized, LSI chip layout data is created (step 14), and the layout of the LSI chip data is determined. Verification is performed (step 15), and the LSI chip design layout is completed (step 16). On the other hand, if it is determined in any of the determination steps of steps 23, 25, and 27 that realization of through wiring is impossible, the cell size of the automatic placement and routing cell is changed (step 2).
0) realizes through wiring.

【0031】この実施の形態では、図2に示す実際のセ
ルサイズの自動配置配線セル31に対し、仮想のGRI
D枠を付加することにより自動配置配線セル32、自動
配置配線セル33、自動配置配線セル34、自動配置配
線セル35、自動配置配線セル36のようにセルサイズ
を変更し、自動配置配線セル内に配線通過可能な箇所を
設けることを特徴とする。
In this embodiment, a virtual GRI is applied to the automatic placement and routing cell 31 of the actual cell size shown in FIG.
By adding the D frame, the cell size is changed like the automatic placement and routing cell 32, the automatic placement and routing cell 33, the automatic placement and routing cell 34, the automatic placement and routing cell 35, and the automatic placement and routing cell 36, and the inside of the automatic placement and routing cell is changed. Is provided with a portion through which wiring can pass.

【0032】図1のステップ20のセルサイズの変更処
理について、図3と共に更に詳細に説明する。セルサイ
ズの変更処理部70では、自動配置配線用セル設計の段
階で実際のセルサイズの自動配置配線セルAのほかに、
自動配置配線用セルライブラリ12に予めセルサイズの
変更を行った自動配置配線セルAのセルサイズ変更版7
1を登録しておき、その中から使用するセルサイズの自
動配置配線セルの抽出をする(ステップ72)、第1の
セル抽出部91を有する。
The process of changing the cell size in step 20 of FIG. 1 will be described in more detail with reference to FIG. In the cell size change processing unit 70, in addition to the automatic placement and routing cell A of the actual cell size at the stage of designing the cell for automatic placement and routing,
The cell size changed version 7 of the automatic placement and routing cell A in which the cell size has been changed in the automatic placement and routing cell library 12 in advance.
1 is registered, and an automatic placement and routing cell having a cell size to be used is extracted from the registered cells (step 72).

【0033】 また、自動配置配線セルAにセルサイズ
のデータをテーブルとして付加し(ステップ73)、自
動配置配線セルのセルサイズテーブル74を作成し、そ
のテーブル74内からセルサイズを抽出する(ステップ
75)。このステップ73、75、セルサイズテーブル
74により第2のセル抽出部92が構成される。更に、
自動配置配線セルAをプログラム等の処理によりセルサ
イズを変更して(ステップ76)、自動配置配線セルA
のセルサイズ変更版77とする処理部93がセルサイズ
変更処理部70内にある。
The cell size data is added to the automatic placement and routing cell A as a table (step 73), a cell size table 74 for the automatic placement and routing cell is created, and the cell size is extracted from the table 74 (step 73).
75 ). The steps 73 and 75 and the cell size table 74 constitute a second cell extracting unit 92. Furthermore,
The cell size of the automatic placement and routing cell A is changed by processing such as a program (step 76).
A processing unit 93 to be a cell size change plate 77 is provided in the cell size change processing unit 70.

【0034】例えば図4に示すような、設定番号、実セ
ルサイズ、セル内端子数、セルサイズ変更値、左辺セル
サイズ増量、右辺セルサイズ増量を対応付けたテーブル
37をデータとして自動配置配線セルAにもたせる。テ
ーブル37は、サイズ変更時に各設定番号を選択して使
用される。このテーブル37の設定番号は図2に対応し
ている。
For example, as shown in FIG. 4, a table 37 in which setting numbers, actual cell sizes, number of terminals in a cell, cell size change values, left side cell size increase, right side cell size increase are associated as data and automatically arranged and wired cells. Give it to A. The table 37 is used by selecting each setting number when changing the size. The setting numbers in this table 37 correspond to FIG.

【0035】セルサイズ変更後は、図1と共に説明した
ように、自動配置配線の実行の際、ネットリストと共に
直接、図1の自動配置配線LSIチップ設計(ステップ
13)を行い、ネットリスト内で使用されている自動配
置配線セルの中で自動配置配線セルAのセルサイズ変更
版77と同一機能のセルすべてに対し、再度、自動配置
配線を行う(ステップ94、ステップ21)。
After the cell size is changed, as described with reference to FIG. 1, at the time of execution of the automatic placement and routing, the automatic placement and routing LSI chip of FIG. 1 is directly designed together with the netlist (step 13). The automatic placement and routing is performed again for all the cells having the same function as the cell size change version 77 of the automatic placement and routing cell A among the used automatic placement and routing cells (steps 94 and 21).

【0036】この自動配置配線では、自動配置配線セル
Aのサイズ変更版77のセル名の変更を行い(ステップ
78)、変更後のセル名、あるいはステップ72で抽出
したセルを自動配置配線セルAXとし(ステップ7
9)、自動配線の未配線、迂回配線が存在する部分に存
在する自動配置配線セルAのネットリスト中のインスタ
ンス名の抽出を行い(ステップ80)、抽出されたイン
スタンス名とネットリスト内のセルAのインスタンス名
が一致する場合は(ステップ81)、ネットリスト83
中のセル名をセルサイズ変更後のセル名とし(ステップ
82)、ネットリストのセル名変更版84とする。そし
て、このネットリストセル名変更版84と自動配置配線
セルAXと共に、図1の自動配置配線LSIチップ設計
(ステップ13)を行い部分的にセルサイズ変更を行う
(ステップ95)。
In this automatic placement and routing, the cell name of the size-changed version 77 of the automatic placement and routing cell A is changed (step 78), and the changed cell name or the cell extracted in step 72 is automatically placed and routed in the cell AX. (Step 7
9) Extraction of the instance name in the netlist of the automatic placement and routing cell A existing in the portion where the automatic routing is not routed and the bypass route exists (step 80), and the extracted instance name and the cell in the netlist are extracted. If the instance names of A match (step 81), the netlist 83
The cell name in the middle is set as the cell name after the cell size is changed (step 82), and the cell name changed version 84 of the netlist is set. Then, along with the netlist cell name change version 84 and the automatic placement and routing cell AX, the automatic placement and routing LSI chip design of FIG. 1 (step 13) is performed to partially change the cell size (step 95).

【0037】次に、図1及び図3のフローチャートによ
るレイアウトデータ作成方法を適用した例について説明
する。図7に示した自動配置配線セル41、42、4
3、44、45、46が隣接する自動配置配線セル行4
0と、自動配置配線セル47、48、49、51、5
2、53が隣接する自動配置配線セル行50があり、こ
れらの上を通過するスルー配線の結線要求に対する配線
通過可能な箇所が1箇所不足する場合、従来方法では前
述したように、迂回配線60が発生する。また、自動配
置配線セル行40と自動配置配線セル行50上を通過す
るスルー配線の結線要求に対し、更に1本不足し、他へ
の迂回配線が不可能な場合は未配線となってしまう。
Next, an example in which the layout data creating method according to the flowcharts of FIGS. 1 and 3 is applied will be described. The automatic placement and routing cells 41, 42, 4 shown in FIG.
Automatic placement and routing cell row 4 adjacent to 3, 44, 45, 46
0, automatic placement and routing cells 47, 48, 49, 51, 5
2 and 53 are adjacent to each other, and there is a shortage of one place where a wiring can be passed in response to a request for connection of a through wiring passing therethrough. Occurs. In addition, if there is a further shortage of the connection request for the through wiring passing over the automatic placement and routing cell row 40 and the automatic placement and routing cell row 50, and if the detour routing to another is not possible, the wiring will be unrouted. .

【0038】これに対し、この実施の形態では、ステッ
プ95を適用すると、迂回配線60が発生する原因とな
る自動配置配線セル48と、未配線をスルー配線とする
ためセルサイズ変更が必要となる自動配置配線セル4
5、52のインスタンス名を抽出し、抽出されたインス
タンス名の部分だけに対しネットリスト内のセル名を自
動配置配線セル45、48、52のセルサイズ変更を行
った自動配置配線セル61、62、63のセル名に変更
し、図5に示すようにLSIチップデータにおいて、図
7の自動配置配線セル45のセルサイズを左右1GRI
D拡大させセルサイズ変更を行った自動配置配線セルを
セル61とし、図7の自動配置配線セル48、52のセ
ルサイズを1辺のみ1GRID拡大させ、セルサイズ変
更を行ったセルをセル62、63として、再度、配置を
行う。
On the other hand, in this embodiment, when step 95 is applied, it is necessary to change the cell size of the automatic placement and routing cell 48 which causes the detour wiring 60 to occur and the unplaced wiring to be the through wiring. Automatic placement and routing cell 4
The automatic placement and routing cells 61 and 62 are obtained by extracting the instance names 5 and 52 and changing the cell names in the netlist for the extracted instance names only. , 63, and in the LSI chip data, the cell size of the automatic placement and routing cell 45 in FIG.
The automatic placement and routing cell whose cell size has been changed by D enlargement is referred to as a cell 61, and the cell size of the automatic placement and routing cells 48 and 52 in FIG. As 63, the arrangement is performed again.

【0039】これにより、自動配置配線セル行40、自
動配置配線セル行50上の配線通過可能な箇所が2箇所
増えるため、未配線、迂回配線であった配線がスルー配
線となり、図7の迂回配線60が図5に示すように、ス
ルー配線64になる他、未配線がスルー配線65となり
自動配置配線が収束する。
As a result, the number of places on the automatic arrangement / wiring cell row 40 and the automatic arrangement / wiring cell row 50 that can pass through the wiring is increased by two, so that the wiring which has not been wired or bypassed becomes the through wiring, and the wiring shown in FIG. As shown in FIG. 5, the wiring 60 becomes the through wiring 64, and the non-wiring becomes the through wiring 65 as shown in FIG.

【0040】また、ステップ95を適用した場合、ステ
ップ94とは異なり、迂回配線60が発生する原因とな
る自動配置配線セル48、未配線をスルー配線とするた
めセルサイズ変更が必要となる図7の自動配置配線セル
45、52と一致するネットリスト内の同一機能のセル
のセル名を、すべて図5に示す自動配置配線セル61、
62、63のセル名に変更し、再度、配置を行うことに
より収束へ導く。そのため、LSIチップデータ内の自
動配置配線セル45、48、52と同一機能の自動配置
配線セルはすべてセルサイズ変更を行った自動配置配線
セル61、62、63に置き換わる。
When step 95 is applied, unlike step 94, the automatic placement and routing cell 48 that causes the detour wiring 60 is generated, and the cell size needs to be changed to make the unrouted wiring a through wiring. The cell names of the cells of the same function in the netlist that match the automatic placement and routing cells 45 and 52 of FIG.
The cell names are changed to 62 and 63, and the arrangement is performed again to lead to convergence. Therefore, all the automatic placement and routing cells having the same function as the automatic placement and routing cells 45, 48, and 52 in the LSI chip data are replaced with the automatic placement and routing cells 61, 62, and 63 whose cell sizes have been changed.

【0041】このように、この実施の形態によれば、高
端子密度セル隣接時に高端子密度セル45、48及び5
2のみ、見かけ上のセルサイズを変更することができ、
高端子密度セル同士が隣接しても、端子に結線される配
線以外にスルー配線64の結線ができ、また、これに伴
い未配線、迂回配線60を解消できる。
As described above, according to this embodiment, the high terminal density cells 45, 48 and 5 are adjacent to the high terminal density cells.
Only 2 can change the apparent cell size,
Even when the high terminal density cells are adjacent to each other, the through wiring 64 can be connected in addition to the wiring connected to the terminal, and the unwiring and the bypass wiring 60 can be eliminated.

【0042】また、従来は、チップサイズ拡大による配
線性の向上ではグリッド枠に対する端子の密度に関わら
ず、チップサイズを拡大し配置分散、配線トラックの増
大により、配線性を向上して未結線、迂回配線等を回避
していたが、これでは十分スルー配線可能な低端子密度
セルまでもが不要に配置分散されてしまい無駄な領域が
増大してしまう。この実施の形態によれば、任意のセル
に対してセルサイズ変更が可能であるため、低端子密度
セルに対してはセルサイズ変更を行わず、高端子密度セ
ルに対してのみセルサイズ変更を行うことができ、無駄
な領域を低減でき、チップサイズの拡大を最小限に抑え
ることができる。
Conventionally, in order to improve the wiring performance by increasing the chip size, regardless of the density of the terminals with respect to the grid frame, the wiring performance is improved by increasing the chip size, dispersing the arrangement, and increasing the wiring tracks. Detour wiring and the like have been avoided. However, in this case, even low terminal density cells capable of sufficient through wiring are unnecessarily arranged and dispersed, and wasteful areas increase. According to this embodiment, since the cell size can be changed for an arbitrary cell, the cell size is not changed for a low terminal density cell, and the cell size is changed only for a high terminal density cell. This can reduce wasteful area and minimize the increase in chip size.

【0043】[0043]

【発明の効果】以上説明したように、本発明によれば、
高端子密度セル隣接時に高端子密度セルのみ、見かけ上
のセルサイズを変更することにより、高端子密度セル同
士が隣接しても、端子に結線される配線以外にスルー配
線の結線を可能としたため、配線性を向上でき、また、
これに伴い未配線、迂回配線を解消できるため、設計工
数の低減、集積度の向上・回路特性を向上できる。
As described above, according to the present invention,
By changing the apparent cell size of only the high terminal density cells when adjacent to the high terminal density cells, even if the high terminal density cells are adjacent to each other, it is possible to connect through wiring other than wiring connected to terminals , Wiring properties can be improved,
Accordingly, unwiring and bypass wiring can be eliminated, so that the number of design steps can be reduced, the degree of integration can be improved, and circuit characteristics can be improved.

【0044】また、本発明によれば、任意のセルに対し
てセルサイズ変更が可能であるため、低端子密度セルに
対してはセルサイズ変更を行わず、高端子密度セルに対
してのみセルサイズ変更を行うことも可能であるため、
無駄な領域を低減でき、チップサイズの拡大を最小限に
抑える事が可能である。
Further, according to the present invention, since the cell size can be changed for an arbitrary cell, the cell size is not changed for a low terminal density cell, and only for a high terminal density cell. It is possible to resize,
Useless areas can be reduced, and enlargement of the chip size can be minimized.

【0045】また、本発明によれば、フィードスルーセ
ルの挿入を行う方法に比べ、フィードスルーセルを必要
とせず、自動配置配線セル自体のセルサイズのみを変更
するためLSIチップデータのデータ量を小さく抑える
ことが可能である。
According to the present invention, unlike the method of inserting a feed-through cell, the feed-through cell is not required, and only the cell size of the automatic placement and routing cell itself is changed. It can be kept small.

【0046】更に、本発明によれば、自動配置配線ツー
ルの入力データとなるセルサイズ変更を行うだけなの
で、自動配置配線ツールの改造を行わなくても、自動配
置配線ツール以外のプログラムを使用して自動的にセル
サイズ変更ができる。
Further, according to the present invention, since only the cell size as input data of the automatic placement and routing tool is changed, a program other than the automatic placement and routing tool can be used without modifying the automatic placement and routing tool. Cell size can be changed automatically.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明方法の一実施の形態のフローチャートで
ある。
FIG. 1 is a flowchart of an embodiment of the method of the present invention.

【図2】本発明方法の一実施の形態における自動配置配
線セルのサイズ変更セルの各例を示す図である。
FIG. 2 is a diagram showing each example of a size change cell of an automatic placement and routing cell in one embodiment of the method of the present invention.

【図3】図1中の要部の自動配置配線セルのサイズ変更
の焼成を説明するフローチャートである。
FIG. 3 is a flowchart illustrating firing of size change of an automatic placement and routing cell of a main part in FIG. 1;

【図4】図3中の自動配置配線セルのサイズ変更テーブ
ルの一例を示す図である。
FIG. 4 is a diagram showing an example of a size change table of an automatic placement and routing cell in FIG. 3;

【図5】本発明の一実施の形態による自動配置配線のレ
イアウト図である。
FIG. 5 is a layout diagram of automatic placement and routing according to an embodiment of the present invention.

【図6】従来方法の一例のフローチャートである。FIG. 6 is a flowchart of an example of a conventional method.

【図7】従来方法による自動配置配線のレイアウト図で
ある。
FIG. 7 is a layout diagram of automatic placement and routing according to a conventional method.

【符号の説明】[Explanation of symbols]

12 自動配置配線用セルライブラリ 13 自動配置配線LSIチップ設計ステップ 14 LSIチップレイアウトデータ 20 セルサイズ変更処理ステップ 31、32、33、34、35、36、41、42、4
3、44、45、46、47、48、49、51、5
2、53、61、62、63 自動配置配線セルのレイ
アウト 37 サイズ変更テーブル 40、50 自動配置配線セル行 60 迂回配線 64、65 スルー配線 94、95 サイズ変更フローチャート
12 Cell Library for Automatic Placement and Routing 13 LSI Placement and Routing LSI Chip Design Step 14 LSI Chip Layout Data 20 Cell Size Change Processing Step 31, 32, 33, 34, 35, 36, 41, 42, 4
3, 44, 45, 46, 47, 48, 49, 51, 5,
2, 53, 61, 62, 63 Layout of automatic placement and routing cell 37 Size change table 40, 50 Automatic placement and routing cell row 60 Detour routing 64, 65 Through routing 94, 95 Size change flowchart

フロントページの続き (58)調査した分野(Int.Cl.6,DB名) G06F 17/50 H01L 21/82 JICSTファイル(JOIS) 特許ファイル(PATOLIS)Continued on the front page (58) Fields investigated (Int.Cl. 6 , DB name) G06F 17/50 H01L 21/82 JICST file (JOIS) Patent file (PATOLIS)

Claims (6)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 自動配置配線用セルを作成する第1のス
テップと、 作成された前記自動配置配線用セルをライブラリに登録
する第2のステップと、 前記ライブラリから呼び出された前記自動配置配線用セ
ルを自動で配置する第3のステップと、 自動配置された前記自動配置配線用セルに対して概略配
線を行う第4のステップと、 前記第4のステップによる概略配線結果を基に詳細な配
線を行う第5のステップと、 前記第5のステップによる詳細配線後に検証を行う第6
のステップと、 前記第4のステップによる概略配線後及び前記第5のス
テップによる詳細配線後にスルー配線の結線が不可能な
場合に、セルサイズの変更を行い、再び前記第3のステ
ップによる自動配置をやり直させる第7のステップとを
含むことを特徴とするレイアウトデータの作成方法。
A first step of creating a cell for automatic placement and routing; a second step of registering the created cell for automatic placement and routing in a library; and a step of creating a cell for automatic placement and routing called from the library. A third step of automatically arranging cells, a fourth step of performing global routing on the automatically-placed cells for automatic placement and routing, and a detailed routing based on a global routing result of the fourth step A fifth step of performing verification after detailed wiring by the fifth step.
And if the through wiring cannot be connected after the schematic wiring in the fourth step and the detailed wiring in the fifth step, the cell size is changed, and the automatic placement in the third step is performed again. And a seventh step of redoing the process.
【請求項2】 前記第7のステップは、前記自動配置配
線用セルの1組の平行な辺の長さの変更をすることで前
記セルサイズの変更を行うことを特徴とする請求項1記
載のレイアウトデータの作成方法。
2. The method according to claim 1, wherein, in the seventh step, the cell size is changed by changing a length of a set of parallel sides of the cell for automatic placement and routing. How to create layout data.
【請求項3】 いくつかのセルサイズに該当する自動配
置配線用セルを予め生成し、前記ライブラリに登録して
おくことを特徴とする請求項2記載のレイアウトデータ
の作成方法。
3. The method according to claim 2, wherein cells for automatic placement and routing corresponding to some cell sizes are generated in advance and registered in the library.
【請求項4】 前記第7のステップは、いくつか生成し
たセルサイズの情報をテーブルに格納し、該テーブルを
参照することでセルサイズの変更を行うことを特徴とす
る請求項1記載のレイアウトデータの作成方法。
4. The layout according to claim 1, wherein in the seventh step, information of some generated cell sizes is stored in a table, and the cell size is changed by referring to the table. How to create data.
【請求項5】 前記自動配置配線用セルそのものを自動
配置配線ツール内、または自動配置配線ツール以外で自
動処理することにより、自動配置配線用セルのセルサイ
ズの変更を行うことを特徴とする請求項1記載のレイア
ウトデータ作成方法。
5. The automatic placement and routing cell itself is automatically processed in an automatic placement and routing tool or by a device other than the automatic placement and routing tool to change the cell size of the automatic placement and routing cell. Item 9. The layout data creation method according to Item 1.
【請求項6】 前記第7のステップは、前記自動配置配
線用セルを、該自動配置配線用セル内の各グリッド枠の
すべてに端子が存在するか端子が多数存在する端子密度
の高い高端子密度セルと、該高端子密度セルよりも該自
動配置配線用セル内の端子数が少ない低端子密度セルと
に分類したとき、該高端子密度セルのみ、見かけ上のセ
ルサイズを変更することを特徴とする請求項1記載のレ
イアウトデータの作成方法。
6. The automatic placement and distribution process according to claim 7, wherein
Line cells are added to each grid frame in the automatic placement and routing cell.
Terminal density with all or many terminals
A higher terminal density cell and a higher terminal density than the high terminal density cell.
Low terminal density cell with a small number of terminals in the cell for dynamic placement and routing
2. The method according to claim 1, wherein the apparent cell size of only the high terminal density cells is changed when the cells are classified into .
JP10120584A 1998-04-30 1998-04-30 How to create layout data Expired - Lifetime JP2933605B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10120584A JP2933605B1 (en) 1998-04-30 1998-04-30 How to create layout data

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10120584A JP2933605B1 (en) 1998-04-30 1998-04-30 How to create layout data

Publications (2)

Publication Number Publication Date
JP2933605B1 true JP2933605B1 (en) 1999-08-16
JPH11312185A JPH11312185A (en) 1999-11-09

Family

ID=14789903

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10120584A Expired - Lifetime JP2933605B1 (en) 1998-04-30 1998-04-30 How to create layout data

Country Status (1)

Country Link
JP (1) JP2933605B1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4010801B2 (en) * 2001-11-29 2007-11-21 Necエレクトロニクス株式会社 Automatic layout method of semiconductor integrated circuit
US7188322B2 (en) 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
JP4537869B2 (en) * 2005-03-11 2010-09-08 株式会社東芝 Semiconductor integrated circuit design apparatus and automatic design method
JP2008009871A (en) * 2006-06-30 2008-01-17 Fujitsu Ltd Cell layout method
JP5423316B2 (en) * 2009-10-26 2014-02-19 日本電気株式会社 Layout design apparatus, layout design method, and program

Also Published As

Publication number Publication date
JPH11312185A (en) 1999-11-09

Similar Documents

Publication Publication Date Title
US6598206B2 (en) Method and system of modifying integrated circuit power rails
US8661395B2 (en) Method for dummy metal and dummy via insertion
US6240542B1 (en) Poly routing for chip interconnects with minimal impact on chip performance
CN112507648B (en) Layout design method, integrated circuit, operation chip and computing equipment
US20180261590A1 (en) Integrated circuit and computer-implemented method of manufacturing the same
US10713410B2 (en) Method for legalizing mixed-cell height standard cells of IC
US6467069B2 (en) Timing closure and noise avoidance in detailed routing
US6502229B2 (en) Method for inserting antenna diodes into an integrated circuit design
JP2007027290A (en) Method for designing layout of semiconductor integrated circuit
US6467070B2 (en) Design support apparatus for semiconductor devices
US6075934A (en) Method for optimizing contact pin placement in an integrated circuit
US6671867B2 (en) Analytical constraint generation for cut-based global placement
JP2933605B1 (en) How to create layout data
US6496968B1 (en) Hierarchical wiring method for a semiconductor integrated circuit
US7966597B2 (en) Method and system for routing of integrated circuit design
US6654943B2 (en) Method, system, and computer program product for correcting anticipated problems related to global routing
US20060031802A1 (en) Clustering-based multilevel quadratic placement
US6728944B2 (en) Method, system, and computer program product for improving wireability near dense clock nets
JP4733059B2 (en) Integrated circuit design apparatus, integrated circuit design method, and integrated circuit design program
JP3498674B2 (en) Semiconductor integrated circuit device, clock wiring method, and recording medium
JP4186890B2 (en) Semiconductor integrated circuit element arrangement system, element arrangement method, and program
US20020129326A1 (en) Method for inserting repeaters in hierarchical chip design
JP2013038366A (en) Semiconductor integrated circuit design support device, semiconductor integrated circuit design method and program
WO1998055950A1 (en) Integrated circuit layout synthesis tool
US7519926B2 (en) Semiconductor device and method for designing the same

Legal Events

Date Code Title Description
EXPY Cancellation because of completion of term