JP2921889B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2921889B2
JP2921889B2 JP1307322A JP30732289A JP2921889B2 JP 2921889 B2 JP2921889 B2 JP 2921889B2 JP 1307322 A JP1307322 A JP 1307322A JP 30732289 A JP30732289 A JP 30732289A JP 2921889 B2 JP2921889 B2 JP 2921889B2
Authority
JP
Japan
Prior art keywords
substrate
layer
film
silicide
metal compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP1307322A
Other languages
English (en)
Other versions
JPH03166736A (ja
Inventor
巌 國島
知憲 青山
恭一 須黒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP1307322A priority Critical patent/JP2921889B2/ja
Priority to KR1019900019123A priority patent/KR940010907B1/ko
Priority to US07/617,793 priority patent/US5168332A/en
Priority to DE4037699A priority patent/DE4037699A1/de
Publication of JPH03166736A publication Critical patent/JPH03166736A/ja
Priority to US07/755,820 priority patent/US5162263A/en
Priority to KR1019940016841A priority patent/KR940010909B1/ko
Application granted granted Critical
Publication of JP2921889B2 publication Critical patent/JP2921889B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/019Contacts of silicides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/90MOSFET type gate sidewall insulating spacer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

【発明の詳細な説明】 [発明の目的] (産業上の利用分野) 本発明は、浅い不純物拡散層を必要とする半導体装置
に係わり、特に拡散層上にメタルシリサイドを形成した
半導体装置の製造方法に関する。
(従来の技術) 従来、LSIを構成する基本素子としてMOS型の電界効果
トランジスタ(FET)が用いられており、LSIの性能向上
のためMOSトランジスタの高性能化が要求されている。M
OSトランジスタの高性能化のためには、ソース・ドレイ
ン領域等の拡散層を浅く形成することが重要である。
MOSトランジスタの拡散層の形成方法としては、低加
速イオン注入法が広く用いられ、最近ではAs等の不純物
拡散法が用いられている。このような方法を用いること
によって、0.1μm程度の浅いソース・ドレイン領域を
形成でき、より高性能で微細化したMOSトランジスタを
形成でき、n+/p接合に関しては、0.1μm程度の深さが
実現可能である。また、p+/n接合に関しては、Si+,Ge+,
Sn+イオン注入でSi単結晶の表面層を非晶質化した後に
低加速BF2注入を行う方法を用いることにより、活性化
の熱処理を行った後でも0.1μm程度の深さを達成する
ことが可能となっている。
ところが、0.1μm程度の拡散層は抵抗が高く100Ω/
□以上のシート抵抗となってしまう。半導体素子の高速
化のためには拡散層表面を金属化することによって低抵
抗化する必要性が出てくる。
そこで最近、シリサイドと呼ばれる選択的なシリサイ
ド化を行う方法が検討されている。この方法は、Si露出
部に不純物拡散層を形成した後に、基板全面にタングス
テン(W)等を堆積し、ランプアニールで不純物拡散し
たSi層上にのみWシリサイドを形成し、未反応のW膜を
エッチング除去するというものである。金属シリサイド
を用いた従来のMOSトランジスタの製造方法を、第8図
に示して説明する。
この方法では、まず第8図(a)に示す如くフィール
ド酸化膜82及びゲート電極の側壁に形成された約50nmの
側壁絶縁膜85に囲まれてシリコン表面が露出した構造を
有する基板81上に、W膜86を堆積する。ここで、83はゲ
ート酸化膜、84aは多結晶シリコン膜、84bはWシリサイ
ド膜を示している。次いで、この試料をランプアニール
で加熱して、第8図(b)に示す如くSiの露出面にWシ
リサイド層87を形成する。次いで、第8図(c)に示す
如く、未反応のW膜86をエッチングし、イオン注入法を
用いてWシリサイド層87中に不純物元素を打ち込み、こ
の上をランプアニールで加熱して浅い不純物拡散層88を
形成する。最後に、層間絶縁膜91を設け、開口を開けた
のち配線93を形成する。この方法を用いることにより、
例えば150nm厚のWシリサイド層を形成することがで
き、しかもシート抵抗は3〜5Ω/□に低減することが
できる。
しかしながら、この種の方法にあっては次のような問
題があった。即ち、Wシリサイド層は基板の深さ方向に
成長するのと同時に、基板と平行な方向にも同じ速度で
成長する。このため、ゲート電極の側壁に形成された側
壁絶縁膜の下部にもWシリサイド層が形成され、ゲート
電極を破壊する不良を引き起こした。これは、Wシリサ
イド層が基板と平行な方向にも成長するので、ゲート電
極の両端にストレスが加わり、ゲート酸化膜に損傷を与
えるためである。
第9図は形成したWシリサイドの膜厚を変化させた場
合の、ゲート印加電界EGとゲートリーク電流Iとの関係
を示す特性図である。この図から、Wシリサイドの膜厚
がゲート電極の側壁に形成された絶縁膜の膜厚(50nm)
以上になると、ゲート耐圧が急激に悪化することが明ら
かである。シート抵抗を低減するためにはWシリサイド
の膜厚を厚くしなければならないが、上述した形成方法
ではゲート電極の側壁に形成された絶縁膜の膜厚以上の
Wシリサイド層を形成することが不可能であるため、シ
ート抵抗を十分下げることは困難であった。
また、650℃に以上の高温熱処理が必要なため、Si表
面に自然酸化膜やドライエッチング等による表面層の存
在によって、シリサイドの均一反応が難しく、後から不
純物を導入する工程を加えても、第10図に示す如く著し
い不均一の境界構造が形成される。このような不均一境
界が形成されると局所的に電界集中が起こり、pn接合リ
ーク電流が増大する等してpn接合破壊が起こり得る。特
に、拡散層の不純物濃度が低いと、この問題は顕著に生
じる。従って、0.1μm及びそれ以下の深さのpn接合形
成はこの方法では非常に難しい。なお、第10図において
89はゲート保護膜を示している。
(発明が解決しようとする課題) このように従来、金属シリサイドを用いて拡散層の低
抵抗化をはかった半導体装置においては、シリサイド層
がゲート電極の側壁に形成された絶縁膜の下部に侵入
し、ゲート電極を破壊してしまう問題があった。
また、シリサイド層が基板を不均一に浸蝕して形成さ
れるため、拡散層を薄く(500Å以下)すると、シリサ
イド層と拡散層の間の電界集中により、電流が基板側に
漏れてしまう。さらに、シリサイド層と拡散層の合計の
厚みがソース・ドレイン領域の深さになるため、ソース
・ドレイン領域を薄膜化すると、この領域の抵抗が高く
なるという問題があった。
本発明は、上記事情を考慮してなされたもので、その
目的とするところは、不純物拡散層を浅く形成すると共
に、基板側に電流が漏れるのを防止することができ、且
つ、ソース・ドレイン等の不純物拡散層の低減化をはか
り得る半導体装置の製造方法を提供することにある。
[発明の構成] (課題を解決するための手段) 本発明の骨子は、金属化合物層を形成する金属材料を
選択することにより、金属化合物層の基板表面と平行な
方向への成長を抑制することにある。また本発明は、不
純物を拡散する際の温度分布を最適化することにより、
拡散層の不純物濃度を高めることにある。
即ち本発明は、浅い不純物拡散層を有する半導体装置
の製造方法において、所定の素子形成加工が施された第
1導電型半導体基板上に金属膜を被着したのち、この金
属膜の基板との接触部に該金属及び基板半導体との合金
からなる金属化合物層を自己整合的に形成し、さらに金
属膜又は合金化した金属化合物層に基板と逆の導電型を
有する不純物を導入し、次いで基板側が高く金属化合物
層側が低くなる温度勾配を生じさせることによって、金
属化合物層の底部に不純物を集積させると共に基板に不
純物を拡散せしめ、金属化合物層と基板との間に第2導
電型の不純物拡散層を形成するようにした方法である。
(作用) 本発明では、基板上に被着した金属膜又は金属化合物
層に不純物を導入した後、基板と金属化合物層との間に
温度勾配を設けることにより、金属化合物層の底部に不
純物を集積させると共に、基板側に不純物を拡散して第
2導電型不純物拡散層を形成している。金属化合物層よ
り基板側の方が高温となるようにすることによって、金
属化合物層と基板間の不純物の偏析係数を基板側で大き
くなるように設定し、半導体基板表面のドーパント濃度
を最大にする。金属化合物層及び基板の界面から均一な
厚さに不純物を拡散しているため、拡散層から金属化合
物層が突き出ることがなく、また不純物を金属化合物層
底部に集積させてから拡散を行っているので、浅くても
不純物濃度の高い拡散層を得ることができ、拡散層の低
抵抗化をはかることができる。しかも、拡散層の不純物
濃度が高くなるため、電界集中によるリーク電流の増大
を緩和することが可能となる。
(実施例) 以下、本発明の詳細を図示の実施例によって説明す
る。
第1図は本発明の第1の実施例に係わる半導体装置の
製造工程を示す断面図である。まず、第1図(a)に示
す如く、(100)面を主面とするn型Si基板(第1導電
型半導体基板)11上に熱酸化により厚さ800nmのフィー
ルド酸化膜12を形成する。この基板11の主面は(100)
面の代わりに、(010)面或いは(001)面であってもよ
い。この酸化膜12で囲まれた素子形成領域に、厚さ10nm
のゲート酸化膜13,厚さ150nmのAsドープした多結晶シリ
コン膜14a及び厚さ150nmの珪化タングステン(WSi2)膜
14bを順次積層したのち、これらをゲート電極形状にエ
ッチング加工してゲート電極部を設ける。この後、CVD
−SiO2膜を50nmの厚さに堆積した後、異方性エッチング
で加工してゲートの側壁にSiO2膜(側壁絶縁膜)15を形
成する。
次いで、第1図(b)に示す如く、全面にスパッタリ
ング法を用いて、例えばNi膜16を40nm厚さに堆積する。
次いで、N2雰囲気中で850℃,1時間のアニールを行うこ
とで、第1図(c)に示す如く約150nm厚さの珪化ニッ
ケル(NiSi2)層(金属化合物層)17を形成する。ここ
で、16′は反応せずに残ったNi層である。
次いで、第1図(d)に示す如く、HClとH2O2との混
合液を用いて未反応のNi層16′を常温にて除去する。こ
のとき、混合溶液はHCl:H2O2=3:1とした。これによ
り、ソース・ドレイン領域に自己整合的にNiSi2層17が
形成された。このとき、NiSi2層17はSi基板11の深さ方
向には約150nmの厚さに成長したが、Si基板11の表面に
平行な方向には殆ど成長が認められず、ゲート電極部の
側壁に形成されたSiO2膜15の下部には侵入しなかった。
さらに、NiSi2層17の底部はSiの(100)面、周辺部は
(111)面で囲まれるように形成された。
次いで、ボロン(B+)イオンを加速電圧40keV,ドーズ
量1×1016cm-2にてNiSi2層17中に注入する。その後、1
050℃,20秒間のランプアニールを行う。これにより、珪
化ニッケルからボロンがSi基板11中に拡散し、約0.1μ
mの浅い拡散層(第2導電型不純物拡散層)18が形成さ
れた。
次いで、第1図(e)に示す如く、層間絶縁膜として
CVD−SiO2膜21を1μm厚さで全面に堆積した後、ソー
ス・ドレイン領域上にコンタクトホールを設け、ここに
例えばTiN膜22とAl・Si合金膜23の積層膜の電極配線を
形成して電界効果トランジスタが完成する。
以上の方法によって形成されたMOS−FETのゲート耐圧
を調べた。第2図はゲートの側壁のSiO2膜15の膜厚50n
m,形成したNiSi2層17の膜厚150nmの場合の、ゲート電界
EGとリーク電流Iとの関係を測定した結果である。また
この図には、本実施例の方法で形成したFETとの比較の
ために、従来の方法で形成したFET(ゲートの側壁のSiO
2膜厚50nm,形成したWSi2膜厚150nm)の場合の測定結果
もそれぞれ示した。この第2図から明らかなように、金
属シリサイドの厚さがゲートの側壁のSiO2膜の厚さに比
べ3倍の場合、従来のWシリサイドを用いた技術では完
全にゲート破壊が生じているのに対し、本実施例で示し
たNiシリサイドを用いて形成したFETの場合、ゲート破
壊は全く生じておらず、良好なI−V特性を示してい
る。
このようにゲート破壊を防止できた理由は、次のよう
に説明される。即ち、従来の技術では、Wシリサイドの
成長速度が、基板の深さ方向〈100〉と基板と平行な方
向〈111〉とで略同一であるために、ゲート電極側壁に
形成されたSiO2膜の下部にも膜厚と同程度のWシリサイ
ドが食い込んでしまう。これに対し本実施例では、Niシ
リサイドの〈111〉方向の成長速度が〈100〉方向の成長
速度に対して格段に遅い(約1/10)ために、基板と平行
な方向の成長が著しく抑制され、150nmの膜厚のNiシリ
サイドを形成した場合でも、ゲート側壁に形成されたSi
O2膜の下部への食い込みは殆ど観察されない程度に抑え
られたためである。
かくして本実施例によれば、金属シリサイドを用いた
浅い接合を有する半導体装置において、金属シリサイド
としてNiSi2を選択することにより、ゲート側壁のSiO2
膜の下部へのNiSi2層の食い込みを防止することができ
る。このため、ゲート電極の破壊を引き起こさず、同時
に低いシート抵抗を得るのに必要な十分厚いNiSi2層を
形成したMOS−FETを実現することができる。
なお、上記実施例では金属シリサイドとして珪化ニッ
ケルを用いたが、金属化合物の成長速度が〈100〉方向
に比べて〈111〉方向の方が遅く、珪化ニッケルと同様
に扱える他の金属化合物を用いてもよい。具体的には、
Si表面にエピタキシャル成長する金属化合物であればよ
く、珪化コバルト,珪化パラジウム,珪化白金,珪化イ
リジウム,珪化ロジウム,珪化鉄,珪化ルテニウム,珪
化オスミウム又は珪化ハフニウムを用いることができ
る。また、実施例では金属シリサイド層を形成した後に
不純物拡散層を形成したが、不純物拡散層を形成した後
に金属シリサイド層を形成するようにしてもよい。さら
にまた、実施例ではNiSi2の形成を直接行ったが、まずN
i2Si又はNiSiを形成した後、未反応のNiを除去し、その
後にNiSi2を形成する工程を用いてもよい。
第3図は本発明の第2の実施例に係わる半導体装置の
製造工程を示す断面図である。まず、第3図(a)に示
す如く、面方位(100),比抵抗4〜5Ωcmのn型Si基
板(第1導電型半導体基板)11上に厚さ0.6μmのフィ
ールド酸化膜12を形成する。続いて、酸化膜12で囲まれ
た素子形成領域に厚さ100Åのゲート酸化膜13,厚さ3000
ÅのAsドープ多結晶Si膜14を形成し、反応性イオンエッ
チング(RIE)で各層13,14をゲート電極形状に加工す
る。そして、ゲート電極部の側壁に厚さ0.15μmのSiO2
膜(側壁絶縁膜)15を形成する。その後、希弗酸処理で
Si表面の自然酸化膜を除去し、酸素濃度が1ppm以下の純
水中で洗浄し、N2中で乾燥させ、真空槽に入れる。1×
10-5Pa以下に減圧した後、全面に厚さ300ÅのNi膜16を
電子ビーム蒸着又は0.5〜0.7PaのAr中におけるスパッタ
法で形成する。次いで、Ni膜16に加速電圧15keVのB+
オンを1×1016cm-2注入する。
次いで、Ar中800℃で40分間の熱処理を行い、第3図
(b)に示す如く1000ÅのNiSi2層(金属化合物層)17
を形成する。次いで、第3図(c)に示す如く、未反応
のNi膜16′を過酸化水素水と塩酸の混合液で除去する。
次いで、後述する加熱処理装置を用い、表面にHeガス
を吹き付けて表面冷却しながら、裏面を850℃で30分間
加熱してNiSi2層17の底部にB+イオンを集積させ、第3
図(d)に示す如く深さ400Åのp+型拡散層(第2導電
型不純物拡散層)18を形成する。なお、ボロンドープp
型Si上にnチャネルMOSFETを形成する場合は、B+の代り
に80keVのAs+イオンを1×1016cm-2注入し、その後同様
の工程を行って、250〜300Åのn+型拡散層を形成すれば
よい。
かくして得られるMOS−FETにおいて、拡散層18の不純
物濃度を調べたところ、第4図に示す結果が得られた。
なお、この図には比較例として拡散工程におけるシリサ
イド側と基板側の温度とを等しくした例を示す。比較例
に比べ実施例では、NiSi2とSiとの界面における不純物
濃度が高くなり、拡散層の不純物濃度も高くなっている
のが判る。これは、NiSi2層17よりSi基板11側の方が高
温となるようにすることによって、NiSi2層17と基板11
間の不純物の偏析係数を基板11側で大きくなるように設
定し、半導体基板表面のドーパント濃度を最大にしてい
るためである。そして、界面の不純物濃度が高いため
に、拡散層18の不純物濃度も高くなるのである。
従って本実施例では、浅くても不純物濃度の高い拡散
層18を得ることができ、拡散層18の低抵抗化をはかるこ
とができる。また、拡散層18の不純物濃度が高くなるた
め、NiSi2層17とSi基板11との界面が例え不均一となっ
ても、この不均一界面での電界集中によるリーク電流の
増大を緩和することが可能となる。
第5図は本発明の第3の実施例を説明するための工程
断面図である。この実施例が先に説明した第2の実施例
と異なる点は、Ni膜にイオン注入する代りに、シリサイ
ド化した後のNiSi2層にイオン注入した点にある。
まず、第5図(a)に示す如く、先の第2の実施例と
同様に、n型Si基板11上にフィールド酸化膜12,ゲート
酸化膜13,多結晶Si膜14及び側壁絶縁膜15をそれぞれ形
成し、さらに全面に厚さ300ÅのNi膜16を形成する。
次いで、Ar中850℃で60分間の熱処理を行い、第5図
(b)に示す如く1000ÅのNiSi2層17を形成する。次い
で、未反応のNi膜16′を過酸化水素水と塩酸の混合液で
除去した後、第5図(c)に示す如く全面に加速電圧20
〜25keVのB+イオンを1×1016cm-2注入する。
次いで、先の第2の実施例と同様に表面にHeガスを吹
き付けて表面冷却しながら、裏面を850℃で30分間加熱
してNiSi2層17の底部にB+イオンを集積させ、第5図
(d)に示す如く深さ400Åのp+型拡散層18を形成す
る。
かくして得られるMOS−FETにおいても、拡散層18の不
純物濃度を高くすることができ、先の第2の実施例と同
様の効果が得られるのは勿論である。
次に、本発明の第4の実施例について説明する。先の
第2の実施例と同様にSi基板11上にフィールド酸化膜1
2,ゲート酸化膜13,多結晶シリコン膜14及び側壁絶縁膜1
5を形成した後、この試料を真空チャンバ内に収容す
る。チャンバ内を1×10-5Paに減圧後、0.3〜0.7Paで30
0ÅのNiをスパッタし、これと同時に基板を400〜500℃
となるようにタングステンハロゲンランプで熱処理し、
Si露出面に650〜700ÅのNiSi層17を形成する。
次いで、基板をチャンバから外に取り出し、絶縁膜上
の未反応のNi膜を過酸化水素水と塩酸の混合液を用いて
除去する。その後、加速電圧20〜25keVのB+イオンを1
×1016cm-2注入し、次いで酸素濃度が1ppm以下のN2中又
はAr中で800〜900℃、30〜60分間の熱処理を行い、約10
00ÅのNiSi2層17を形成する。このとき、熱処理の完了
直後に、表面側に冷却用ガス、例えばHe等を吹き付け、
Si基板の表面が裏面より温度が低くなるようにし、NiSi
2層17の底部にB+イオンを集積させる。この後の処理は
第2の実施例と同じである。
次に、本発明の第5の実施例について説明する。この
実施例は、基板の加熱処理に用いる過熱処理装置の例で
ある。
第6図に示す如く、チャンバ61の中のサセプタ62の内
部に基板64の裏面加熱用のヒータ63を設ける。また、基
板表面側の加熱用にチャンバ61の上方にランプヒータ66
を設ける。ガス導入管67をガス流68が基板64の表面の薄
膜層65に均一にあたるように設ける。この装置を用いて
温度勾配を生成する際には、ヒータ63で約850℃に設定
昇温する。ランプヒータ66を切り、表面側にガス導入管
67からHeガス又はArガス流68を吹き付け、表面薄膜層65
より基板64の方が高温になるようにする。
この装置を用いれば、先の第2〜第4の実施例におい
て、ヒータ63,66をON状態にすることにより、Ni膜16の
シリサイド化を行うことができる。さらに、ヒータ63を
ON,ヒータ66をOFF,さらにガス導入管67から表面側に冷
却ガスを吹き付けることにより、基板側が高くなる温度
勾配を生成し、NiSi2層17とSi基板11との界面にB+イオ
ンを集積させることができる。
また、この装置を用いれば、先の第4の実施例と同様
に形成されたNiSi2層17にB+イオンを注入した後、900〜
1050℃で8〜30秒間の熱処理を行い、その完了直後に表
面側の加熱を止め、表面に冷却用ガスを吹き付け、基板
内に温度勾配を生じさせてB+イオンをNiSi2層17の底部
に集積させる。この工程により、B+イオンをNiSi2層17
の底部に効率良く集積させることができる。これ以降の
処理は、第2の実施例と同様にすればよい。
第7図は本発明の第6の実施例に係わる熱処理装置を
示す概略構成図である。チャンバ71の上下にランプヒー
タ73,76を、内部にサセプタ72を設け、サセプタ72の上
に基板74を置く。また、図のようにガス導入管77を設
け、ガス流78が基板表面の薄膜層75にあたるようにす
る。この装置によると、表面と裏面側の加熱温度が容易
に制御でき、基板内に温度勾配を作ることが容易にでき
る。
この装置を用いれば、先の第5の実施例装置と同様に
NiSi2の底部にB+イオンを集中させるための温度勾配を
生成することができる。また、先の第3の実施例におい
て、NiSi2層17にB+イオンを注入した後、90〜1000℃、
8〜10秒間の熱処理を行い、その完了直後に表面側の加
熱を止め、表面に冷却用ガスを吹き付け、基板内の温度
勾配を生じさせ、B+イオンをNiSi2層17の底部に集積さ
せることができる。
なお、本発明は上述した各実施例に限定されるもので
はない。実施例では、基板としてSiを用いたが、Ge或い
は化合物半導体、例えばGaAsやInPを用いることができ
る。また、基板は半導体のインゴットから切り出したウ
ェハだけでなく、基板表面に半導体層が形成されている
ものならよく、例えばSOS或いはSOI基板等であっても構
わない。またMOS型FETをに限らず他のFET、例えばショ
ットキー接合型FET、pn接合型FETやヘテロ接合型FETに
対しても、或いはFET以外の浅い不純物拡散層を備える
他の半導体素子、例えばダイオードやバイポーラトラン
ジスタに適用することも可能である。その他、本発明の
要旨を逸脱しない範囲で、種々変形して実施することが
できる。
[発明の効果] 以上詳述したように本発明によれば、金属化合物層が
ゲート電極の側壁に形成された絶縁膜の下部に侵入する
のを防止することができ、ゲート破壊を起こさない信頼
性の高い半導体装置を実現することができる。また本発
明によれば、拡散層を形成する際に基板側が金属化合物
層よりも高くなる温度分布を設定することにより、ソー
ス・ドレイン等となる浅い不純物拡散層の抵抗低減化を
実現することができる。
【図面の簡単な説明】
第1図は本発明の第1の実施例に係わる半導体装置の製
造工程を示す断面図、第2図は上記実施例の作用を説明
するためのものでゲート印加電界EGとゲートリーク電流
Iとの関係を示す特性図、第3図は本発明の第2の実施
例に係わる半導体装置の製造工程を示す断面図、第4図
は第2の実施例における不純物濃度の分布を示す特性
図、第5図は本発明の第3の実施例に係わる半導体装置
の製造工程を示す断面図、第6図は本発明の第4の実施
例に係わる加熱処理装置を示す概略構成図、第7図は本
発明の第5の実施例に係わる加熱処理装置を示す概略構
成図、第8図乃至第10図は従来の問題点を説明するため
のもので、第8図は工程断面図、第9図はEG−I特性
図、第10図は素子構造断面図である。 11……n型Si基板(第1導電型基板)、 12……フィールド酸化膜、 13……ゲート酸化膜、 14,14a,14b……ゲート電極、 15……SiO2膜(側壁絶縁膜)、 16……Ni膜、 17……NiSi2層(金属化合物層)、 18……p+型層(第2導電型不純物拡散層)。
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 昭53−63983(JP,A) 特開 昭63−58928(JP,A) 特開 昭57−124476(JP,A) 特開 昭59−161869(JP,A) 特開 昭62−22486(JP,A) 特開 昭60−193343(JP,A) (58)調査した分野(Int.Cl.6,DB名) H01L 21/336 H01L 29/46 H01L 29/784

Claims (2)

    (57)【特許請求の範囲】
  1. 【請求項1】所定の素子形成加工が施された第1導電型
    半導体基板上に金属膜を被着する工程と、前記金属膜に
    前記基板と逆の導電型を有する不純物を導入する工程
    と、前記金属膜の前記基板との接触部に該金属及び前記
    基板を構成する半導体材料との合金からなる金属化合物
    層を形成する工程と、前記基板側が高く前記金属化合物
    層側が低くなる温度勾配を生じさせることによって、前
    記金属化合物層の底部に前記不純物を集積させると共
    に、前記基板に前記不純物を拡散せしめ、前記金属化合
    物層と基板との間に第2導電型の不純物拡散層を形成す
    る工程とを含むことを特徴とする半導体装置の製造方
    法。
  2. 【請求項2】所定の素子形成加工が施された第1導電型
    半導体基板上に金属膜を被着する工程と、前記金属膜の
    前記基板との接触部に該金属及び前記基板を構成する半
    導体材料との合金からなる金属化合物層を形成する工程
    と、前記金属化合物層に前記基板と逆の導電型を有する
    不純物を導入する工程と、前記基板側が高く前記金属化
    合物層側が低くなる温度勾配を生じさせることによっ
    て、前記金属化合物層の底部に前記不純物を集積させる
    と共に、前記基板に前記不純物を拡散せしめ、前記金属
    化合物層と基板との間に第2導電型の不純物拡散層を形
    成する工程とを含むことを特徴とする半導体装置の製造
    方法。
JP1307322A 1989-11-27 1989-11-27 半導体装置の製造方法 Expired - Fee Related JP2921889B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP1307322A JP2921889B2 (ja) 1989-11-27 1989-11-27 半導体装置の製造方法
KR1019900019123A KR940010907B1 (ko) 1989-11-27 1990-11-24 실리사이드구조를 갖춘 반도체장치와 그 제조방법
US07/617,793 US5168332A (en) 1989-11-27 1990-11-26 Semiconductor device having salicide structure, method of manufacturing the same, and heating apparatus
DE4037699A DE4037699A1 (de) 1989-11-27 1990-11-27 Halbleiteranordnung mit salicide-struktur sowie verfahren und heizvorrichtung zu ihrer herstellung
US07/755,820 US5162263A (en) 1989-11-27 1991-09-06 Semiconductor device having salicide structure, method of manufacturing the same, and heating apparatus
KR1019940016841A KR940010909B1 (ko) 1989-11-27 1994-07-13 가열처리장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP1307322A JP2921889B2 (ja) 1989-11-27 1989-11-27 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH03166736A JPH03166736A (ja) 1991-07-18
JP2921889B2 true JP2921889B2 (ja) 1999-07-19

Family

ID=17967749

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1307322A Expired - Fee Related JP2921889B2 (ja) 1989-11-27 1989-11-27 半導体装置の製造方法

Country Status (4)

Country Link
US (2) US5168332A (ja)
JP (1) JP2921889B2 (ja)
KR (1) KR940010907B1 (ja)
DE (1) DE4037699A1 (ja)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753530A (en) * 1992-04-21 1998-05-19 Seiko Instruments, Inc. Impurity doping method with diffusion source of boron-silicide film
US5389576A (en) * 1992-12-28 1995-02-14 Motorola, Inc. Method of processing a polycide structure
TW297142B (ja) 1993-09-20 1997-02-01 Handotai Energy Kenkyusho Kk
US5431700A (en) * 1994-03-30 1995-07-11 Fsi International, Inc. Vertical multi-process bake/chill apparatus
US5496750A (en) * 1994-09-19 1996-03-05 Texas Instruments Incorporated Elevated source/drain junction metal oxide semiconductor field-effect transistor using blanket silicon deposition
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5654570A (en) * 1995-04-19 1997-08-05 International Business Machines Corporation CMOS gate stack
US5624867A (en) * 1995-05-24 1997-04-29 National Science Council Low temperature formation of palladium silicided shallow junctions using implant through metal/silicide technology
JPH10173177A (ja) * 1996-12-10 1998-06-26 Mitsubishi Electric Corp Misトランジスタの製造方法
JP3917237B2 (ja) * 1997-05-20 2007-05-23 東京エレクトロン株式会社 レジスト膜形成方法
KR100481982B1 (ko) * 1997-12-30 2005-06-07 매그나칩 반도체 유한회사 트랜지스터의 게이트 전극 형성 방법
WO1999040615A1 (en) 1998-02-04 1999-08-12 Semitool, Inc. Method and apparatus for low-temperature annealing of metallization micro-structures in the production of a microelectronic device
US6063700A (en) * 1998-02-27 2000-05-16 Micron Technology, Inc. Method of forming ohmic conductive components in a single chamber process
US5998294A (en) * 1998-04-29 1999-12-07 The United States Of America As Represented By The Secretary Of The Navy Method for forming improved electrical contacts on non-planar structures
US6197628B1 (en) * 1998-08-27 2001-03-06 Micron Technology, Inc. Ruthenium silicide diffusion barrier layers and methods of forming same
JP3848071B2 (ja) * 2000-09-28 2006-11-22 沖電気工業株式会社 半導体装置およびその製造方法
US6562718B1 (en) * 2000-12-06 2003-05-13 Advanced Micro Devices, Inc. Process for forming fully silicided gates
US6632740B1 (en) * 2001-02-02 2003-10-14 Advanced Micro Devices, Inc. Two-step process for nickel deposition
US6806172B1 (en) * 2001-04-05 2004-10-19 Advanced Micro Devices, Inc. Physical vapor deposition of nickel
US7015076B1 (en) * 2004-03-01 2006-03-21 Advanced Micro Devices, Inc. Selectable open circuit and anti-fuse element, and fabrication method therefor
US6921691B1 (en) * 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
CN2719043Y (zh) 2004-04-14 2005-08-24 韩力 雾化电子烟
US7592678B2 (en) * 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
KR100683852B1 (ko) * 2004-07-02 2007-02-15 삼성전자주식회사 반도체 소자의 마스크롬 소자 및 그 형성 방법
US20060045785A1 (en) * 2004-08-30 2006-03-02 Yiping Hu Method for repairing titanium alloy components
US7344934B2 (en) * 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7253050B2 (en) * 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7160781B2 (en) 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7361538B2 (en) * 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
JP2007067225A (ja) * 2005-08-31 2007-03-15 Toshiba Corp 半導体装置およびその製造方法
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070052036A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Transistors and methods of manufacture thereof
US20070052037A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7495290B2 (en) * 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) * 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
JP2007173743A (ja) * 2005-12-26 2007-07-05 Toshiba Corp 半導体装置の製造方法
JP2007188956A (ja) * 2006-01-11 2007-07-26 Nec Electronics Corp 半導体装置の製造方法
JP5116003B2 (ja) * 2006-02-27 2013-01-09 セイコーエプソン株式会社 シリサイドの形成方法及び半導体装置の製造方法
DE112007003685T5 (de) * 2007-11-23 2010-12-23 S.O.I.Tec Silicon On Insulator Technologies Präzises Lösen von Oxid
JP5104373B2 (ja) * 2008-02-14 2012-12-19 日本ゼオン株式会社 位相差板の製造方法
WO2010017231A1 (en) * 2008-08-04 2010-02-11 Hariharan Alleppey V Method to convert waste silicon to high purity silicon
US8395140B2 (en) 2010-07-09 2013-03-12 Micron Technology, Inc. Cross-point memory utilizing Ru/Si diode
US8994489B2 (en) 2011-10-19 2015-03-31 Micron Technology, Inc. Fuses, and methods of forming and using fuses
US9252188B2 (en) 2011-11-17 2016-02-02 Micron Technology, Inc. Methods of forming memory cells
US8723155B2 (en) 2011-11-17 2014-05-13 Micron Technology, Inc. Memory cells and integrated devices
WO2014009991A1 (ja) * 2012-07-09 2014-01-16 国立大学法人東北大学 3次元構造のmosfet及びその製造方法
WO2014089813A1 (zh) * 2012-12-14 2014-06-19 复旦大学 一种晶体管及其制造方法
US9553262B2 (en) 2013-02-07 2017-01-24 Micron Technology, Inc. Arrays of memory cells and methods of forming an array of memory cells
US9881971B2 (en) 2014-04-01 2018-01-30 Micron Technology, Inc. Memory arrays
US9343506B2 (en) 2014-06-04 2016-05-17 Micron Technology, Inc. Memory arrays with polygonal memory cells having specific sidewall orientations
KR20190110845A (ko) * 2018-03-21 2019-10-01 삼성전자주식회사 반도체 소자

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4622735A (en) * 1980-12-12 1986-11-18 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing a semiconductor device utilizing self-aligned silicide regions
US4551908A (en) * 1981-06-15 1985-11-12 Nippon Electric Co., Ltd. Process of forming electrodes and interconnections on silicon semiconductor devices
US4558507A (en) * 1982-11-12 1985-12-17 Nec Corporation Method of manufacturing semiconductor device
DE3505795A1 (de) * 1985-02-20 1986-08-21 BBC Aktiengesellschaft Brown, Boveri & Cie., Baden, Aargau Verfahren zur kontrollierten aenderung eines temperaturgradienten in einem substrat unter konstanthaltung einer vorgebbaren mittleren temperatur
US4735680A (en) * 1986-11-17 1988-04-05 Yen Yung Chau Method for the self-aligned silicide formation in IC fabrication
DD256949A1 (de) * 1986-12-31 1988-05-25 Akad Wissenschaften Ddr Verfahren und anordnung zur thermischen nachbehandlung beidseitig metallisierter doppelheterostrukturen
US4788160A (en) * 1987-03-31 1988-11-29 Texas Instruments Incorporated Process for formation of shallow silicided junctions
US4774204A (en) * 1987-06-02 1988-09-27 Texas Instruments Incorporated Method for forming self-aligned emitters and bases and source/drains in an integrated circuit
JPH01117319A (ja) * 1987-10-30 1989-05-10 Nec Corp 半導体装置の製造方法
US4999320A (en) * 1988-03-31 1991-03-12 Texas Instruments Incorporated Method for suppressing ionization avalanches in a helium wafer cooling assembly
US4949136A (en) * 1988-06-09 1990-08-14 University Of Connecticut Submicron lightly doped field effect transistors

Also Published As

Publication number Publication date
KR910010668A (ko) 1991-06-29
DE4037699A1 (de) 1991-05-29
KR940010907B1 (ko) 1994-11-19
US5162263A (en) 1992-11-10
US5168332A (en) 1992-12-01
JPH03166736A (ja) 1991-07-18

Similar Documents

Publication Publication Date Title
JP2921889B2 (ja) 半導体装置の製造方法
JP3285934B2 (ja) 半導体装置の製造方法
US4551908A (en) Process of forming electrodes and interconnections on silicon semiconductor devices
JPH0613403A (ja) Mos集積回路上の自己整列珪化コバルト
JPH0727880B2 (ja) 半導体装置の製造方法
JPH0831429B2 (ja) 半導体装置の製造方法
US5883003A (en) Method for producing a semiconductor device comprising a refractory metal silicide layer
JP3313432B2 (ja) 半導体装置及びその製造方法
US6255181B1 (en) Method for fabricating MOS semiconductor device having salicide region and LDD structure
JPH0766926B2 (ja) GaAs MESFETの製造方法
US6221760B1 (en) Semiconductor device having a silicide structure
JP3211374B2 (ja) 半導体装置及び半導体装置の製造方法
JP3044849B2 (ja) 半導体装置の製造方法
JP3182833B2 (ja) 薄膜トランジスタおよびその製造方法
KR940010909B1 (ko) 가열처리장치
JPH07193237A (ja) 半導体装置およびその製造方法
JP2910064B2 (ja) 半導体装置の製造方法
JP3104067B2 (ja) 半導体装置の製法
KR100433054B1 (ko) 반도체소자의 제조방법
JPS63227018A (ja) 半導体装置の製造方法
JP2001203346A (ja) 半導体装置の製造方法
TWI222113B (en) Silicide layer and fabrication method thereof and method for fabricating metal-oxide semiconductor transistor
JPH06177067A (ja) 半導体集積回路装置の製造方法
JP2525186B2 (ja) 半導体装置の製造方法
JP2616551B2 (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees