JP2022164702A - レチクルを検査する装置および方法 - Google Patents

レチクルを検査する装置および方法 Download PDF

Info

Publication number
JP2022164702A
JP2022164702A JP2022128060A JP2022128060A JP2022164702A JP 2022164702 A JP2022164702 A JP 2022164702A JP 2022128060 A JP2022128060 A JP 2022128060A JP 2022128060 A JP2022128060 A JP 2022128060A JP 2022164702 A JP2022164702 A JP 2022164702A
Authority
JP
Japan
Prior art keywords
reticle
wafer
different
test
images
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022128060A
Other languages
English (en)
Other versions
JP7440580B2 (ja
Inventor
アブドゥラフマン セズジナー
Sezginer Abdurrahman
モハンマド ダネシュパナー
Daneshpanah Mohammad
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/803,628 external-priority patent/US10395361B2/en
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2022164702A publication Critical patent/JP2022164702A/ja
Application granted granted Critical
Publication of JP7440580B2 publication Critical patent/JP7440580B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10144Varying exposure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10141Special mode during image acquisition
    • G06T2207/10152Varying illumination
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Immunology (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Library & Information Science (AREA)
  • Toxicology (AREA)
  • Signal Processing (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

【課題】フォトリソグラフィのレチクルを評価する方法およびシステムを開示する。【解決手段】レチクル検査ツールを使用して、テストレチクルの複数のパターンエリアの各々から異なるイメージング構成で画像を取得する。レチクルの近距離場は、テストレチクルの各パターンエリアから取得した画像に基づいてテストレチクルのパターンエリアごとに再現される。次いで、再現されたレチクルの近距離場を使用してテストレチクルまたは別のレチクルが不安定なウエハパターンまたは欠陥ウエハになる可能性があるかを決定する。【選択図】図1

Description

本発明は、一般に、レチクル検査に関する。より詳細には、本発明は、パターン評価に関する。
関連出願の相互参照
本出願は、ルイ ファン シ(Rui-fang Shi)らが2017年11月3日に出願した米国特許出願第15/803628号の優先権の利益を主張する。本出願は、本出願は、2017年5月18日に出願した米国仮出願第62/508,369号の優先権の利益を主張する。これらの出願および特許は、あらゆる目的のために全体が参照により本明細書に組み込まれる。
一般に、半導体製造産業は、シリコンなどの基板上に層状に重ねられた、パターンの付いている半導体材料を用いて集積回路を製造する非常に複雑な技法を伴う。回路集積が大規模になり、半導体デバイスのサイズが減少しているために、製造されるデバイスは、欠陥に対してますます敏感になってきている。すなわち、デバイスの故障を引き起こす欠陥は、次第に小さくなっている。デバイスは、エンドユーザまたは取引先に出荷する前に故障がないものである。
典型的には、集積回路は、複数のレチクルから製造される。最初に、回路設計者は、レチクル生産システムまたはレチクルライタに、特定の集積回路(IC)設計を記述する回路パターンデータを与える。典型的には、回路パターンデータは、製造されるICデバイスの物理的レイヤの表現レイアウトの形態である。この表現レイアウトは、ICデバイス(例えば、ゲート酸化物、ポリシリコン、メタライゼーションなど)の物理的レイヤごとの表現レイヤを含み、各表現レイヤは、特定のICデバイスのレイヤのパターニングを定める複数の多角形で構成される。レチクルライタは、回路パターンデータを使用して、特定のIC設計を製造するために後で使用される複数のレチクルを書き込む(例えば、典型的には、電子ビームライタまたはレーザスキャナを使用してレチクルパターンを露光する)。
一部のレチクルまたはフォトマスクは、少なくとも透明領域および不透明領域、半透明領域および位相シフト領域、または吸収体領域および反射領域を含む光学要素の形態にあり、これらは共に、集積回路などの電子デバイスにおける同一平面上の特徴のパターンを定める。レチクルは、フォトリソグラフィ中に、エッチングプロセス、イオン注入プロセス、または他の製造プロセスのために半導体ウエハの特定の領域を定めるのに使用される。
米国特許出願公開第2016/0012579号
各レチクルまたは一群のレチクルの製造後、典型的には、新しい各レチクルは、ウエハ製造に使用するために評価される。例えば、レチクルパターンは、転写性のある欠陥がないことが必要である。さらに、レチクルで製造される任意のウエハは、欠陥がないことが必要である。したがって、改良されたレチクルおよびウエハ検査および評価技法が継続的に必要とされている。
以下のものは、本発明のいくつかの実施形態の基本的理解をもたらすために本開示の簡単な概要を説明する。この概要は、本開示の包括的な概観ではなく、本発明の重要な/不可欠の要素を特定するものでも、本発明の範囲を画定するものでもない。その唯一の目的は、本明細書中に開示したいくらかの概念を、後で示されるより詳細な説明への導入部として簡単な形態で提示することである。
一実施形態では、フォトリソグラフィのレチクルを評価する方法が開示される。イメージングツールは、テストレチクルの複数のパターンエリアの各々から異なる照明構成および/または異なるイメージング構成で複数の画像を取得するのに使用される。レチクルの近距離場は、テストレチクルの各パターンエリアから取得した画像に基づいてテストレチクルのパターンエリアごとに再現される。次いで、再現されたレチクルの近距離場を使用してテストレチクルまたは別のレチクルが不安定なウエハパターンまたは欠陥ウエハになる可能性があるかを決定する。
一実施では、レチクルの近距離場を直接解析して、テストレチクルまたは別のレチクルが不安定なウエハパターンまたは欠陥ウエハになる可能性があるかを決定する。別の態様では、再現されたレチクルの近距離場を使用して、テストレチクル中、または再現されたレチクルの近距離場からシミュレートされるシミュレートされたウエハ画像中に欠陥を検出するものであり、欠陥検出は、異なる時間での同じダイについて、隣接したダイについて、ダイおよびその対応するゴールデンダイについて、またはダイおよびテストレチクルと同一の設計を有するレチクルコピーからの対応するダイについて強度および/または位相を比較することを含む。
一態様では、画像は、場の平面または瞳平面で取得される。特定の実施形態では、レチクルの近距離場は、レチクルを製造するのに使用された設計データベースを用いることなく再現される。別の態様では、取得される画像は、同じレチクルの近距離場になるように選択される異なるイメージング条件で取得される少なくとも3つの反射画像/透過画像を含む。この態様では、異なるイメージング条件は、異なる焦点設定および異なる瞳の形状を含み、異なる照明条件は、異なる源の強度分布および/または偏光設定を含む。
代替の実施では、本方法は、(i)リソグラフィモデルをテストレチクルについてのレチクルの近距離場に適用して、複数のテストウエハ画像をシミュレートするステップと、(ii)シミュレートされたテストウエハ画像を解析して、テストレチクルが不安定なウエハまたは欠陥ウエハになる可能性があるかを決定するステップとを含む。この態様では、リソグラフィモデルは、フォトリソグラフィプロセスをシミュレートするように構成されている。さらなる態様では、リソグラフィモデルは、テストレチクルまたは別のレチクルまたはウエハの画像を取得するための検査ツールの照明形状とは異なる形状を有する照明源をシミュレートする。別の態様では、リソグラフィモデルは、較正レチクルについて設計データベースから描画される画像で構成される。別の例では、リソグラフィモデルは、較正レチクルから取得される画像を用いて較正される。またさらなる態様では、リソグラフィモデルは、複数の異なるリソグラフィプロセス条件下でテストレチクルについて再現されたレチクルの近距離場に適用され、シミュレートされたテストウエハ画像を解析するステップは、異なるプロセス条件および同じレチクルエリアに関連しているシミュレートされたテスト画像の部分を比較することによってテストレチクルが異なるリソグラフィプロセス条件下で不安定なウエハになる可能性があるか決定するステップを含む。
代替実施形態では、本発明は、フォトリソグラフィのレチクルを評価する検査システムに関係する。このシステムは、入射ビームを生成する光源と、入射ビームをレチクル上へ向ける照明光学系モジュールとを備える。このシステムは、レチクルの各パターンエリアからの出力ビームを少なくとも1つのセンサへ向ける集光光学モジュールと、出力ビームを検出し、出力ビームに基づいて画像または信号を生成する少なくとも1つのセンサとをさらに備える。このシステムは、上記方法の動作のうちの1つ以上に類似する動作を実行するように構成されるコントローラをさらに備える。
本発明のこれらおよび他の態様は、図を参照することにより以下に説明される。
本発明の一実施形態によるマスクの近距離場の再現の手順を示す流れ図である。 本発明の特定の実施形態によるモデル較正プロセスを示す流れ図である。 本発明の一実施形態によるレチクル評価プロセスを表す流れ図である。 本発明の一応用例によるレチクルパターンの安定性を決定するプロセスを示す流れ図である。 本発明の別の実施形態による欠陥検査手順を示す流れ図である。 本発明の代替の実施形態による再現されたマスクの近距離場の画像または結果に適用されるレチクル評価プロセスを示した流れ図である。 本発明の技法を実施することができる検査システムの一例の概略図である。 いくつかの実施形態によるフォトマスクからウエハへマスクパターンを転写するリソグラフィシステムの簡略化した概略図である。 いくつかの実施形態によるフォトマスク検査装置の概略図である。
以下の記載には、本発明に対する徹底的な理解を可能にするために、具体的な詳細が多数記載されている。本発明は、これらの特定の詳細の一部またはその全部なしで実施することができる。他の例では、本発明を不必要にあいまいにさせないように、よく知られているプロセスの動作または装置の構成要素は、詳細には説明されていない。本発明は特定の実施形態との関連で説明されるが、本発明をこれらの実施形態に限定することは意図されていないことが理解されよう。
製造施設へマスクを出荷する前に、製造のためにそのようなマスクを用いてウエハを製造する前に、および/またはそのようなマスクが製造プロセスにおいてある期間使用された後にそのようなマスクの定期的な再評価のために、各マスクの欠陥を検出すること、およびさもなければマスクの様々な態様(例えば、パターンの安定性、CD、CDの均一性)を特徴付けることは有益である。
本発明の一実施形態は、複数の異なるイメージングパラメータ下で検査ツールから得られるレチクルの画像に基づいてレチクルの近距離場の画像を再現する技法を含む。次いで、このレチクルの近距離場の画像は、いくつかのレチクル評価の用途に使用され得る。一例では、レチクルの近距離場の画像は、結果として得られるパターンがウエハ上にどのようにプリントされるのかに関連したウエハ画像または様々なウエハパターンの特徴を予測するために、リソグラフィモデルに入力することができる。次いで、予測されたウエハ画像および/または様々なウエハの特徴は、欠陥検出、レチクルの評価もしくは再評価、および/または任意の他の適切な計量もしくは検査の用途のために解析することができる。レチクルの近距離場の画像は、本明細書中にさらに説明されるように、様々な目的のためにそれ自体が分析されることもできる。
用語「レチクル」、「マスク」、および「フォトマスク」は、本明細書中では交換可能に使用されており、一般的に、各々には、ガラス、ホウケイ酸ガラス、石英、または溶融シリカなどの透明基板であって、不透明材料のレイヤをその表面に形成した透明基板が包含され得る。不透明な(または実質的に不透明な)材料には、フォトリソグラフィ光(例えば遠紫外光または極端紫外線)を完全にまたは部分的に遮断する任意の適切な材料が含まれ得る。材料の例には、クロム、ケイ化モリブデン(MoSi)、ケイ化タンタル、ケイ化タングステン、ガラス上の不透明なMoSi(OMOG)等が含まれる。接着性を改善するために、不透明な層と透明基板との間にポリシリコン膜が加えられてもよい。不透明材料の上に、酸化モリブデン(MoO)、酸化タングステン(WO)、酸化チタン(TiO)、または酸化クロム(CrO)などの低反射膜が形成されてもよい。特定の例では、EUVレチクルは、(モリブデン(Mo)およびシリコン(Si)などの)低い吸収特性および(薄い反射防止酸化物で覆われたタンタルホウ素窒化物の膜などの)吸収体材料を伴う異なる屈折率の交互層を有する複数の層を備えてもよい。
レチクルという用語は、限定するものではないが、クリアフィールドレチクル、ダークフィールドレチクル、バイナリレチクル、位相シフトマスク(PSM:phase shift mask)、交互PSM、減衰型またはハーフトーン型PSM、ターナリ減衰型PSM、クロムレス位相リソグラフィPSM、およびクロムレス位相リソグラフィ(CPL:chromeless phase lithography)を含む様々な種類のレチクルを指す。クリアフィールドレチクルは、透明なフィールドエリアまたはバックグラウンドエリアを有し、ダークフィールドレチクルは、不透明なフィールドエリアまたはバックグラウンドエリアを有する。バイナリレチクルは、透明または不透明であるパターンの付いたエリアを有するレチクルである。例えば、クロム金属を吸着する膜によって規定されるパターンを有する透明な溶融シリカのブランクから作製されたフォトマスクを使用することができる。バイナリレチクルは、位相シフトマスク(PSM)とは異なり、その一種は、光を一部だけ透過させる膜が含み得、これらのレチクルは、一般に、ArFマスクおよびKrFマスクなどのハーフトーン型位相シフトマスクまたは埋め込み型位相シフトマスク(EPSM:embedded phase-shift mask)と呼ばれることがある。位相シフト材料が、レチクルの交互のクリアなスペース上に配置される場合、レチクルは、交互PSM、ALT PSM、またはレベンソン型PSMと呼ばれる。任意のレイアウトパターンに適用される位相シフト材料の種類の1つは、減衰型PSMまたはハーフトーンPSMと呼ばれ、これは不透明材料を部分的に透過膜、または「ハーフトーン」膜に置換することによって製造することができる。ターナリ減衰型PSMは、完全に不透明なフィーチャも含む減衰型PSMである。
次世代のリソグラフィは、極端紫外線(EUV、波長13.5nm)の使用に到来しており、極端紫外線は、通常の大気およびガラスに吸収される。このために、リソグラフィのEUVプロセスは、真空中で行われ、光学的な反射レンズ/ミラーが、EUVフォトマスク上への合焦のために使用され、半透明パターンおよび不透明パターンの代わりに、反射パターンおよび吸収体パターンを有する。
図1は、本発明の一実施形態によるマスクの近距離場の再現手順100を示す流れ図である。以下のマスク再現プロセス100は、再現されたマスクの近距離場についての様々な使用事例において以下さらに説明されるように、レチクルの商品寿命内の任意の適切な時に特定のレチクルまたは1セットのレチクルについて行われ得る。一例として、マスクの近距離場は、そのようなレチクルを用いて何らかのウエハを製造する前に、大量のウエハの製造の開始前に、またはそのようなレチクルの再評価中に再現され得る。
動作102において、最初、マスクの少なくとも3つの画像が、マスク検査ツールを用いて異なるイメージング構成で取得される。代替として、2つの画像が使用されてもよいが、3つの画像の使用がよく機能することが分かっている。異なるイメージング構成を用いた取得は、同時または連続的であってもよい。取得した画像は、場の平面にある必要はない。一例として、2つ以上の画像が、回折強度に直接アクセスできる瞳平面で取得されてもよい。
照明構成および/または集光構成の様々な適切な組み合わせが、2つ以上の画像を取得するために利用されてもよい。一般に、様々なイメージング構成が、マスクの近距離場を計算することができる画像を与えるように選択される。任意の適切なイメージングまたは光学構成が、マスクの近距離場が様々な動作条件の下で同じままであるように選択され得る。例には、コレクションビーム等の異なる部分をぼんやりさせるために、異なる焦点設定、異なる照明形状(例えば、異なる方向もしくはパターン)、照明瞳の全体または様々な照明瞳の部分についての異なる偏光、異なるアポダイゼイション設定が含まれる。一実施形態では、合焦および脱焦による異なる焦点設定(0の合焦、±800、または±1600脱焦など)が、異なる画像を取得するために使用されてもよい。別の例では、照明瞳の異なる四分円は、異なる偏光設定を有することができる。別の例では、イメージング構成は、異なる瞳の形状および/または異なる焦点条件を用いた透過画像などの高解像度の画像を含むことができる(例えば、ArFマスクの場合)。別の実施形態では、異なる瞳の形状および/または異なる焦点条件を用いた3つ以上の反射画像を得ることができる(例えば、EUVマスクの場合)。
レチクルは、比較的低いNA(例えば、0.5未満)を用いて「実質的に低い分解能」でイメージングすることができる。対照的に、「実質的に高解像度の画像」は、一般に、レチクル上にプリントされた特徴が(画像を生成するのに使用されるレチクル検査システムの光学的限界内で)それらがレチクル上に形成されているものとして実質的に現れるレチクルの画像を指す。レチクルの「実質的に高解像度の画像」は、実質的に高解像度のレチクル検査システム(例えば、0.8よりも大きい開口数(NA))を用いてレチクル平面で物理的なレチクルをイメージングすることによって生成される画像である。レチクルの画像を生成するのに使用される「実質的に低いNA」は、レチクルの画像をウエハ上へ投影するために露光/リソグラフィシステムによって使用されるレチクル側のNAとほぼ同じとすることができ、それによってレチクル上の特徴をウエハ上へ転写する。実質的に低いNAの画像(またLNI)では、レチクルの特徴は、実際のレチクルの特徴とはかなり異なる外観を有し得る。例えば、レチクルの特徴は、特徴のLNIにおいて、レチクル上に形成されている実際の特徴よりも丸い角を有するように現れ得る。
概して、任意の適切なイメージングツールは、マスクの近距離場の再現プロセスに使用され得る。本明細書中に記載されているいくつかの実施形態では、最初の再現プロセスの結果は、特定の検査ツールからのさらなるレチクル画像に基づいて、同じレチクルまたは他のレチクルに関してパターンの安定性または欠陥検出の評価に後で使用されてもよい。これらの使用事例における一貫性のために、マスクの近距離場の再現のためのレチクルの画像は、同じレチクルまたは他のレチクルの続く検査に使用されるレチクル検査システムの検出器を用いて、または同様に構成されたレチクル検査システム(例えば、検査に使用されるレチクル検査システムと同じ型およびモデルの異なるレチクル検査システム)の同様に構成された検出器を用いて取得され得る。言い換えれば、マスク再現に使用され得る画像は、続くマスク検査中および評価プロセス中に使用されるのと同じ光学的条件の下で取得され得る。このようにして、レチクルと検査システムの照明用の電磁波の相互作用は、できる限り直接的に測定することができる。
代替の実施形態では、マスクの近距離場の再現に使用されるツールは、レチクル検査システムとは異なり得る。例えば、イメージングツールは、レチクルがウエハの製造に使用されるリソグラフィシステムと同じ波長(例えば、DUVの場合波長193.3nm、またはEUVの場合13.5nm)を利用することができる。実際には、任意の適切な電磁波長が、マスクの近距離場の再現に使用され得る。
図示した例に戻ると、動作104において、3つ以上の画像を互いに位置合わせすることができ、または各画像を、事後OPCのデータベースに対して位置合わせすることができる。例えば、取得した画像は、空間領域または周波数領域の方法によって位置合わせすることができる。位置合わせの調整は、使用される検査システムの特定の幾何学的形状に依存し得る。異なる画像が異なる収集経路を用いて得られる場合、画像の一部調整は、光路の差を補償するように行われ得る。
イメージングツールでは、様々なパターンを有するレチクルは、多くの方向から入射する電磁(EM)波によって照明される。この入射光は、様々に互いに干渉する異なる電磁場の位相でマスクパターンの様々な点から回折させられる。レチクルの近距離場は、レチクルから数波長の近距離にある電磁場である。
集光光学系は、一般に、画像を形成するために、レチクルから検出器(またはウエハ)の方へ回折が制限された部分の光を向ける。検出器は、マスクの近距離場による干渉の結果である強度を検出するが、位相を検出しない。
遠距離場の強度が検出された信号に得られるが、振幅および位相を含むマスクの近距離場を再現することが望ましい。例示の実施形態では、動作106に示されるように、マスクの近距離場は、そのような取得したマスク画像に基づいて再現され、記憶される。複数の画像(または信号)は、一般に、位相成分と振幅成分の両方を含むマスクの近距離場を再現するのに使用される。近距離場のデータは、レチクルから取得される画像に基づいて回帰技法によって決定することができる。例えば、レチクルの選択部分の近距離場は、検出器平面で記録される画像のその取得した光学的な画像または強度から準ニュートン法または共役勾配法を用いて再現する(回帰する)ことができる。加えて、任意の他の適切な回帰方法および/またはアルゴリズムが、1つまたは複数の実際の画像から近距離場のデータを決定するのに使用されてもよい。
マスクの近距離場の再現は、観察された強度画像と仮定されたマスクの光学的な場の結果として得られた画像との間の差を最小にしようとする最適化問題を解くことによって実現することができる。特に、その強度の画像からレチクルの近距離場を再現することは、逆問題または回帰問題である。近距離場は、コスト関数(例えば、エネルギーまたはペナルティ関数)を最小にすることによって繰り返し再現することができる。最小化されるペナルティは、取得した画像とマスクの近距離場から計算される検出器での強度の画像の間の自乗差の合計であり得る。言い換えれば、強度の画像は、光学系の特性の様々なセットについて最終的なマスクの近距離場から計算することができ、これらの計算された画像は、マスクの近距離場が見出されるとき、取得した画像に最もぴったり適合する。様々なマスクの近距離場の再現の手法およびシステムの実施形態は、アブドゥラフマン セズジナー(Abdurrahman Sezginer)らによる2016年10月25日に発行された米国特許第9,478,019号にさらに説明されており、この特許は全ての目的について全体として参照により本明細書に組み込まれる。
様々な光学的条件下で複数の画像が取得される場合には、再現された近距離場のマスクmは、位相および振幅の情報を運ぶものであり、以下の式によって決定することができる。
Figure 2022164702000002
上記の式1において、Iαは、イメージング条件αについて測定された画像であり、
Figure 2022164702000003
は、検査イメージングシステムを記述する1セットの固有ベクトルであり、
Figure 2022164702000004
は、イメージングシステムについての1セットの対応する固有値であり、cαは、0から1の間の非負の重み係数である。上記の式は、例えば、準ニュートンまたは共役勾配などの方法によって繰り返し解くことができる。
別の例は、場の平面の画像と瞳平面の回折次数の組み合わせが対象の振幅と位相の両方を解くのに利用され得るGerchberg-Saxtonアルゴリズムである。
一実施形態では、マスクの近距離場は、ホプキンス近似によって取得した画像に基づいて決定することができる。別の実施形態では、回帰は薄いマスクの近似(thin-mask approximation)を含まない。例えば、レチクルの近距離場は、垂直に入射する平面波によってレチクルが照明されるときにレチクルの表面近くに存在するように計算される電磁場である。リソグラフィおよび検査では、レチクルは、多くの方向から入射する平面波によって照明される。入射の方向が変わるとき、ホプキンス近似によれば、回折次数の方向は変わるが、それらの振幅および位相はほぼ変わらないままである。本明細書中に記載された実施形態は、ホプキンスの位相近似を使用することができるが、いわゆる薄いマスクまたはキルヒホッフの近似を行わない。
再現の公式化は、
Figure 2022164702000005
のように近距離場における振動にペナルティを課す異なるノルムまたは正規化項Rの付加で変えることもでき、ただし、正規化項Rは、マスクの基板/材料の物理的な理解に基づいて近距離場または予想についての先の情報を組み込むことができる。加えて、画像の差に使用されるノルムは、1のノルムとすることができ、最適化関数の特定の必要性に基づいて調整され得る。
興味深い留意点として、より高いNAの結果としてのマスクの電磁場のベクトルの干渉は、より高いNAについてより広い範囲の光の入射角および関連した干渉する電場成分により、(低いNAの検査システムよりも)大きくなる。
実際のマスクは、マスク書き込みプロセスにより意図した設計パターンから変わり得る。マスクの画像から近距離場のマスクを得ることは、そのような近距離場のマスクが、設計データベースではなく、実際の物理的なマスクから得られることを意味する。すなわち、マスクの近距離場は、設計データベースを用いることなく再現することができる。
次いで、マスクの近距離場の結果は、様々な用途に使用され得る。一実施形態では、マスクの近距離場の結果は、1つ以上のモデルを用いてウエハパターンを予測するのに使用することができる。すなわち、再現されたマスクの近距離場は、リソグラフィの画像をシミュレートするのに使用することができる。任意の適切な技法が、マスクの近距離場の画像に基づいてリソグラフィの画像をシミュレートするのに使用することができる。一実施形態は、部分コヒーレンスモデル、すなわち、
Figure 2022164702000006
によるリソグラフィの画像の計算を含む。
ただし、λは、リソグラフィTCCの固有値(伝達断面係数(transfer cross coefficient))を表し、
Figure 2022164702000007
は、TCCの固有ベクトル(カーネル)を表し、sは、膜屈折率を含むウエハのスタック(wafer stack)であり、fは、焦点であり、zは、レジスト材料におけるリソグラフィ平面の垂直位置である。式2の伝達断面係数(TCC)は、ウエハ上の膜のスタックを含むリソグラフィプロジェクタによる場のベクトル伝搬を含み得る。
ウエハの結果を予測するモデルを使用する前に、モデルは、できるだけ正確な結果を生み出すように較正されてもよい。モデルは、任意の適切な技法を用いて較正することができる。本発明のいくつかの実施形態は、較正マスクから再現されるマスクの近距離場の結果に基づいてリソグラフィモデルを較正する技法を提供する。代替の実施形態では、設計データベースは、モデルを較正するのに使用される。例えば、較正レチクルの画像は、設計データベースから描画され得る。
典型的には、較正レチクルは、欠陥検出のために検査されるまたは計量目的のために測定されるレチクルとほぼ同様である特性を有するように設計されている。例えば、較正レチクルおよびテストレチクルは、ほぼ同じ厚さおよび組成を有するほぼ同じ材料から製造されることが好ましい。加えて、2つのレチクルは、同じプロセスを用いて形成されているとよい。2つのレチクルは、レチクル上のパターンがほぼ同じ(例えば、線が同様の幅を有する等)であるセグメントに分けることができる限り、必ずしも同じパターンがその上に印刷されていなくてもよい。加えて、検査されるレチクルおよび画像を取得するために使用されるレチクルは、全く同一のレチクルとすることができる。
図2は、本発明の特定の実施形態によるモデル較正プロセス200を示す流れ図である。図示するように、フォトリソグラフィプロセスおよびフォトレジストは、動作208における最初のセットのモデルパラメータを用いて較正レチクルから再現されるマスクの近距離場の画像(201)に適用されるときにモデル化され得る。代替として、較正プロセス200は、設計データベースからシミュレートされるシミュレートされた較正レチクル画像(202)を使用することができる。レチクル画像は、設計データベース上でレチクル製造およびイメージングプロセスをシミュレートすることによってデータベースから描画され得る。任意の適切なモデルは、設計データベースの特徴についての光学像を生成するために使用され得る。一例として、そのようなシミュレーションは、本明細書中に説明されるコヒーレンス系の合計(SOCS:Sum Of Coherent Systems)、またはアッベ方式(Abbe methodologies)を用いることを含むことができる。知られている設計データベースから光学系の強度画像をシミュレートできるいくつかのソフトウェアパッケージが存在する。一例は、ドイツ、エアランゲンのFraunhofer IISBで開発されたDr.LiTHOである。設計データベース202から画像をシミュレートする場合には、まず、近距離場が、シミュレートされ得、これは、数ある中で、上述したソフトウェアパッケージ、ならびにKLA-TencorによるProlith、Panoramic TechnologiesによるHyperLithを含むいくつかの他のパッケージによってなされ得る。
レチクルの近距離場の画像に基づいてウエハ画像を生成するモデルは、モデルは、フォトリソグラフィスキャナの影響だけを含むことができ、モデルは、レジスト、エッチング、CMP、または任意の他のウエハプロセスの影響も含むことができる。プロセスをシミュレートするモデルツールの一例は、カリフォルニア州ミルピタス(Milpitas)のKLA-Tencor Corpから入手可能なProlithである。レジストプロセスおよびエッチングプロセスは、厳密にまたはおおよそモデル化することができる。特定の実施形態では、モデルは、特定のレジスト材料および構成内部の3Dの酸拡散を含むコンパクトなレジストのモデルの形態にあることができ、それと共に課される境界条件、および単一の閾値が、潜在的な画像を形成するように適用される。
モデル化されたリソグラフィツールは、レチクルの実際の画像を取得するために、レチクル検査ツールと異なる照明の形状または源を有することができることに留意されたい。いくつかの実施形態では、モデル化されたリソグラフィツールは、レチクル検査ツールと同じまたは類似する源を有することができる。
SOCSまたはAbbeなどの他のシミュレーション手法が使用されてもよい。コヒーレンス系の合計(SOCS)として一般に知られているアルゴリズムは、イメージングシステムを一群の線形システムに変換しようと試みるものであり、その出力は、平方、拡大縮小および合計される。SOCS法は、ニコラス コブ(Nicolas Cobb)の博士論文、「Fast Optical and Process Proximity Correction Algorithms for Integrated Circuit Manufacturing」、University of California、Berkeley、1998年春などの他の場所で説明されている。アッベアルゴリズムは、各点源についてオブジェクトの画像を1つずつ計算することを含み、次に強度画像を一緒に加算し、各源の点の相対強度を考慮に入れる。
モデルおよびそのモデリングパラメータの入力は、再現された近距離場マスクに適用されるセットのプロセス条件を含む。すなわち、このモデルは、再構築された近距離場のマスク(またはシミュレートされたマスク画像)に関する様々なセットのプロセス条件をシミュレートするように構成されている。それぞれの1セットのプロセス条件は、一般に、マスクからウエハパターンを形成するためのウエハプロセスを特徴付けるか、または一部を特徴付ける1セットのウエハ製造のプロセスパラメータに対応する。例えば、焦点および露光の特定の設定をモデルに入力することができる。他の調整可能なモデルパラメータは、以下のパラメータのうちの1つ以上を含むことができる。すなわち、投影レンズの波面パラメータ、アポダイゼイションパラメータ、色収差焦点誤差パラメータ、振動パラメータ、レジストプロファイルインデックス、レジスト被膜メトリック、トップロスメトリックなどである。様々なセットのプロセス条件を有するそのようなモデルの使用は、異なる処理条件下で再構築された近距離場のマスクによって形成された1セットのシミュレートされたウエハまたはレジストパターンの画像になり得るとともに、これらのシミュレートされたウエハ画像は、本明細書中にさらに説明されるようにパターンの安定性および欠陥検出の評価に使用することができる。
較正レチクルも、較正ウエハを製造するのに使用されてもよく、動作216において、そこから実際の画像が得られる。一例では、実際の画像は、測長(CD:critical dimension)走査型電子顕微鏡(SEM)を用いて取得される。他のイメージングツールが利用されてもよいが、高分解能のツールが好ましい。
概して、較正ウエハは、任意の個数の知られている構造を含み、この構造は、幅広く変わり得る。この構造は、典型的には周期的である格子の形態であり得る。各格子は、例えば、ライン空間の格子として一方向(XまたはY)に周期的とすることができ、または各格子は、例えば、グリッド空間の格子として二方向(XおよびY)に周期的であり得る。グリッド空間の格子の例は、Y方向にラインのアレイを含みことができ、各ラインは、X方向に区分されている。別のグリッド空間の例は、ドット構造のアレイである。すなわち、各構造は、ライン空間の格子、グリッド空間の格子、チェッカ盤のパターン構造等の形態をとることができる。構造の設計特徴には、それぞれ、ライン幅(特定の高さでの幅)、ライン空間の幅、ライン長さ、形状、側壁角度、高さ、ピッチ、格子の向き、上部プロファイル(上部丸みまたはTトッピングの程度)、下部プロファイル(フッティング(footing))等が含まれ得る。較正ウエハは、これらの特徴の特性の様々な組み合わせを有する構造を含むことができる。恐らく理解されるように、異なる構造の特性(異なる幅、間隔、形状、ピッチ等など)は、合焦に異なる応答を示し、したがって好ましくは、較正マスクは、異なる特性を有する異なる構造を含む。
特定の実施形態では、較正ウエハは、異なる処理条件を受けた異なる測定箇所を有する「実験計画(DOE:Design of Experiments)」ウエハの形態をとることができる。より一般的な実施形態では、プロセスパラメータの変動は、(DOEウエハと呼ばれる)半導体ウエハの表面上であるパターンに組織化される。このようにして、測定箇所は、様々な関連したプロセスパラメータ値を有するウエハ表面上の様々な位置に対応する。一例では、DOEパターンは、焦点/露光のマトリックス(FEM:Focus/Exposure Matrix)のパターンである。典型的には、FEMパターンを示すDOEウエハは、グリッドパターンの測定箇所を含む。1つのグリッド方向(例えば、x方向)において、露光量は、焦点の深さが一定に保持される間に変化させられる。直交したグリッド方向(例えば、y方向)において、焦点の深さは、露光量が一定に保持される間に変化させられる。このようにして、FEMウエハから収集された測定データは、焦点および照射量のプロセスパラメータの知られている変動に関連したデータを含む。
FEM測定箇所は、一般に、焦点露光のマトリックスウエハ(focus exposure matrix wafer)全体にわたって設けられている。実際には、一般に、場ごとに1つまたは複数の測定箇所が存在し得る。各場は、焦点と露光の様々な組み合わせを用いて形成することができる(または焦点もしくは露光だけであってもよい)。例えば、第1の場は、第1の組み合わせを用いて発生させることができ、第2の場は、第1の組み合わせとは異なる第2の組み合わせを用いて発生させることができる。複数の組み合わせは、変化する焦点と変化する露光、変化する焦点と一定の露光、一定の焦点と変化する露光、などを用いて発生させることができる。
測定箇所の個数も異なってもよい。場あたりの箇所の個数は、一般に、製品ウエハ上のリアルエステート(real estate)がとても価値があるので、製品ウエハ上ではより少ない。また、生産時の時間的制約により、FEMウエハ上よりも製品ウエハ上でより少ない測定が行われる。一実施形態では、場ごとに単一の箇所が測定される。別の実施形態では、場ごとに複数の箇所が測定される。
大部分のFEMの場合には、測定箇所の構造は、異なる処理パラメータを用いて同様に設計されたパターンから形成される。しかしながら、異なる焦点露光のマトリックスは、異なる構造を有し得ることに留意されたい。例えば、第1のマトリックスは、第1の格子タイプを用いて実行することができ、第2のマトリックスは、第1の格子タイプとは異なる第2の格子タイプを用いて実行することができる。
代替の実施形態では、較正レチクルについて設計データベースから描画されるシミュレートされる較正画像(202)は、モデルへの入力として使用され得る。すなわち、モデルは、物理的な較正レチクルから近距離場を再現することなく較正され得る。その代わり、リソグラフィの画像は、設計データベースから近距離場をシミュレートし(再現せず)、リソグラフィイメージングのモデルをシミュレートされた近距離場に適用することによってシミュレートされて、ウエハからの実際の結果(216)と比較されるリソグラフィの結果に到達する。
一般に、任意の1セットのプロセスパラメータ、構造パラメータ、または両方の知られている変動に関連した光信号データが考えられる。形態にかかわらず、較正ウエハの構造は、様々な異なるウエハの層にプリントされ得る。詳細には、プリントされた構造は、概して、標準的なリソグラフィプロセスを用いて(例えば、レチクルを通じてフォトレジストがコーティングされたシリコンウエハ上へ回路イメージを投影して)フォトレジストの層にプリントされる。ウエハは、テストプロセスにおけるそのステップで製品ウエハに典型的に存在する材料に対応する材料の層を有する較正ウエハであり得る。プリントされた構造は、下に横たわる層内の他の構造の上にプリントすることができる。較正ウエハは、ワーキングデバイス(working device)をもたらす潜在性を有する製品ウエハであり得る。較正ウエハは、モデルを較正するためだけに使用される単純なウエハであり得る。較正ウエハは、OPC設計モデルを較正するのに使用される同じウエハであり得る。2つ以上の較正ウエハが、リソグラフィモデルを較正するのに使用されてもよい。複数の較正ウエハを用いるとき、同じ較正レチクルが使用されても、異なる較正レチクルが使用されてもよい。異なる較正レチクルは、より幅広い範囲の画像データを生成するように異なる寸法を有するパターンを有することができる。
較正の構造を形成するのに使用されるプロセスパラメータは、一般に、所望の仕様内にパターンの特性を保つように設定されている。例えば、較正の構造は、較正手順の一部として較正ウエハ上にプリントすることができ、または較正の構造は、生産中に製品ウエハ上にプリントすることができる。生産中、典型的には、較正の構造は、製品ウエハに配設されたデバイスエリア同士(例えば、ICを画定するダイ同士)間にラインを刻み付ける際にプリントされる。測定箇所は、デバイス構造のまわりに配設された専用の較正の構造であり得、または測定箇所は、デバイス構造(例えば、周期的な部分)の一部であり得る。恐らく理解されるように、デバイス構造の一部を使用することは、より困難であり得るが、それはデバイス構造の一部であるので、それはより正確となる傾向となる。別の実施形態では、較正の構造は、較正ウエハ全体にわたってプリントすることができる。
図2に戻ると、動作210において、対応するモデル化された結果および較正の結果(例えば、画像)が比較され得る。次いで、モデルパラメータが動作212において調整されるべきか決定することができる。モデルパラメータが調整されるべき場合、動作214において、モデルパラメータが調整され、手順200によって、調整されたパラメータを用いてリソグラフィプロセス(およびレジスト)をモデル化するために動作208を繰り返す。モデルパラメータは、モデルと較正の画像の間の差の定量が予め定められた閾値未満でもある最小値に到達するまで調整することができる。最小化される量は、取得した較正の画像とシミュレートされた画像の間の自乗差の合計とすることができる。このプロセス200の出力は、リソグラフィ/レジストのモデルおよびその最終的なモデルパラメータである。この1セットのモデルパラメータは、マスクの近距離場を用いることの本質によって、マスクのプロセスモデリングおよびマスクの3D回折計算に関連した技術的ハードルを克服する。
再現されたマスクの近距離場の結果に基づいてシミュレートされたウエハパターンは、いくつかのマスクの検査、計量、および/または評価の目的のために使用され得る。一実施形態では、レチクル評価は、再現されたマスクの近距離場がシミュレートされたウエハの製造条件の範囲の下でウエハのパターンの欠陥になる可能性があるかを推定することによって行われる。欠陥検出については、ウエハ上のレチクル欠陥の転写性が重要であり、レチクル欠陥の転写性は、レチクルの近距離場およびリソグラフィシステムに直接依存する。
特定のプロセスのための最終的な較正済みのリソグラフィ/レジスト/エッチングのモデルが、そのようなモデルの得られ方にかかわらず得られた後、そのようなモデルは、そのようなマスクを用いてウエハを製造する前に、またはそのようなマスクの再評価のためにマスクから正確なウエハ平面のレジスト画像を(例えば、現像後またはエッチング後に)生成するのに使用することができる。これらのレジスト画像は、高い忠実度でおよび異なる焦点および露光の設定または他のリソグラフィパラメータによって任意の検査パターンについてウエハ画像を人が査定することを可能にする。この評価プロセスはウエハ製造前に行うことができるので、評価および欠陥検出のサイクルはかなり短縮することができる。シミュレートされたウエハ画像は、リソグラフィ後、レジストモデルの適用後、およびエッチング後、シミュレートされたウエハ画像を比較することによって異なるパターニングに問題の根本的な原因の分離を可能にすることもできる。
図3は、本発明の一実施形態によるレチクル評価プロセス300を表す流れ図を示す。動作302において、マスクの近距離場の画像は、例えば、特定のレチクルについて、そのような特定のレチクルから取得された画像に基づいて再現される。この動作は、図1のマスクの近距離場の再現の動作を含み得る。マスクの近距離場が得られた後、動作303において、リソグラフィプロセス(およびレジスト)は、計算された近距離のマスク場に関連した最終的なモデルパラメータを用いてモデル化することができる。例えば、最終的なモデルは、マスクの近距離場画像を用いてウエハ画像をシミュレートするために使用される。
次いで、動作322において、シミュレートされたウエハパターンは、パターンの安定性を決定しおよび/または欠陥の位置を突き止めるために査定され得る。対応するレチクルが不安定なウエハまたは結果のウエハのパターンになる可能性があるかを一般的に決定することができる。一実施形態では、モデルは、様々なプロセス条件下でレチクルの設計安定性を査定するために、焦点および照射量などの複数の異なるプロセス条件を用いてマスクの近距離場画像または結果に適用される。
図4Aは、本発明の一応用例によるウエハパターンの安定性を決定するためのプロセス400を示す流れ図である。動作402において、最初、各テスト画像は、異なるセットのプロセス条件下でモデルによってやはり生成されたその対応する参照画像と位置合わせすることができる。異なるテストおよび参照画像が、異なる処理条件/パラメータ下でモデルによって計算される。
動作404において、位置合わせされた画像の各ペアは、1つまたは複数のウエハパターンの差を得るために、互いに比較することができる。次いで、動作406において、閾値は、各ウエハパターンの差に関連付けることができる。ウエハパターンの差およびそれらの関連した閾値はパターンの安定性を一緒に特徴付けるのに使用することができる。すなわち、異なるシミュレートされたプロセス条件下の特定のパターンの偏差量(パターンの差)、およびそのような偏差が関連した閾値を横切るのかにより、パターンの安定性を一緒に特徴付ける。製造プロセスのプロセスウィンドウは、予期された量または定められた量のプロセスの偏差を特定し、それによって結果として得られたパターンが、それらが安定のままであり、またはある特定の許容差(例えば、閾値)内にあることを確実にするように査定される。
パターンの安定性を査定するための異なる閾値を、レチクルの様々なエリア、したがって対応するウエハパターンに割り当てることができる。閾値は、全て同じであってもよく、あるいはパターンの設計内容、パターンのMEEF(または以下にさらに説明されるようなマスクエラー増大因子(Mask Error Enhancement Factor))レベル、またはウエハパターンの変化に対する装置性能の感度等などの様々な要因に基づいて異なってもよい。例えば、人によっては、レチクル半高密度領域と比較して高密度領域内のパターンについてよりきつい閾値を選びことができる。
パターン弱点のうちの最初の1セットのホットスポットまたはエリアは、参照マスクパターンとテストマスクパターンの両方で適宜特定され得る。例えば、設計者は、装置の機能にとって重要な設計のホットスポットの座標のリストを用意することができる。例えば、ホットスポットとして定められたエリアは、1つの検出閾値を割り当てることができ、一方、非ホットスポットのエリアは、(欠陥検出のために)より高い閾値を割り当てることができる。この差別化は、検査リソースを最適化するために使用することができる。
このパターンの安定性の評価を使用して、レチクル評価を助け、それによってこの分野における多くの課題を克服することができる。集積回路(IC)の密度および複雑度は、増加し続けているので、フォトリソグラフィのマスクパターンの検査は、ますますより困難になっている。ICの全ての新しい世代は、現在リソグラフィシステムの光学的限界に到達し超えるより密度が高くより複雑なパターンを有する。これらの光学的限界を克服するために、光近接効果補正(OPC)などの様々な解像度を向上する技法(RET:Resolution Enhancement Technique)が、導入されている。例えば、OPCは、結果として得られるパターンが元の所望のパターンに対応するようにフォトマスクパターンを改善することによって、いくらかの回折限界を克服するのを助ける。そのような改善は、メインのIC特徴、すなわち転写性のある特徴のサイズおよびエッジの乱れを含むことができる。他の改善は、パターンの角へのセリフの付加を伴い、および/または近くでサブレゾリューションアシストフィーチャ(SRAF:sub-resolution assist feature)を与えるものであり、これらは、プリントされた特徴になることが予期されず、したがってプリント可能でない特徴と呼ばれる。これらのプリント可能でない特徴は、さもなければプリントプロセス中に生じてしまうパターン乱れをキャンセルすることが期待される。しかしながら、OPCは、マスクパターンをいっそうより複雑にさせ、通常、結果として得られるウエハ画像を非常に類似しないものにする。さらに、OPCの欠陥は、多くの場合、転写性のある欠陥に変化することはない。フォトマスクパターンの複雑度の増加、および全てのパターンの要素がプリントされるパターンに直接影響を及ぼすことが予期されるとは限らないことは、有意義なパターン欠陥についてのフォトマスクの検査の作業をよりずっと難しいものにさせる。半導体産業がいっそうより小さい特徴へ移るときに、最先端の製造業者は、逆リソグラフィ技術(ILT:inverse lithography technology)などのさらに新型のOPCの使用を始めており、これによって、マスク上のパターンが非常に複雑になっている。したがって、ウエハを物理的に作製する前に、マスク書き込みの忠実度、およびそのウエハのプリント品質を知ることが非常に望ましい。
欠陥の重要性の基準の1つは、そのMEEFすなわちマスクエラー増大因子である。この因子は、マスク平面内の欠陥のサイズを、それがプリントされた画像上で有する衝撃の大きさに関連付ける。高いMEEFの欠陥は、プリントされたパターンに大きな影響を与え、低いMEEFの欠陥は、プリントされたパターンにあまり影響を与えない、または少しも影響を与えない。パターンの密集した細かいラインの部分における小型のメインパターンの特徴は、高いMEEFを有する欠陥の一例であり、小さいマスク平面のサイジングエラーは、プリントされたパターンの完全な崩壊を引き起こし得る。隔離された小さいピンホールは、低いMEEFを有する欠陥の一例であり、欠陥自体があまりに小さくてプリントできず、そのエッジのプリントされ方に影響を及ぼさないように最も近いメインパターンのエッジから十分に距離がある。これらの例が示すように、欠陥のMEEFは、欠陥タイプおよび欠陥が位置するパターンの状況のいく分複雑な関数である。
よりかなり大きいウエハ欠陥を引き起こすより高いMEEFのマスク欠陥に加えて、ある設計パターン、および対応するマスクパターンは、プロセスの変化に対して他の設計およびマスクパターンよりも頑健であり得る。製造プロセスが最適なプロセス条件から漂い始めるときに、ある種のマスクパターンは、よりかなり大きいウエハパターンの乱れおよび欠陥になり得る。
図4Bは、本発明の別の実施形態による欠陥検査手順450を示す流れ図である。動作452において、各モデル化されたテストウエハ画像を、その対応する参照画像と位置合わせすることができる。一実施形態では、ダイツーダイ(die-to-die)またはセルツーセル(cell-to-cell)の位置合わせが達成され得る。別の実施形態では、モデル化されたテストウエハ画像は、対応する事後OPCの設計から描画された参照画像と位置合わせされる。例えば、事後OPCの設計は、そのような設計についてレチクルの製造プロセスをシミュレートするように処理される。例えば、角は、丸められる。概して、参照画像は、より早い時間にテスト画像と同じダイから生じ、隣接した同一のダイから生じ、または設計データベースから描画され得る。特定の例では、参照画像は、(例えば、レチクルが製造および評価された直後に)欠陥がないことが証明された「ゴールデン」ダイから得られる。欠陥がないことが知られたときにレチクルから得られたゴールデンレチクルの画像は、記憶され、後で、必要とされるときに、要求に応じて、ゴールデンレチクルの近距離場の画像およびウエハ画像を計算するために使用され得る。代替として、ゴールデンレチクルの近距離場の画像は、将来の検査において近距離場を再計算する必要のない素早いアクセスのために記憶され得る。
動作454において、位置合わせされたテスト画像および参照画像の各対は、レチクルの欠陥の位置を突き止めるために関連した閾値に基づいて比較される。さらに上述されるように、任意の適切なメカニズムを使用して閾値を特定のレチクルエリアに関連付けることができる。テスト画像および参照画像の任意の適切な計量が、比較され得る。例えば、テストおよび参照のウエハ画像の輪郭が、エッジ配置の誤差(EPE)についての計量として比較され得る。
次いで、動作456において、レチクルの欠陥ごとに、対応するシミュレートされたウエハの欠陥のエリアは、その対応する参照事前OPCのエリアと比較することができる。すなわち、シミュレートされたウエハパターンは、レチクルの欠陥が意図された設計から変わるウエハの欠陥になるか決定するために査定される。
図3に戻ると、次いで、動作324において、シミュレートされたレチクル画像に基づいて設計に欠陥があるか決定され得る。一実施形態では、特定の範囲のプロセス条件(またはプロセスウィンドウ)の下で設計パターンが容認できないウエハパターンの変化になるのか決定される。プロセスの変わりやすさによりかなり大きな差が存在するか決定される。異なったように処理されたウエハパターンの間の差が対応する閾値よりも大きい場合、そのようなウエハパターンは、欠陥とみなされ得る。これらの系統的な欠陥は、ホットスポットとして知られている。レチクルからのシミュレートされたウエハパターンとその対応する事前OPCパターンとの間の何らかの差が、予め定められた閾値を超えたかも決定され得る。設計に欠陥があると決定される場合、動作332において、設計が改善され得る。
レチクルの設計が検証されると、レチクルは、監視されるべきホットスポットをまだ含む可能性があり得る。以下の動作は、少なくともいくつかの特定されたホットスポットが存在するマスクに対して実施されると説明される。もちろん、マスクが何ら特定されたホットスポットの動作を含まない場合、図3の以下の動作は、スキップされてもよく、マスクは、ホットスポットの監視が製造中および検査中に行われることなく使用されてもよい。
示された例では、設計に欠陥があるとみなされない場合、次いで、動作326において、ホットスポットを監視できるかを決定することができる。ホットスポットが監視され得ると決定される場合、次いで、例えば、動作334において、ホットスポットは、ウエハプロセス中に監視することができる。例えば、ホットスポットのパターンは、ウエハの製造中に監視することができ、それによってプロセスが仕様から外れ、対応するウエハパターンに、容認できない値に変化する重要なパラメータを有するようにさせたかが判断される。一実施は、対応するホットスポットのレチクルおよび/またはウエハパターンの検査のために比較的高いMEEFのレベルを設定することを含み得る。条件が公称のプロセス条件からさらに離れるのにつれ、CDまたはEPEはより大きくなる可能性があり、ウエハ製造プロセスの完全性を危うくさせ得る。
ホットスポットのパターンは、そのような変化が元の意図された設計(例えば、事前OPCのデータ)とどのように比較するのかにかかわらず、テストマスクパターンが予め定められた量だけ変化するときに単に特定することができる。言い換えれば、異なるプロセス条件下での物理的なマスクパターンのかなり大きな変化は、意図された設計パターンに関する問題を示し得る。対応するモデル化された画像部分間の差は、設計されたパターンおよび製造されたマスクへのプロセス条件の影響の差を表す。特定の設計パターンに関連した差は、一般に、「設計のホットスポット」、または単に「ホットスポット」と呼ばれ、恐らくやはり、製造されたマスクに関して試験された特定のプロセス条件に関しての設計の弱点を表す。異なるプロセス条件についてモデル化された画像間で見つかり得る差の種類の例は、CD(critical dimension)またはEPE(edge placement error)である。
別の実施形態では、モデルが事後OPCの設計データベースに適用される場合、結果として得られるウエハパターンは、設計者によってウエハ上にプリントされることが意図されるパターンに対応し得る。適宜、モデルを事後OPCのデータベースに適用することから得られる結果を使用することができ、モデル化された画像は、ホットスポットの検出を改善する。例えば、事後OPCのデータベースのモデルは、設計の影響だけを考慮に入れ、したがって設計へのウエハプロセスの影響と製造されたマスクへのウエハプロセスの影響を分離するために使用することができる。マスクの近距離場からのモデル化されたパターンは、対応する事後OPCのパターンからのモデル化されたウエハ画像と比較することができる。例えば、様々なプロセスの変化についての1セットのモデル化されたウエハパターンが、同じプロセスの変化についての対応するモデル化された事後OPCのウエハパターンに適合するとき、プロセスの変化によるウエハパターン(またはレジストパターン)の変化は、マスクパターン中の欠陥からではなく設計パターンから生じることが決定され得、これは、再設計または監視され得る。しかしながら、事後OPCのデータベースからのプロセスの変動によるウエハ上の変化が、再現されたマスク(またはマスクの近距離場)からの同じプロセスの変動によるウエハ上の変化とは異なる場合、これらのホットスポットは、実際のマスクからのホットスポットから生じるとみなされ、これは、修理または監視することができる。
シミュレートされたウエハ画像の差を解析して、製造プロセスにおける露光中にレチクルの変化が生じるときに、ダイを横切ってまたは経時的にウエハのCD均一性(CDU)計量を決定することもできる。例えば、CDは、分解能が十分高い場合、ターゲットのエッジ間の距離を解析および測定することによって各画像のターゲットごとに測定され得る。代替として、カール E ヘス(Carl E.Hess)らによって2015年3月20日に出願した米国特許出願第14/664,565号、およびルイ ファン シ(Rui-fang Shi)らによって2014年10月6日に出願された米国特許出願第14/390,834号にさらに説明されるように、参照画像とテスト画像の間の強度差は、CDの変動量に較正および変換され得るものであり、これらの出願は、全ての目的について全体として参照により本明細書に組み込まれる。
動作328において、レチクルが修理されるべきかを決定することもできる。予想されるウエハパターンの変動は、リソグラフィプロセス中に使用されることが予期されるプロセスウィンドウについての仕様外であることが決定され得る。いくつかの場合では、動作336において、レチクルは、修理される欠陥を含み得る。次いで、レチクルが評価され得る。さもなければ、レチクルは、修理可能でない場合、動作330において廃棄され得る。次いで、新しいレチクルが製造および評価され得る。
評価プロセス中のウエハ画像をシミュレートするために再現されたマスクの近距離場の画像を用いることに加えてまたはこれの代替として、マスクの近距離場の画像または結果は、レチクル評価プロセス中に直接査定することもできる。図5は、本発明の代替の実施形態による再現されたマスクの近距離場の画像または結果に適用されるレチクル評価プロセス500を示した流れ図である。動作502において、最初、マスクの近距離場の結果は、レチクルから再現される。このマスクの近距離場の画像は、特定のレチクルから取得された画像に基づいてそのような特定のレチクルについて再現することができる。この動作は、図1のマスクの近距離場の再現動作に類似して実施され得る。さらに、図5の動作のうちのいくつかは、図3の動作と類似するように実施され得るが、再現されたレチクルの近距離場の画像に、そのような画像の強度および/または位相の成分を含む。
図示されるように、次いで、動作522において、マスクの近距離場の結果は、欠陥を特徴付けおよび/または欠陥の位置を突き止めるために査定され得る。対応するレチクルに欠陥があるまたは監視を必要とするホットスポットを有する可能性があるかを一般的に決定することができる。より具体的には、シミュレートされたウエハ画像を査定する本明細書中に記載された技法の一部は、マスクの近距離場の画像に関して実施され得る。欠陥検出のプロセスにおいて、テストおよび参照のマスクの近距離場の画像の任意の適切な計量が、比較され得る。例えば、強度および/または位相が比較され得る。異なる欠陥のタイプは、強度値および/または位相値に異なる影響を及ぼす。これらの差は、修理可能または監視可能である欠陥ウエハになる可能性があるまたはホットスポットのパターンもしくはエリアを特定する(影響を与えない厄介な欠陥とは対照的に)実際の欠陥であるか決定され得る。
例えば、次いで、動作524において、設計に欠陥があるか決定され得る。設計に欠陥があると決定される場合、動作532において、この設計は、修正され得る。例えば、レチクルの近距離場の画像とその対応する事後OPCに基づく近距離場との間の何らかの差が欠陥を検出するための予め定められた閾値を上回るかを決定することができる。手順500は、上述したように、ウエハのホットスポットを監視し、レチクルを修理し、またはレチクルを再設計するかを決定するように続くことができる。設計に欠陥があるとみなされない場合、次いで、動作526において、いずれかのホットスポットを監視できるかを決定することができる。例えば、テストのレチクルの近距離場の画像と参照のレチクルの近距離場の画像との間のいずれかの強度および/または位相差が関連した閾値に近いか決定され得る。
ホットスポットが監視できると決定される場合、ホットスポットは、次いで、例えば、動作534において、ウエハプロセス中に監視することができる。例えば、ホットスポットのパターンは、ウエハの製造中に監視することができ、それによってプロセスが仕様から外れ、対応するウエハパターンに、容認できない値に変化する重要なパラメータを有するようにさせられたかが判断される。一実施は、対応するホットスポットのレチクルおよび/またはウエハパターンの検査のために比較的高い感度のレベルを設定することを含み得る。条件が公称のプロセス条件からさらに離れるのにつれ、CD誤差またはEPEはより大きくなる可能性があり、ウエハ製造プロセスの完全性を危うくさせ得る。
動作528において、レチクルが修理されるべきかについても決定することができる。いくつかの場合では、動作536において、レチクルは、修理される欠陥を含み得る。次いで、レチクルが再評価され得る。さもなければ、レチクルは、修理可能でない場合、動作530において廃棄され得る。次いで、新しいレチクルが製造および再評価され得る。
本発明のいくつかの技法は、ウエハの製造前に、マスクパターン評価、および物理的なマスク上の弱いパターンまたはホットスポットの早期検出を行う。レチクル画像に基づいてレチクルの近距離場の再現を行うことに加えて、全範囲のウエハプロセスの影響(合焦および露光の多くの設定、ならびにウエハレジスト、エッチング、CMP、および任意の他のウエハプロセスの影響を含む)について、それらがウエハパターンにどのように悪影響を及ぼすのかについて検討され得る。マスクの近距離場がレチクルの設計データを用いることなくレチクル画像だけを用いて再現されるので、マスクの従前の知識は必要とされない。マスクパターンは、概して、ウエハパターンよりも4倍大きいので、設計データベースに対するパターンのより正確な位置が決定され得る。上記の技法は、EUVマスクに対するパターン評価のように、任意の適切なタイプのマスクに拡張することもできる。
本発明の技法は、ハードウェアおよび/またはソフトウェアの任意の適切な組み合わせで実現することができる。図6は、本発明の技法を実施することができる検査システム600の一例の概略図である。検査システム600は、高いNAの検査ツールまたはスキャナを模した低いNAのインスペクタ(図示せず)から入力602を受信することができる。検査システムは、受信した入力602を配信するデータ配信システム(例えば、604aおよび604b)と、マスクの近距離場およびウエハ再現、プロセスモデリングなどのための強度信号(またはパッチ)処理システム(例えば、パッチプロセッサおよびレチクル評価システム(例えば、612))と、検査システムコンポーネント間の通信を可能にするためのネットワーク(例えば交換ネットワーク608)と、任意的な大容量記憶装置616と、マスクの近距離場の強度および位相(値、画像、または差)、レチクル/ウエハ画像、特定されたホットスポット、CD、CDUマップ、プロセスパラメータ等をレビューするための1つ以上の検査制御および/またはレビューステーション(例えば、610)を含むこともできる。検査システム600の各プロセッサは、典型的には、1つまたは複数のマイクロプロセッサの集積回路を備えることができ、インタフェースおよび/またはメモリの集積回路を含むこともでき、1つまたは複数の共有および/またはグローバルメモリデバイスにさらに結合することができる。
入力データ602を生成するインスペクタまたはデータ取得システム(図示せず)は、レチクルの強度信号または画像を得るための(例えば本明細書中にさらに説明されるような)任意の適切な機器の形態をとることができる。例えば、低いNAのインスペクタは、反射し、透過し、または他の方法で1つまたは複数の光センサに向けられた検出された光の一部分に基づいて、光学的な画像を構成し、またはレチクルの一部分の強度値を生成することができる。次いで、低いNAのインスペクタは、強度値または画像を出力することができる。
低いNAの検査ツールは、レチクルの各パッチにわたっての入射光学ビームがスキャンするとき、反射光および/または透過光を検出し集光するよう動作可能であり得る。上述したように、入射光学ビームは、各々が複数のパッチで構成されているレチクルの帯にわたってスキャンすることができる。光は、各パッチの複数の点またはサブエリアからこの入射ビームに応じて集光される。
低いNAの検査ツールは、概して、そのような検出された光を強度値に対応する検出された信号に変換するように動作可能であり得る。検出された信号は、レチクルの異なる位置で異なる強度値に対応する振幅値を有する電磁波形の形態をとることができる。検出された信号は、強度値および関連するレチクル点の座標の簡単なリストの形態をとることもできる。検出された信号は、レチクル上の異なる位置または走査点に対応する異なる強度値を有する画像の形をとることもできる。レチクルの2つ以上の画像は、レチクルの全ての位置がスキャンされ検出された信号に変換された後で生成することがき、あるいは2つ以上の画像の部分は、各レチクルの部分がスキャンされ、レチクル全体のスキャンの後で、レチクルについての最終的な2つ以上の画像が完成されるときに、生成することができる。
検出された信号は、空間像の形態をとることもできる。すなわち、空間イメージング技術を使用して、ウエハ上で露光されるフォトレジストパターンの空間像を生成するように、フォトリソグラフィシステムの光学的効果をシミュレートすることができる。一般に、フォトリソグラフィツールの光学系は、レチクルからの検出された信号に基づいて空間像を生成するようにエミュレートされる。空間像は、ウエハのフォトレジスト層の上へのフォトリソグラフィ光学系およびレチクルを通過した光から生成されるパターンに対応する。さらに、特定のタイプのフォトレジスト材料についてのフォトレジスト露光プロセスもエミュレートすることができる。
入射光または検出された光は、任意の適切な入射角で任意の入射光または検出された光のプロファイルを生成するように、任意の適切な空間的開口を通過することができる。一例として、プログラマブル照明または検出開口は、ダイポール、四重極、クエーサー、アニュラス等の特定のビームプロファイルを生成するために利用することができる。特定の例では、ソースマスク最適化(SMO:Source Mask Optimization)または任意の画素化照明技術が実装されてもよい。入射光は、1つまたは複数の偏光における照明瞳の全部または一部を直線偏光するための直線偏光子を通過することもできる。検出された光は、コレクションビームの特定の領域を阻止するためにアポダイゼイション構成要素を通過させてもよい。
強度データまたは画像データ602は、ネットワーク608を介してデータ配信システムによって受信することができる。データ配信システムは、受信されたデータ602の少なくとも一部を保持するために、RAMバッファなどの1つまたは複数のメモリデバイスと関連付けされてもよい。好ましくは、メモリの合計は、データの見本全体を保持するのに十分大きい。例えば、1ギガバイトのメモリは、100万×1000画素または点である見本に対して十分に働く。
データ配信システム(例えば、604aおよび604b)は、受信した入力データ602の一部をプロセッサ(例えば、606aおよび606b)へ配信する制御を行うこともできる。例えば、データ配信システムは、第1のパッチのためのデータを第1のパッチプロセッサ606aへルーティングしてもよく、第2のパッチのためのデータをパッチプロセッサ606bへルーティングしてもよい。また、複数のパッチについての複数のデータセットは、各パッチプロセッサへルーティングすることもできる。
パッチプロセッサは、レチクルの少なくとも一部またはパッチに対応する強度値または画像を受信することができる。パッチプロセッサは、それぞれ、受信されたデータ部分を保持するなどのローカルメモリ機能を与えるDRAMデバイスなどの1つまたは複数のメモリデバイス(図示せず)に結合するか、またはこれと一体化することもできる。好ましくは、メモリは、レチクルのパッチに対応するデータを保持するのに十分大きい。例えば、8メガバイトのメモリは、512×1024画素であるパッチに対応する強度値または画像についてよく働く。代替的に、パッチプロセッサは、メモリを共有してもよい。
入力データ602の各セットは、レチクルの帯に対応することができる。1つまたは複数のデータセットは、データ配信システムのメモリに記憶することができる。このメモリは、データ配信システム内の1つまたは複数のプロセッサによって制御することができ、メモリは、複数のパーティションに分割されてもよい。例えば、データ配信システムは、帯の一部に対応するデータを第1のメモリパーティション(図示せず)内に受け入れることができ、データ配信システムは、別の帯に対応する別のデータを第2のメモリパーティション(図示せず)内に受け入れることができる。好ましくは、データ配信システムの各メモリパーティションは、そのようなメモリパーティションと関連付けされたプロセッサへルーティングされるデータの一部を単に保持する。例えば、データ配信システムの第1メモリパーティションは、第1のデータを保持してパッチプロセッサ606aへルーティングすることができ、第2のメモリパーティションは、第2のデータを保持してパッチプロセッサ606bへルーティングすることができる。
データ配信システムは、データの任意の適切なパラメータに基づいてデータの各データセットを定義および配信することができる。例えば、データは、レチクル上のパッチの対応する位置に基づいて定義および配信することができる。一実施形態においては、各帯は、帯内の画素の水平位置に対応する列位置の範囲と関連付けされている。例えば、帯の列0~列256は、第1のパッチに対応することができ、これらの列内の画素は、1つまたは複数のパッチプロセッサへルーティングされる第1の画像または第1のセットの強度値を含む。同様に、見本の列257~列512は、第2のパッチに対応することができ、これらの列における画素は、異なるパッチプロセッサへルーティングされる第2の画像または第2のセットの強度値を含む。
検査装置は、半導体デバイスまたはウエハ、ならびに光学的なレチクル、ならびにEUVレチクルまたはマスクを検査するのに適し得る。適した検査ツールの例は、カリフォルニア州ミルピタス(Milpitas)のKLA-Tencorから入手可能な193nmで動作するTeron(商標)、またはTeraScan(商標)DUVレチクル検査ツールである。本発明の検査装置を用いて検査または撮像され得る他のタイプの試料は、フラットパネルディスプレイなど任意の表面を含む。
本明細書中にさらに説明されるように、検査ツールは、入射光ビームを発生させる少なくとも1つの光源と、入射ビームを試料へ向ける照明光学系と、入射ビームに応答して試料から発せられた出力ビームを方向付ける集光光学系と、出力ビームを検出するとともに出力ビームについての画像または信号を生成するセンサと、検査ツールの構成要素を制御するとともにマスクの近距離場の生成および解析の技法を助けるコントローラ/プロセッサと、を備えることができる。
以下の例示的な検査システムでは、入射ビームは、コヒーレント光の任意の適切な形態にあり得る。さらに、任意の適切なレンズ構成が、入射ビームを試料に向けるとともに、試料から生じる出力ビームを検出器に向けるために使用することができる。出力ビームは、試料から反射もしくは散乱されてもよく、または試料を通じて透過されてもよい。EUVレチクル検査について、出力ビームは、典型的には、試料から反射される。同様に、受信した出力ビームの特性(例えば、強度)に基づいて、任意の適切な検出器のタイプまたは検出要素の個数が、出力ビームを受信し、画像または信号を与えるために使用することができる。
まず、一般化されたフォトリソグラフィツールを説明するが、EUVフォトリソグラフィツールは、典型的には、反射タイプの光学系のみを有する。図7Aは、いくつかの実施形態によるマスクパターンをフォトマスクMからウエハWの上へ転写するのに使用することができる典型的なリソグラフィシステム700の簡略化した概略図である。そのようなシステムの例には、スキャナおよびステッパが含まれ、より具体的には、オランダ国フェルトホーフェンのASML社から入手可能なTWINSCAN NXT:1970Ci Step-and-Scanシステムが含まれる。一般に、照明源703は、光ビームを、照明光学系707(例えばレンズ705)を通じて、マスク平面702に位置するフォトマスクMの上へ向ける。照明レンズ705は、平面702において開口数701を有する。開口数701の値は、フォトマスク上のどの欠陥がリソグラフィ的にかなり大きい欠陥であり、どの欠陥がそうではないかに影響を与える。フォトマスクMを通過するビームの一部は、パターン転写を開始するために結像光学系713を通じてウエハW上へ向けられるパターン化された光学信号を形成する。反射システム(図示せず)では、照明ビームは、マスクMのいくつかの部分から反射される(とともにそのようなマスクMの他の部分によって吸収され)、ウエハW上の反射結像光学系によって向けられるパターン化された信号を形成する。
検査ツールは、同様の構成要素を利用することができ、または上述したフォトリソグラフィツール、例えば、LNIキャパビリティとして同様に構成されてもよい。しかしながら、代替としてまたはさらに、検査ツールは、高解像度の画像を生成するように構成可能であり得る。図7Bは、いくつかの実施形態による、照明光学系751aを有するとともにレチクル平面752で比較的大きい開口数751bを有する結像レンズ系を備える検査システム750の一例の概略図を示す。例えば、検査システムのレチクル平面752における開口数751bは、リソグラフィシステム700のレチクル平面702における開口数701よりもかなり大きくすることができ、これにより、試験検査画像と実際の印刷画像との間の差になる。
本明細書中に記載された検査技術は、図7Bに概略的に示されたシステムなどの様々な特別に構成された検査システムに実装することができる。例示されたシステム750は、照明光学系751aを通じてレチクル平面752内のフォトマスクM上へ向けられる光ビームを生成する照明源760を備える。光源の例には、コヒーレントレーザ光源(例えば遠紫外線またはガスレーザ発生装置)、フィルタ付きランプ、LED光源等が含まれる。いくつかの実施形態では、光源は、一般に、高いパルス繰り返し速度、低ノイズ、高出力、安定性、信頼性、および拡張可能性を与えることができる。EUVスキャナが13.5nmの波長で動作する間、EUVレチクルのための検査ツールは、できるが、同じ波長で動作しなくてもよいことに留意されたい。一例として、光源は193nmレーザである。
照明光学系751aは、正確にビームを方向付けるためのビームステアリング装置と、光レベル制御、スペックルノイズ削減、および高いビーム均一性をもたらすために使用できるビームコンディショニング装置とを含むことができる。ビームステアリング装置および/またはビームコンディショニング装置は、例えば、レーザからの別個の物理的な装置であり得る。照明光学系751aは、偏光、焦点、拡大、照明強度の分布などを制御する光学系を含むこともできる。
上述した通り、検査システム750は、対応するリソグラフィシステムのレチクル平面の開口数(例えば、図7Aにおける要素701)に等しいまたはそれよりも大きいものとすることができる開口数751bをレチクル平面752に有することもできる。検査されるフォトマスクMは、レチクル平面752におけるマスクステージの上に配置され、光源に対して露光される。
示された検査システム750は、検出光学系753aおよび753bを備え、強化された検査を行うために、例えば60~200倍以上の倍率を与えるように設計された顕微鏡の拡大光学系も含むことができる。集光光学系753aおよび753bは、出力の光/ビームを調整する任意の適切な光学系を含むことができる。例えば、集光光学系753aおよび753bは、焦点、瞳形状、偏光アナライザの設定などを制御する光学系を含むことができる。
透過モードでは、マスクMからのパターン化された画像は、パターン化された画像をセンサ754aへ投影する光学要素753aの集光部を通じて向けられ得る。反射モードでは、集光要素(例えば、ビームスプリッタ776および検出レンズ778)は、マスクMからの反射光をセンサ754b上へ向け、取り込む。2つのセンサが図示されているが、同一のレチクルエリアの異なるスキャン中に単一のセンサを用いて、反射光および透過光を検出することができる。適切なセンサには、電荷結合素子(CCD)、CCDアレイ、時間遅延積分(TDI)センサ、TDIセンサアレイ、光電子増倍管(PMT)、および他のセンサが含まれる。
照明光学系の列は、レチクルのパッチをスキャンするように任意の適切な機構により、マスクステージに対して移動することができ、および/またはステージを検出器またはカメラに対して移動することができる。例えば、ステージを移動させるために、モータ機構を利用することができる。モータ機構は、例として、ねじ駆動装置およびステッピングモータ、フィードバックポジション付きリニアドライブ、またはバンドアクチュエータおよびステッピングモータによって構成することができる。システム700は、照明または集光の光路に対してシステム構成要素のいずれかを移動させる1つ以上の監視機構を利用することができる。
各センサ(例えば754aおよび/または754b)によって取り込まれる信号は、コンピュータシステム773によって処理されることができ、またはより一般的には、1つまたは複数の信号処理装置によって処理されることができ、これらはそれぞれ、各センサからのアナログ信号を処理のためにデジタル信号に変換するように構成されたアナログ/デジタルコンバータを備える。典型的には、コンピュータシステム773は、入出力ポートに結合された1つまたは複数のプロセッサを有するとともに、適切なバスまたは他の通信機構を介して1つまたは複数のメモリを有する。
また、コンピュータシステム773は、焦点および他の検査法のパラメータを変更するなどのユーザ入力を行うための1つまたは複数の入力装置(例えばキーボード、マウス、ジョイスティック)を備えることもできる。さらに、コンピュータシステム773は、例えば、試料位置(例えばピント合わせおよびスキャン)を制御するためのステージに接続することもできるとともに、他の検査パラメータ、およびそのような他の検査システムの構成要素の構成を制御するために他の検査システムの構成要素に接続することもできる。
コンピュータシステム773は、マスクの近距離場の強度および位相(値、画像、または差)を表示するユーザインタフェース(例えば、コンピュータの画面)、レチクル/ウエハ画像、特定されたホットスポットCD、CDUマップ、プロセスパラメータなどを与えるように(例えば、命令をプログラミングすることで)構成することができる。コンピュータシステム773は、反射および/または透過された検出および/またはシミュレートされた信号または画像、再現されたレチクルの近距離場の結果等の強度、位相、および/または他の特性を解析するために構成され得る。コンピュータシステム773は、結果として得られた強度および/または位相値、画像、および他の検査特性を表示するためのユーザインタフェースを(例えばコンピュータ画面上に)設けるように(例えば、プログラミング命令により)構成することができる。いくつかの実施形態では、コンピュータシステム773は、上記詳述した検査技術を実行するように構成されている。
そのような情報およびプログラム命令は、特別に構成されたコンピュータシステム上で実施され得るので、そのようなシステムは、コンピュータ読み取り可能媒体に格納することができる本明細書に記載された様々な処理を実行するためのプログラム命令/コンピュータコードを備える。機械可読媒体の例には、これらに限定されるものではないが、ハードディスク、フロッピー(登録商標)ディスク、磁気テープのような磁気媒体、CD-ROMディスクなどの光学的媒体、光学ディスクなどの光磁気媒体、および読出し専用メモリデバイス(ROM)およびランダムアクセスメモリ(RAM)などのプログラム命令を格納および実行するように特別に構成されたハードウェアデバイスが含まれる。プログラム命令の例には、コンパイラにより生成されるような機械コードと、インタープリタを用いてコンピュータによって実行することができるより高いレベルのコードを含むファイルとの双方が含まれる。
図7Bは、照明用の光のビームが検査面に対してほぼ直角に試料表面に向けられている例を示す。他の実施形態では、照明光ビームは、傾いた角度で向けることができ、これにより照明ビームと反射ビームの分離を可能にする。これらの実施形態では、検出器に到達する前に反射光ビームの0次成分を減衰させるために、減衰器が、反射ビームの経路上に配置され得る。さらに、反射光ビームの0次成分の位相をシフトさせるために、結像用の穴が、反射ビームの経路上に配置され得る。
上記の説明および図面は、システムの特定の構成要素に関する限定として解釈されるべきではなく、システムは多くの他の形態で具体化されてもよいことに留意されたい。例えば、検査または測定ツールは、欠陥を検出しおよび/またはレチクルまたはウエハの特徴の重要な態様を分解するように配置された任意の個数の知られたイメージングまたは計量ツールから任意の適切な特徴を有してもよいと考えられる。一例によって、検査または測定ツールは、明視野イメージング顕微鏡法、暗視野イメージング顕微鏡法、フルスカイイメージング顕微鏡法、位相コントラスト顕微鏡法、偏光コントラスト顕微鏡法、およびコヒーレンスプローブ顕微鏡法に適用されてもよい。ターゲットの画像を取り込むために、単一および複数の画像方法を使用できることも考えられる。これらの方法には、例えば、シングルグラブ、ダブルグラブ、シングルグラブコヒーレンスプローブ顕微鏡法(CPM)、およびダブルグラブCPM法が含まれる。スキャッタロメトリ散乱計測などの非イメージング光学的方法も、検査または計測装置の一部を形成するものとして考えることができる。
前述の発明は、理解しやすいようにいくらか詳細に説明されたが、添付の特許請求の範囲内で変更および修正を行うことができることは明らかであろう。本発明のプロセス、システム、および装置を実施する多くの代替的なやり方が存在することを理解されたい。したがって、本実施形態は、例示とみなされるべきであって、限定ではなく、本発明は本明細書に記載した詳細に限定されるものでもない。

Claims (24)

  1. フォトリソグラフィのレチクルを評価する方法であって、
    イメージングツールを使用して、テストレチクルの複数のパターンエリアの各々から異なる照明構成または異なるイメージング構成で複数の画像を取得するステップと、
    前記テストレチクルを製造するのに使用された設計データベースを用いることなく、前記テストレチクルの各パターンエリアから前記取得した画像に基づいて前記テストレチクルの前記パターンエリアごとにレチクルの近距離場を回帰技法により計算して再現するステップと、
    前記再現されたレチクルの近距離場を解析して、前記テストレチクルが、ウエハパターンの差が特定の閾値内にない不安定なウエハパターン、または欠陥ウエハを製造する可能性があるか決定するステップと、を含むことを特徴とする方法。
  2. 請求項1に記載の方法であって、
    前記複数の画像は、瞳平面で取得されることを特徴とする方法。
  3. 請求項1に記載の方法であって、
    前記再現されたレチクルの近距離場を解析して、前記テストレチクル中の欠陥を検出するものであり、欠陥検出は、異なる時間での同じダイについて、隣接したダイについて、ダイおよびその対応するゴールデンダイについて、またはダイおよび前記テストレチクルと同一の設計を有するレチクルコピーからの対応するダイについて前記近距離場の強度および/または位相を比較することを含むことを特徴とする方法。
  4. 請求項1に記載の方法であって、
    前記取得される画像は、同じレチクルの近距離場になるように選択される異なるイメージング構成で取得される少なくとも3つの反射画像を含み、前記異なるイメージング構成は、異なる焦点設定、異なる瞳の形状、および/または偏光状態の設定を含み、異なる照明構成は、異なる源の強度分布および/または偏光状態の設定を含むことを特徴とする方法。
  5. 請求項1に記載の方法であって、
    前記取得される画像は、同じレチクルの近距離場になるように選択される異なるイメージング構成で取得される少なくとも3つの透過画像を含み、前記異なるイメージング構成は、異なる焦点設定、異なる瞳の形状、または偏光状態の設定を含み、異なる照明構成は、異なる源の強度分布および/または偏光状態の設定を含むことを特徴とする方法。
  6. 請求項1に記載の方法であって、
    リソグラフィモデルを前記テストレチクルについての前記レチクルの近距離場に適用して、複数のテストウエハ画像をシミュレートするステップと、
    シミュレートされた前記テストウエハ画像を解析して、前記テストレチクルが前記不安定なウエハパターン、または前記欠陥ウエハを製造する可能性があるかを決定するステップと、をさらに含み、
    前記リソグラフィモデルは、フォトリソグラフィプロセスをシミュレートするように構成されていることを特徴とする方法。
  7. 請求項6に記載の方法であって、
    前記リソグラフィモデルは、前記テストレチクルまたは別のレチクルまたはウエハの画像を取得するための検査ツールの照明形状とは異なる形状を有する照明源を用いてシミュレートすることを特徴とする方法。
  8. 請求項6に記載の方法であって、
    前記リソグラフィモデルは、設計データベースから描画される画像で較正されることを特徴とする方法。
  9. 請求項6に記載の方法であって、
    前記リソグラフィモデルは、較正レチクルから取得される画像を用いて較正されることを特徴とする方法。
  10. 請求項6に記載の方法であって、
    前記リソグラフィモデルは、複数の異なるリソグラフィプロセス条件下で前記テストレチクルについて再現された前記レチクルの近距離場に適用され、シミュレートされた前記テストウエハ画像を解析するステップは、異なるプロセス条件および同じレチクルエリアに関連している前記シミュレートされたテスト画像の部分を比較することによって前記テストレチクルが異なるリソグラフィプロセス条件下で前記不安定なウエハパターンになる可能性があるかを決定するステップを含むことを特徴とする方法。
  11. 請求項6に記載の方法であって、
    前記イメージングツールは、前記テストレチクルがウエハの製造に使用されるフォトリソグラフィシステムと同じ波長範囲を利用することを特徴とする方法。
  12. 請求項6に記載の方法であって、
    前記イメージングツールは、前記テストレチクルがウエハの製造に使用されるフォトリソグラフィシステムとは異なる波長範囲を利用し、シミュレートされた前記テストウエハ画像を解析して、前記テストレチクルが、前記テストウエハ画像上で欠陥検出を行うことによって、前記欠陥ウエハになる可能性があるかを決定することを特徴とする方法。
  13. フォトリソグラフィのレチクルを評価するイメージングシステムであって、
    入射ビームを生成する光源と、
    前記入射ビームをレチクル上へ向ける照明光学系モジュールと、
    前記レチクルの各パターンエリアからの出力ビームを少なくとも1つのセンサへ向ける集光光学モジュールと、
    前記出力ビームを検出し、前記出力ビームに基づいて画像または信号を生成する少なくとも1つのセンサと、
    以下の動作、すなわち、
    テストレチクルの複数のパターンエリアの各々から異なる照明構成または異なるイメージング構成で複数の画像を取得させ、
    前記テストレチクルを製造するのに使用された設計データベースを用いることなく、前記テストレチクルの各パターンエリアから前記取得した画像に基づいて前記テストレチクルの前記パターンエリアごとにレチクルの近距離場を回帰技法により計算して再現し、
    前記再現されたレチクルの近距離場を解析して、前記テストレチクルが、ウエハパターンの差が特定の閾値内にない不安定なウエハパターン、または欠陥ウエハを製造する可能性があるかを決定させる
    ことを実行するように構成されているコントローラと
    を備えることを特徴とするイメージングシステム。
  14. 請求項13に記載のシステムであって、
    前記複数の画像は、瞳平面で取得されることを特徴とするシステム。
  15. 請求項13に記載のシステムであって、
    前記再現されたレチクルの近距離場を解析して、前記テストレチクル中の欠陥を検出するものであり、欠陥検出は、異なる時間での同じダイについて、隣接したダイについて、ダイおよびその対応するゴールデンダイについて、またはダイおよび前記テストレチクルと同一の設計を有するレチクルコピーからの対応するダイについて前記近距離場の強度および/または位相を比較することを含むことを特徴とするシステム。
  16. 請求項13に記載のシステムであって、
    前記取得される画像は、同じレチクルの近距離場になるように選択される異なるイメージング構成で取得される少なくとも3つの反射画像を含み、前記異なるイメージング構成は、異なる焦点設定、異なる瞳の形状、および/または偏光状態の設定を含み、異なる照明構成は、異なる源の強度分布および/または偏光状態の設定を含むことを特徴とするシステム。
  17. 請求項13に記載のシステムであって、
    前記取得される画像は、同じレチクルの近距離場になるように選択される異なるイメージング構成で取得される少なくとも3つの透過画像を含み、前記異なるイメージング構成は、異なる焦点設定、異なる瞳の形状、および/または偏光状態の設定を含み、異なる照明構成は、異なる源の強度分布および/または偏光状態の設定を含むことを特徴とするシステム。
  18. 請求項13に記載のシステムであって、
    前記コントローラは、
    リソグラフィモデルを前記テストレチクルについての前記レチクルの近距離場に適用して、複数のテストウエハ画像をシミュレートし、
    シミュレートされた前記テストウエハ画像を解析して、前記テストレチクルが前記不安定なウエハパターン、または前記欠陥ウエハになる可能性があるかを決定するようにさらに構成され、
    前記リソグラフィモデルは、フォトリソグラフィプロセスをシミュレートするように構成されていることを特徴とするシステム。
  19. 請求項18に記載のシステムであって、
    前記リソグラフィモデルは、前記テストレチクルまたは別のレチクルまたはウエハの画像を取得するための検査システムの照明形状とは異なる形状を有する照明源を用いてシミュレートすることを特徴とするシステム。
  20. 請求項18に記載のシステムであって、
    前記リソグラフィモデルは、較正レチクルについて設計データベースから描画される画像で較正されることを特徴とするシステム。
  21. 請求項18に記載のシステムであって、
    前記リソグラフィモデルは、較正レチクルから取得される画像を用いて較正されることを特徴とするシステム。
  22. 請求項18に記載のシステムであって、
    前記リソグラフィモデルは、複数の異なるリソグラフィプロセス条件下で前記テストレチクルについて再現された前記レチクルの近距離場に適用され、シミュレートされた前記テストウエハ画像を解析するステップは、異なるプロセス条件および同じレチクルエリアに関連している前記シミュレートされたテスト画像の部分を比較することによって異なるリソグラフィプロセス条件下で前記テストレチクルが前記不安定なウエハパターンになる可能性があるかを決定するステップを含むことを特徴とするシステム。
  23. 請求項18に記載のシステムであって、
    前記イメージングシステムは、前記テストレチクルがウエハの製造に使用されるフォトリソグラフィシステムと同じ波長範囲を利用することを特徴とするシステム。
  24. 請求項18に記載のシステムであって、
    前記イメージングシステムは、前記テストレチクルがウエハの製造に使用されるフォトリソグラフィシステムとは異なる波長範囲を利用し、シミュレートされた前記テストウエハ画像を解析して、前記テストレチクルが、前記テストウエハ画像上で欠陥検出を行うことによって、前記欠陥ウエハになる可能性があるかを決定することを特徴とするシステム。
JP2022128060A 2017-05-18 2022-08-10 レチクルを検査する装置および方法 Active JP7440580B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762508369P 2017-05-18 2017-05-18
US62/508,369 2017-05-18
US15/803,628 US10395361B2 (en) 2015-08-10 2017-11-03 Apparatus and methods for inspecting reticles
US15/803,628 2017-11-03
JP2019563613A JP2020520481A (ja) 2017-05-18 2017-12-01 レチクルを検査する装置および方法
PCT/US2017/064327 WO2018212787A1 (en) 2017-05-18 2017-12-01 Apparatus and methods for inspecting reticles

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019563613A Division JP2020520481A (ja) 2017-05-18 2017-12-01 レチクルを検査する装置および方法

Publications (2)

Publication Number Publication Date
JP2022164702A true JP2022164702A (ja) 2022-10-27
JP7440580B2 JP7440580B2 (ja) 2024-02-28

Family

ID=64274553

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019563613A Pending JP2020520481A (ja) 2017-05-18 2017-12-01 レチクルを検査する装置および方法
JP2022128060A Active JP7440580B2 (ja) 2017-05-18 2022-08-10 レチクルを検査する装置および方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2019563613A Pending JP2020520481A (ja) 2017-05-18 2017-12-01 レチクルを検査する装置および方法

Country Status (6)

Country Link
JP (2) JP2020520481A (ja)
KR (2) KR20230070057A (ja)
DE (1) DE112017007551T5 (ja)
IL (1) IL270618B2 (ja)
TW (1) TWI755453B (ja)
WO (1) WO2018212787A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024123370A1 (en) * 2022-12-08 2024-06-13 Leia Inc. Mehtod of large-format imprint lithography and imprint lithography mold

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107851315B (zh) 2015-08-10 2020-03-17 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
IL281060B2 (en) * 2018-08-28 2023-11-01 Asml Netherlands Bv Methods and systems of optimal meteorological guidance
DE102019213904A1 (de) * 2019-09-12 2021-03-18 Carl Zeiss Smt Gmbh Verfahren zur Erfassung einer Objektstruktur sowie Vorrichtung zur Durchführung des Verfahrens
TWI736317B (zh) * 2020-06-12 2021-08-11 華邦電子股份有限公司 用於黃光製程的辨識方法與半導體元件
US11443095B2 (en) * 2020-07-10 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Hotspot avoidance method for manufacturing integrated circuits
US20220307990A1 (en) * 2021-03-24 2022-09-29 Kla Corporation Imaging reflectometry for inline screening
EP4082982A1 (en) 2021-04-27 2022-11-02 HeidelbergCement AG Composite cement with improved reactivity and method for manufacturing it
WO2023016723A1 (en) * 2021-08-11 2023-02-16 Asml Netherlands B.V. Mask defect detection

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11327123A (ja) * 1998-04-07 1999-11-26 Internatl Business Mach Corp <Ibm> エアリアル像測定ツ―ルを用いた位相測定
JP2012531042A (ja) * 2009-06-19 2012-12-06 ケーエルエー−テンカー・コーポレーション 極紫外線マスクブランクの欠陥検出のための検査システム及び方法
WO2015171506A1 (en) * 2014-05-06 2015-11-12 Kla-Tencor Corporation Reticle inspection using near-field recovery
JP2016532902A (ja) * 2013-08-20 2016-10-20 ケーエルエー−テンカー コーポレイション マイクロリソグラフィパターン認定
WO2017027366A1 (en) * 2015-08-10 2017-02-16 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7646906B2 (en) * 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
JP2007071678A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp 検査システム
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
WO2009152046A1 (en) * 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
DE102011079382B4 (de) * 2011-07-19 2020-11-12 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Analysieren und zum Beseitigen eines Defekts einer EUV Maske
US9547892B2 (en) * 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
TWI644169B (zh) * 2014-05-06 2018-12-11 美商克萊譚克公司 用於使用近場復原之光罩檢測之電腦實施方法、非暫時性電腦可讀媒體及系統

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11327123A (ja) * 1998-04-07 1999-11-26 Internatl Business Mach Corp <Ibm> エアリアル像測定ツ―ルを用いた位相測定
JP2012531042A (ja) * 2009-06-19 2012-12-06 ケーエルエー−テンカー・コーポレーション 極紫外線マスクブランクの欠陥検出のための検査システム及び方法
JP2016532902A (ja) * 2013-08-20 2016-10-20 ケーエルエー−テンカー コーポレイション マイクロリソグラフィパターン認定
WO2015171506A1 (en) * 2014-05-06 2015-11-12 Kla-Tencor Corporation Reticle inspection using near-field recovery
WO2017027366A1 (en) * 2015-08-10 2017-02-16 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024123370A1 (en) * 2022-12-08 2024-06-13 Leia Inc. Mehtod of large-format imprint lithography and imprint lithography mold

Also Published As

Publication number Publication date
IL270618A (ja) 2019-12-31
KR20190143450A (ko) 2019-12-30
IL270618B1 (en) 2023-07-01
JP7440580B2 (ja) 2024-02-28
IL270618B2 (en) 2023-11-01
TW201901291A (zh) 2019-01-01
KR20230070057A (ko) 2023-05-19
TWI755453B (zh) 2022-02-21
WO2018212787A1 (en) 2018-11-22
JP2020520481A (ja) 2020-07-09
DE112017007551T5 (de) 2020-02-13

Similar Documents

Publication Publication Date Title
JP7116757B2 (ja) ウエハレベル欠陥の転写性を予測する装置および方法
JP7440580B2 (ja) レチクルを検査する装置および方法
US10395361B2 (en) Apparatus and methods for inspecting reticles
US9547892B2 (en) Apparatus and methods for predicting wafer-level defect printability
JP7422208B2 (ja) モデルベースの限界寸法測定の方法およびシステム
JP6594876B2 (ja) フォトリソグラフィレチクル認定方法及びシステム
JP4940056B2 (ja) リソグラフィマスク用の検査方法及び装置
JP2017538157A (ja) パターニングデバイストポグラフィ誘起位相を使用するための方法及び装置
EP3839632A1 (en) Method for determining a measurement recipe and associated apparatuses

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220810

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240130

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240215

R150 Certificate of patent or registration of utility model

Ref document number: 7440580

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150