JP2014146829A - Semiconductor chip and semiconductor device - Google Patents

Semiconductor chip and semiconductor device Download PDF

Info

Publication number
JP2014146829A
JP2014146829A JP2014078133A JP2014078133A JP2014146829A JP 2014146829 A JP2014146829 A JP 2014146829A JP 2014078133 A JP2014078133 A JP 2014078133A JP 2014078133 A JP2014078133 A JP 2014078133A JP 2014146829 A JP2014146829 A JP 2014146829A
Authority
JP
Japan
Prior art keywords
wafer
substrate
main surface
chip
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014078133A
Other languages
Japanese (ja)
Inventor
Yoshiyuki Abe
由之 阿部
Chuichi Miyazaki
忠一 宮崎
Hideo Muto
英生 武藤
Tomoko Tono
朋子 東野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/JP2005/020615 external-priority patent/WO2007055010A1/en
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2014078133A priority Critical patent/JP2014146829A/en
Publication of JP2014146829A publication Critical patent/JP2014146829A/en
Pending legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To reduce or prevent defect in shape caused by cutting in a disconnection process of a semiconductor wafer using stealth dicing.SOLUTION: In the case of dividing a semiconductor wafer 1W by stealth dicing, pads 1LBt for testing of a cutting region CR and an alignment target Am are arranged on one side of the cutting region CR in a width direction, and laser beams for forming a modified region PR are irradiated on a position away from the pads 1LBt for testing and the alignment target Am in planar view. By doing this, defect in shape caused by cutting in a disconnection process of the semiconductor wafer using stealth dicing can be reduced or prevented.

Description

本発明は、半導体装置の製造方法および半導体装置技術に関し、特に、半導体ウエハのダイシング技術に関するものである。   The present invention relates to a semiconductor device manufacturing method and semiconductor device technology, and more particularly to a semiconductor wafer dicing technology.

近年、携帯電話やデジタルカメラ等に代表されるモバイル機器あるいはメモリカード等に代表される情報記憶媒体の小型軽量化に伴い、これらに組み込まれる半導体チップの薄型化が進められている。このため、ダイシング工程では、薄い半導体ウエハを切断することで個々の薄い半導体チップを得るが、このダイシング工程においてブレードダイシング方式を用いると、半導体ウエハが薄いために半導体チップにチッピングが生じ易く、薄い半導体チップの抗折強度が著しく低下する問題がある。また、半導体装置の動作速度の向上の観点から半導体チップの配線層間絶縁膜として、誘電率が酸化シリコンよりも低い低誘電率膜(いわゆるLow−k膜)を使用する製品があるが、Low−K膜は脆く剥がれ易いことや内部に微少な気泡を持つものがあり、ブレードダイシング方式では上手く切断できない場合がある。   In recent years, with the reduction in size and weight of mobile devices represented by mobile phones and digital cameras, and information storage media represented by memory cards, semiconductor chips incorporated in these devices have been made thinner. For this reason, in the dicing process, individual thin semiconductor chips are obtained by cutting the thin semiconductor wafer. However, when the blade dicing method is used in this dicing process, the semiconductor wafer is thin, so that the semiconductor chip is easily chipped and thin. There is a problem that the bending strength of the semiconductor chip is significantly reduced. From the viewpoint of improving the operation speed of a semiconductor device, there is a product that uses a low dielectric constant film (so-called Low-k film) whose dielectric constant is lower than that of silicon oxide as a wiring interlayer insulating film of a semiconductor chip. Some K films are brittle and easy to peel off, and some have fine bubbles inside, and the blade dicing method may not cut well.

そこで、それらの問題を回避する新しいダイシング方式として、ステルスダイシング(登録商標)方式が注目されている。このステルスダイシング方式は、レーザ光を半導体ウエハの内部に照射して選択的に改質層を形成し、その改質層を分割起点として半導体ウエハを切断するダイシング方式である。この方式によれば、厚さ30μm程度の極めて薄い半導体ウエハでも、物理的にストレスを与えずに直接切断できるので、チッピングを低減でき、半導体チップの抗折強度の低減を抑制することができる。また、半導体ウエハの厚さに関わらず、毎秒300mm以上の高速ダイシングが可能なので、スループットを向上させることもできる。したがって、半導体チップの薄型化にはステルスダイシング方式は必須の技術である。   Therefore, stealth dicing (registered trademark) has been attracting attention as a new dicing method that avoids these problems. This stealth dicing method is a dicing method in which a laser beam is irradiated inside a semiconductor wafer to selectively form a modified layer, and the semiconductor wafer is cut using the modified layer as a division starting point. According to this method, even an extremely thin semiconductor wafer having a thickness of about 30 μm can be directly cut without physically applying stress, so that chipping can be reduced and reduction in the bending strength of the semiconductor chip can be suppressed. In addition, regardless of the thickness of the semiconductor wafer, high-speed dicing at 300 mm or more per second is possible, so that throughput can be improved. Therefore, the stealth dicing method is an essential technique for thinning the semiconductor chip.

このようなステルスダイシング技術については、例えば特開2004−221286号公報(特許文献1)に記載がある。この特許文献1の段落0022および図1には、チップ間の領域においてテスト用のパッドの両脇に配線層を設ける構成が開示されている。この配線層は、電気的な結合を行うものではなく、レーザー光線の照射領域を均一化し、かつ、レーザー光線を吸収し易くするためのダミーパターンである。また、この特許文献1の段落0023には、半導体ウエハの分割において、上記配線層が形成された領域にレーザー光線を照射して半導体ウエハを溶融して切断する方法が開示されている。また、この特許文献1の段落0024には、半導体ウエハの分割において、レーザー光線の焦点位置を半導体ウエハの内部に合わせ、多格子吸収による溶融処理領域を形成した後、クラッキング法やエキスパンド法により半導体ウエハを個片化する方法が開示されている。   Such stealth dicing technology is described in, for example, Japanese Patent Application Laid-Open No. 2004-221286 (Patent Document 1). Paragraph 0022 and FIG. 1 of Patent Document 1 disclose a configuration in which a wiring layer is provided on both sides of a test pad in an area between chips. This wiring layer does not perform electrical coupling, but is a dummy pattern for making the laser beam irradiation area uniform and facilitating absorption of the laser beam. Further, paragraph 0023 of Patent Document 1 discloses a method of melting and cutting a semiconductor wafer by irradiating a region where the wiring layer is formed with a laser beam when dividing the semiconductor wafer. Further, in paragraph 0024 of this Patent Document 1, in the division of the semiconductor wafer, the focus position of the laser beam is aligned with the inside of the semiconductor wafer to form a melt processing region by multi-grating absorption, and then the semiconductor wafer is subjected to cracking or expanding. Is disclosed.

また、例えば特開2005−340426号公報(特許文献2)には、半導体ウエハの主面上のテスト用のボンディングパッドに溝を形成した後、半導体ウエハの主面にテープを貼り付け、半導体ウエハの裏面側からレーザ光を照射して半導体ウエハの内部に改質層を形成し、さらにその後、テープを引き延ばすことで改質層を起点として半導体ウエハを個々の半導体チップに分割するステルスダイシング技術が開示されている。   Further, for example, in Japanese Patent Application Laid-Open No. 2005-340426 (Patent Document 2), a groove is formed in a test bonding pad on a main surface of a semiconductor wafer, and then a tape is attached to the main surface of the semiconductor wafer. A stealth dicing technology is used to form a modified layer inside a semiconductor wafer by irradiating a laser beam from the back side of the wafer, and then to divide the semiconductor wafer into individual semiconductor chips starting from the modified layer by stretching the tape. It is disclosed.

また、例えば特開2005−32903号公報(特許文献3)には、半導体ウエハの主面上のテスト用の電極パッド等をブレードにより除去した後、半導体ウエハの主面側からレーザ光を照射して半導体ウエハの内部に改質層を形成し、さらにその後、ダイシングテープを引き延ばすことで改質層を起点として半導体ウエハを個々の半導体チップに分割するステルスダイシング技術が開示されている。   Further, for example, in Japanese Patent Application Laid-Open No. 2005-32903 (Patent Document 3), a test electrode pad or the like on a main surface of a semiconductor wafer is removed with a blade, and then laser light is irradiated from the main surface side of the semiconductor wafer. A stealth dicing technique is disclosed in which a modified layer is formed inside a semiconductor wafer, and then a dicing tape is stretched to divide the semiconductor wafer into individual semiconductor chips starting from the modified layer.

特開2004−221286号公報(段落0022〜0024および図1)Japanese Patent Laying-Open No. 2004-221286 (paragraphs 0022 to 0024 and FIG. 1) 特開2005−340426号公報JP 2005-340426 A 特開2005−32903号公報JP 2005-32903 A

しかし、上記ステルスダイシング方式においては、以下の課題があることを本発明者は見出した。   However, the present inventor has found that the stealth dicing method has the following problems.

まず、本発明者は、ステルスダイシング方式において半導体ウエハを分割する際にエキスパンド方式を用いる場合について検討した。このエキスパンド方式は、半導体ウエハを貼り付けた樹脂シートを半導体ウエハの中心から外周に向かう方向に引き延ばすことで半導体ウエハを個々の半導体チップに分割する方式である。ところで、ダイシング領域には、例えばアルミニウムにより形成されたテスト用のパッドが配置されているが、エキスパンド方式の場合、そのテスト用のパッドが引き延ばされて切断される際にその切断面部分に、ひげ状の導体線が形成されてしまう問題がある。   First, the present inventor examined the case of using the expanding method when dividing the semiconductor wafer in the stealth dicing method. This expanding method is a method of dividing a semiconductor wafer into individual semiconductor chips by extending a resin sheet on which the semiconductor wafer is attached in a direction from the center of the semiconductor wafer toward the outer periphery. By the way, a test pad made of, for example, aluminum is arranged in the dicing area. In the case of the expand system, when the test pad is extended and cut, the test pad is formed on the cut surface portion. There is a problem that a whisker-like conductor wire is formed.

そこで、本発明者はエキスパンド方式に代えて折り曲げ方式を採用した。この折り曲げ方式は、半導体ウエハの主面に対して交差する方向に力を加え半導体ウエハを折り曲げることで半導体ウエハを個々の半導体チップに分割する方式である。この方式の場合、上記ひげ状の導体線が形成される問題は減少する。しかしながら、図65に示すように、新たに検査用のパッドよりも検査用のパッドの存在しない絶縁層部分の方が機械的に弱いので検査用のパッドを避けて亀裂CRKが入り絶縁層部分で切断されてしまう問題やダイシング領域の検査用のパッド間の絶縁層部分において切断ラインが定まらず蛇行する問題が生じた。特に絶縁層に脆く剥がれ易い上記Low−k膜が使用されている場合は、折り曲げ方式を用いても、Low−k膜の分割部分に形状不良が発生し、きれいに切断できない問題がある。   Therefore, the present inventor adopted a bending method instead of the expanding method. This bending method is a method in which a semiconductor wafer is divided into individual semiconductor chips by bending the semiconductor wafer by applying a force in a direction intersecting the main surface of the semiconductor wafer. In the case of this method, the problem of forming the whisker-like conductor wire is reduced. However, as shown in FIG. 65, since the insulating layer portion where the inspection pad does not exist is mechanically weaker than the new inspection pad, the crack CRK is generated by avoiding the inspection pad and the insulating layer portion. There was a problem of cutting and a problem that the cutting line was not fixed in the insulating layer portion between the pads for inspection of the dicing area and meandered. In particular, when the low-k film that is fragile and easily peeled off is used for the insulating layer, there is a problem that even if the bending method is used, a shape defect occurs in a divided portion of the low-k film, and it cannot be cut cleanly.

また、上記特許文献1の技術においては、チップ間の切断ライン上に絶縁層よりも強度の高い金属で形成された配線層が形成されているので上手く切断できない問題がある。また、レーザ光線を吸収し易くするためにテスト用のパッドの脇に配線層を形成するので、その分、隣接チップの間隔を広げなければならず、半導体ウエハの面内に配置可能な半導体チップの数が減ってしまう問題がある。   Further, the technique disclosed in Patent Document 1 has a problem that it cannot be cut well because a wiring layer made of a metal having a higher strength than the insulating layer is formed on the cutting line between the chips. In addition, since a wiring layer is formed beside the test pad in order to make it easier to absorb the laser beam, the interval between adjacent chips must be widened accordingly, and the semiconductor chip that can be arranged in the plane of the semiconductor wafer There is a problem of reducing the number of.

本発明の目的は、ステルスダイシングを用いた半導体ウエハの切断処理において切断形状不良を低減または防止することのできる技術を提供することにある。   An object of the present invention is to provide a technique capable of reducing or preventing cutting shape defects in a semiconductor wafer cutting process using stealth dicing.

本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。   Of the inventions disclosed in the present application, the outline of typical ones will be briefly described as follows.

本発明は、半導体ウエハの個々の半導体チップの分離領域において検査用のパッドの脇にレーザを照射することにより前記半導体ウエハの内部のレーザ照射位置に分割起点となる改質領域を形成した後、前記半導体ウエハの個々の半導体チップを折り曲げ方式により個片化する工程を有するものである。   The present invention forms a modified region serving as a division starting point at the laser irradiation position inside the semiconductor wafer by irradiating a laser to the side of the inspection pad in the separation region of each semiconductor chip of the semiconductor wafer, The method includes a step of dividing individual semiconductor chips of the semiconductor wafer into pieces by a bending method.

また、本発明は、半導体ウエハの個々の半導体チップの分離領域の検査用のパッドにレーザを照射することにより前記検査用のパッドに、前記半導体ウエハの切断工程において前記検査用のパッドの分割起点となる溝または孔を形成する工程を有するものである。   The present invention also provides a method for dividing the inspection pad in the semiconductor wafer cutting step by irradiating the inspection pad of the separation region of each semiconductor chip of the semiconductor wafer with a laser. And a step of forming a groove or a hole.

また、本発明は、半導体ウエハの個々の半導体チップの分離領域にレーザを照射することにより前記半導体ウエハの内部のレーザ照射位置に分割起点となる改質領域を形成する工程と、前記半導体ウエハの検査用のパッドを除去する工程とを有するものである。   According to another aspect of the present invention, there is provided a step of forming a modified region serving as a division starting point at a laser irradiation position inside the semiconductor wafer by irradiating a separation region of each semiconductor chip of the semiconductor wafer with a laser; And a step of removing the inspection pad.

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。   Among the inventions disclosed in the present application, effects obtained by typical ones will be briefly described as follows.

すなわち、半導体ウエハの個々の半導体チップの分離領域において検査用のパッドの脇にレーザを照射することにより前記半導体ウエハの内部のレーザ照射位置に分割起点となる改質領域を形成した後、前記半導体ウエハの個々の半導体チップを折り曲げ方式により個片化することにより、ステルスダイシングを用いた半導体ウエハの切断処理において切断形状不良を低減または防止することができる。   That is, after forming a modified region serving as a division starting point at a laser irradiation position inside the semiconductor wafer by irradiating a laser to the side of an inspection pad in a separation region of individual semiconductor chips of the semiconductor wafer, the semiconductor By dividing individual semiconductor chips of the wafer into pieces by a bending method, it is possible to reduce or prevent cutting shape defects in the cutting process of the semiconductor wafer using stealth dicing.

本発明の一実施の形態である半導体装置の製造工程のフロー図である。It is a flowchart of the manufacturing process of the semiconductor device which is one embodiment of this invention. 図1の前工程100後の半導体ウエハの主面の全体平面図である。FIG. 2 is an overall plan view of a main surface of a semiconductor wafer after a pre-process 100 in FIG. 1. 図2のX1−X1線の断面図である。It is sectional drawing of the X1-X1 line | wire of FIG. 図2の半導体ウエハの要部拡大平面図である。FIG. 3 is an enlarged plan view of a main part of the semiconductor wafer in FIG. 2. 図4の領域R1の拡大平面図である。FIG. 5 is an enlarged plan view of a region R1 in FIG. 図5のX2−X2線の断面図である。It is sectional drawing of the X2-X2 line | wire of FIG. 図6の半導体ウエハの断面構造の詳細例を示した半導体ウエハの要部断面図である。It is principal part sectional drawing of the semiconductor wafer which showed the detailed example of the cross-section of the semiconductor wafer of FIG. 半導体ウエハが収容された治具の全体平面図である。It is a whole top view of the jig | tool in which the semiconductor wafer was accommodated. 図8のX3−X3線の断面図である。It is sectional drawing of the X3-X3 line | wire of FIG. 裏面加工工程時の半導体ウエハおよび治具の断面図である。It is sectional drawing of the semiconductor wafer and jig | tool at the time of a back surface process. 裏面加工工程後の半導体ウエハおよび治具の断面図である。It is sectional drawing of the semiconductor wafer and jig | tool after a back surface process. レーザ照射工程後の半導体ウエハの要部平面図である。It is a principal part top view of the semiconductor wafer after a laser irradiation process. 図12のX4−X4線の断面図である。It is sectional drawing of the X4-X4 line | wire of FIG. レーザ照射工程後の半導体ウエハの他の例の要部平面図である。It is a principal part top view of the other example of the semiconductor wafer after a laser irradiation process. レーザ照射工程後の半導体ウエハのさらに他の例の要部平面図である。It is a principal part top view of the further another example of the semiconductor wafer after a laser irradiation process. 分割工程前の半導体ウエハの要部断面図である。It is principal part sectional drawing of the semiconductor wafer before a division process. 分割工程時の半導体ウエハの要部断面図である。It is principal part sectional drawing of the semiconductor wafer at the time of a division | segmentation process. 図17の半導体ウエハの要部拡大断面図である。FIG. 18 is an enlarged cross-sectional view of a main part of the semiconductor wafer of FIG. 17. 分割工程中の半導体ウエハの要部断面図である。It is principal part sectional drawing of the semiconductor wafer in a division | segmentation process. 半導体ウエハから切り出された半導体チップの全体平面図である。It is the whole semiconductor chip top view cut out from a semiconductor wafer. ダイボンディング工程後の半導体チップおよび配線基板の平面図である。It is a top view of the semiconductor chip and wiring board after a die-bonding process. 図21のX5−X5線の断面図である。It is sectional drawing of the X5-X5 line | wire of FIG. ワイヤボンディング工程後の半導体チップおよび配線基板の平面図である。It is a top view of the semiconductor chip and wiring board after a wire bonding process. 図23のX6−X6線の断面図である。It is sectional drawing of the X6-X6 line | wire of FIG. 封止工程後の半導体装置の断面図である。It is sectional drawing of the semiconductor device after a sealing process. 本発明の他の実施の形態である半導体装置の半導体チップの全体平面図である。It is the whole semiconductor chip top view of the semiconductor device which is other embodiments of the present invention. 図26の半導体チップの実装例の平面図である。FIG. 27 is a plan view of a mounting example of the semiconductor chip of FIG. 26. 本発明の他の実施の形態である半導体装置の製造工程中の半導体ウエハの要部平面図である。It is a principal part top view of the semiconductor wafer in the manufacturing process of the semiconductor device which is other embodiment of this invention. 図28のX8−X8線の断面図である。It is sectional drawing of the X8-X8 line | wire of FIG. 図28のX9−X9線の断面図である。It is sectional drawing of the X9-X9 line | wire of FIG. 1回目のレーザ光を照射している様子を示す半導体ウエハの図28のX8−X8線に対応する断面図である。It is sectional drawing corresponding to the X8-X8 line | wire of FIG. 28 of the semiconductor wafer which shows a mode that the 1st time laser beam is irradiated. 1回目のレーザ光を照射している様子を示す半導体ウエハの図28のX9−X9線に対応する断面図である。It is sectional drawing corresponding to the X9-X9 line | wire of FIG. 28 of the semiconductor wafer which shows a mode that the 1st laser beam is irradiated. 1回目のレーザ光の照射工程後の半導体ウエハの要部平面図である。It is a principal part top view of the semiconductor wafer after the irradiation process of the 1st laser beam. 図33のX10−X10線の断面図である。It is sectional drawing of the X10-X10 line | wire of FIG. 図33のX11−X11線の断面図である。It is sectional drawing of the X11-X11 line | wire of FIG. 2回目のレーザ光を照射している様子を示す半導体ウエハの図28のX8−X8線に対応する断面図である。It is sectional drawing corresponding to the X8-X8 line | wire of FIG. 28 of the semiconductor wafer which shows a mode that the 2nd time laser beam is irradiated. 2回目のレーザ光を照射している様子を示す半導体ウエハの図28のX9−X9線に対応する断面図である。It is sectional drawing corresponding to the X9-X9 line | wire of FIG. 28 of the semiconductor wafer which shows a mode that the 2nd time laser beam is irradiated. 半導体ウエハから切り出された半導体チップの全体平面図である。It is the whole semiconductor chip top view cut out from a semiconductor wafer. 図38のX12−X12線の断面図である。It is sectional drawing of the X12-X12 line | wire of FIG. 本発明の他の実施の形態である半導体装置の製造工程を示すフロー図である。It is a flowchart which shows the manufacturing process of the semiconductor device which is other embodiment of this invention. 図40のWSSの装着工程後の半導体ウエハの断面図である。FIG. 41 is a cross-sectional view of the semiconductor wafer after the WSS mounting process of FIG. 40. 図40の裏面研削、研磨工程後の半導体ウエハの断面図である。FIG. 41 is a cross-sectional view of the semiconductor wafer after the back grinding and polishing steps of FIG. 40. 図40のレーザ照射工程中の半導体ウエハの要部断面図である。41 is a fragmentary cross-sectional view of the semiconductor wafer during the laser irradiation step of FIG. 40. FIG. 図40のウエハマウント工程およびWSS剥離工程後の半導体ウエハおよび治具の平面図である。FIG. 41 is a plan view of the semiconductor wafer and jig after the wafer mounting step and the WSS peeling step of FIG. 40. 図44のX13−X13線の断面図である。It is sectional drawing of the X13-X13 line | wire of FIG. 図40のTEG加工工程中の半導体ウエハの要部断面図である。FIG. 41 is a main-portion cross-sectional view of the semiconductor wafer during the TEG processing step of FIG. 40; 図40のTEG加工工程後の半導体ウエハの要部断面図である。FIG. 41 is a main-portion cross-sectional view of the semiconductor wafer after the TEG processing step of FIG. 40; 図40の分割工程中の半導体ウエハの要部拡大断面図である。FIG. 41 is an essential part enlarged cross-sectional view of the semiconductor wafer during the dividing step of FIG. 40; 図40の分割工程により半導体ウエハから切り出された半導体チップの全体平面図である。FIG. 41 is an overall plan view of a semiconductor chip cut out from a semiconductor wafer by the dividing step of FIG. 40. 図49のX14−X14線の断面図である。It is sectional drawing of the X14-X14 line | wire of FIG. 本発明の他の実施の形態である半導体装置の製造工程におけるレーザ照射工程中の半導体ウエハの要部断面図である。It is principal part sectional drawing of the semiconductor wafer in the laser irradiation process in the manufacturing process of the semiconductor device which is other embodiment of this invention. 図51の後のTEG加工工程中における半導体ウエハの要部断面図である。FIG. 52 is a main-portion cross-sectional view of the semiconductor wafer during the TEG processing step after FIG. 51; TEG加工工程後の半導体ウエハの要部平面図である。It is a principal part top view of the semiconductor wafer after a TEG processing process. 図53のX15−X15線の断面図である。It is sectional drawing of the X15-X15 line | wire of FIG. 図53の後の分割工程中の半導体ウエハの要部拡大断面図である。FIG. 54 is an essential part enlarged cross-sectional view of the semiconductor wafer during the dividing step after FIG. 53; 図55の分割工程により半導体ウエハから切り出された半導体チップの全体平面図である。FIG. 56 is an overall plan view of a semiconductor chip cut out from a semiconductor wafer by the dividing step of FIG. 55. 図56のX16−X16線の断面図である。It is sectional drawing of the X16-X16 line | wire of FIG. 本発明の他の実施の形態である半導体装置の製造工程のTEG加工工程中の半導体ウエハの要部断面図である。It is principal part sectional drawing of the semiconductor wafer in the TEG processing process of the manufacturing process of the semiconductor device which is other embodiment of this invention. 図58のTEG加工工程後の半導体ウエハの要部断面図である。FIG. 59 is a main-portion cross-sectional view of the semiconductor wafer after the TEG processing step of FIG. 58; 図59の後の分割工程中の半導体ウエハの要部拡大断面図である。FIG. 60 is an essential part enlarged cross-sectional view of a semiconductor wafer during a division step subsequent to FIG. 59; 本発明のさらに他の実施の形態である半導体装置の製造工程のTEG加工工程中における半導体ウエハの要部断面図である。It is principal part sectional drawing of the semiconductor wafer in the TEG processing process of the manufacturing process of the semiconductor device which is further another embodiment of this invention. 図61の後の分割工程中の半導体ウエハの要部拡大断面図である。FIG. 62 is an essential part enlarged cross-sectional view of the semiconductor wafer during the subsequent dividing step of FIG. 61; 図63はTEG加工工程中における半導体ウエハの要部拡大断面図である。FIG. 63 is an enlarged cross-sectional view of the main part of the semiconductor wafer during the TEG processing step. 図24の変形例を示す半導体チップおよび配線基板の断面図である。It is sectional drawing of the semiconductor chip and wiring board which show the modification of FIG. 半導体ウエハを分割する際、亀裂の進展する方向の様子を示す要部断面図である。It is principal part sectional drawing which shows the mode of the direction which a crack progresses when dividing | segmenting a semiconductor wafer. レーザ照射により半導体ウエハ内に破砕層を形成した後に、ダイシングソーを用いてTEGを除去することで生じる課題の説明図である。It is explanatory drawing of the subject produced by removing TEG using a dicing saw, after forming a crushing layer in a semiconductor wafer by laser irradiation. 本発明の他の実施の形態である半導体装置の製造工程中の半導体ウエハの要部断面図である。It is principal part sectional drawing of the semiconductor wafer in the manufacturing process of the semiconductor device which is other embodiment of this invention. 図67に続く半導体装置の製造工程中の半導体ウエハの要部断面図である。FIG. 68 is a fragmentary cross-sectional view of the semiconductor wafer during a manufacturing step of the semiconductor device following that of FIG. 67; 図68に続く半導体装置の製造工程中の半導体ウエハの要部断面図である。FIG. 69 is a fragmentary cross-sectional view of the semiconductor wafer during a manufacturing step of the semiconductor device following that of FIG. 68; 図69に続く半導体装置の製造工程中の半導体ウエハの要部断面図である。FIG. 70 is a fragmentary cross-sectional view of the semiconductor wafer during a manufacturing step of the semiconductor device following that of FIG. 69; 図70に続く半導体装置の製造工程中の半導体ウエハの全体断面図である。FIG. 71 is an overall cross sectional view of the semiconductor wafer during a manufacturing step of the semiconductor device following that of FIG. 70; 図71に続く半導体装置の製造工程中の半導体ウエハの全体断面図である。FIG. 72 is an overall cross-sectional view of the semiconductor wafer during a manufacturing step of the semiconductor device following that of FIG. 71; ダイシングソーを用いてTEGを除去した後、半導体ウエハの主面側からレーザ照射することで生じる課題の説明図である。It is explanatory drawing of the subject which arises by irradiating a laser from the main surface side of a semiconductor wafer, after removing TEG using a dicing saw. 本発明の他の実施の形態である半導体ウエハの平面図である。It is a top view of the semiconductor wafer which is other embodiment of this invention. 図74の半導体ウエハの要部拡大平面図である。FIG. 75 is an enlarged plan view of a main part of the semiconductor wafer of FIG. 74. 図75の半導体ウエハのTEG除去時の要部断面図である。FIG. 76 is an essential part cross-sectional view of the semiconductor wafer of FIG. 75 when removing TEG; 本発明の他の実施の形態である半導体ウエハの分割の様子を示す平面図である。It is a top view which shows the mode of the division | segmentation of the semiconductor wafer which is other embodiment of this invention. (a)は図77で説明した半導体ウエハの分割工程の具体的な様子を示した半導体ウエハの全体平面図、(b)は(a)のX17−X17線の断面図である。(A) is the whole semiconductor wafer top view which showed the specific mode of the division | segmentation process of the semiconductor wafer demonstrated in FIG. 77, (b) is sectional drawing of the X17-X17 line | wire of (a). (a)および(b)は分割工程時の半導体ウエハの要部拡大断面図である。(A) And (b) is a principal part expanded sectional view of the semiconductor wafer at the time of a division | segmentation process. (a)〜(c)は本発明の他の実施の形態である半導体装置の製造工程中の半導体ウエハの断面図である。(A)-(c) is sectional drawing of the semiconductor wafer in the manufacturing process of the semiconductor device which is other embodiment of this invention.

以下の実施の形態においては便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明等の関係にある。また、以下の実施の形態において、要素の数等(個数、数値、量、範囲等を含む)に言及する場合、特に明示した場合および原理的に明らかに特定の数に限定される場合等を除き、その特定の数に限定されるものではなく、特定の数以上でも以下でも良い。さらに、以下の実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。同様に、以下の実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲についても同様である。また、本実施の形態を説明するための全図において同一機能を有するものは同一の符号を付すようにし、その繰り返しの説明は可能な限り省略するようにしている。以下、本発明の実施の形態を図面に基づいて詳細に説明する。   In the following embodiments, when it is necessary for the sake of convenience, the description will be divided into a plurality of sections or embodiments. However, unless otherwise specified, they are not irrelevant to each other. There are some or all of the modifications, details, supplementary explanations, and the like. Further, in the following embodiments, when referring to the number of elements (including the number, numerical value, quantity, range, etc.), especially when clearly indicated and when clearly limited to a specific number in principle, etc. Except, it is not limited to the specific number, and may be more or less than the specific number. Further, in the following embodiments, the constituent elements (including element steps and the like) are not necessarily indispensable unless otherwise specified and apparently essential in principle. Needless to say. Similarly, in the following embodiments, when referring to the shapes, positional relationships, etc. of the components, etc., the shapes are substantially the same unless otherwise specified, or otherwise apparent in principle. And the like are included. The same applies to the above numerical values and ranges. Also, components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiments, and the repetitive description thereof is omitted as much as possible. Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.

(実施の形態1)
本実施の形態1の半導体装置の製造方法を図1のフロー図に沿って説明する。
(Embodiment 1)
A method of manufacturing the semiconductor device according to the first embodiment will be described with reference to the flowchart of FIG.

まず、前工程100では、厚さ方向に沿って互いに反対側となる主面と裏面とを有する半導体ウエハ(以下、ウエハという)を用意し、そのウエハの主面(デバイス形成面)に複数の半導体チップ(以下、チップという)を形成する。この前工程100は、ウエハプロセスまたはウエハファブリケーションとも呼ばれ、ウエハの主面にチップ(集積回路(素子や配線))を形成し、プローブ等により電気的試験を行える状態にするまでの工程である。前工程には、成膜工程、不純物導入(拡散またはイオン注入)工程、フォトリソグラフィ工程、エッチング工程、メタライズ工程、洗浄工程および各工程間の検査工程等がある。   First, in the pre-process 100, a semiconductor wafer (hereinafter referred to as a wafer) having a main surface and a back surface that are opposite to each other along the thickness direction is prepared, and a plurality of wafers are formed on the main surface (device forming surface) of the wafer. A semiconductor chip (hereinafter referred to as a chip) is formed. This pre-process 100 is also called a wafer process or wafer fabrication, and is a process until a chip (integrated circuit (element or wiring)) is formed on the main surface of the wafer and an electrical test can be performed with a probe or the like. is there. The pre-process includes a film formation process, an impurity introduction (diffusion or ion implantation) process, a photolithography process, an etching process, a metallization process, a cleaning process, and an inspection process between the processes.

図2はこの前工程100後のウエハ1Wの主面の全体平面図、図3は図2のX1−X1線の断面図、図4は図2のウエハ1Wの要部拡大平面図、図5は図4の領域R1の拡大平面図、図6は図5のX2−X2線の断面図、図7は図6のウエハ1Wの断面構造の詳細例を示したウエハ1Wの要部断面図である。なお、図2の符号Nはノッチを示している。   2 is an overall plan view of the main surface of the wafer 1W after the previous process 100, FIG. 3 is a cross-sectional view taken along line X1-X1 of FIG. 2, FIG. 4 is an enlarged plan view of the main part of the wafer 1W in FIG. 4 is an enlarged plan view of a region R1 in FIG. 4, FIG. 6 is a cross-sectional view taken along line X2-X2 in FIG. 5, and FIG. 7 is a cross-sectional view of the main part of the wafer 1W showing a detailed example of the cross-sectional structure of the wafer 1W in FIG. is there. In addition, the code | symbol N of FIG. 2 has shown the notch.

ウエハ1Wは、図2および図3に示すように、例えば直径300mm程度の平面略円形状の半導体薄板からなり、その主面には、例えば平面長方形状の複数のチップ1Cが、行列状に配置されている。   As shown in FIGS. 2 and 3, the wafer 1 </ b> W is made of, for example, a substantially planar semiconductor thin plate having a diameter of about 300 mm, and a plurality of planar rectangular chips 1 </ b> C are arranged in a matrix on the main surface, for example. Has been.

各チップ1Cには、例えばフラッシュメモリ等のようなメモリ回路が形成されている。また、各チップ1Cの長手方向の一端には、図4および図5に示すように、複数のボンディングパッド(以下、ボンディングパッドをパッドという)1LBが、チップ1Cの長手方向の一端の辺に沿って並んで配置されている。パッド1LBは、チップ1Cに形成されたメモリ回路(集積回路)の電極をチップ1Cの外部に引き出す外部端子であり、配線を通じてメモリ回路形成用の素子と電気的に接続されている。なお、チップ1Cに形成される集積回路はメモリ回路の他に、マイクロプロセッサ等のような論理回路が形成される場合もある。   Each chip 1C is formed with a memory circuit such as a flash memory. Further, as shown in FIGS. 4 and 5, a plurality of bonding pads (hereinafter, bonding pads are referred to as pads) 1LB are provided at one end in the longitudinal direction of each chip 1C along one side in the longitudinal direction of the chip 1C. Are arranged side by side. The pad 1LB is an external terminal for extracting an electrode of a memory circuit (integrated circuit) formed on the chip 1C to the outside of the chip 1C, and is electrically connected to an element for forming a memory circuit through a wiring. The integrated circuit formed on the chip 1C may be formed with a logic circuit such as a microprocessor in addition to the memory circuit.

各チップ1Cの外周には切断領域(チップ分離領域)CRが配置されている。この切断領域CRには、図4および図5に示すように、テスト(TEG:Test Element Group)用のパッド1LBtやアライメントターゲットAmが配置されている。テスト用のパッド1LBtは、例えば平面方形状に形成されており、その大きさは、例えば50μm×50μm程度である。このパッド1LBtは、TEG用の素子の電極をチップ1Cの外部に引き出す外部端子であり、配線を通じてTEG用の素子と電気的に接続されている。TEG用の素子は、チップ1C内に形成された素子の電気的特性の測定や試験に使用される素子である。上記アライメントターゲットAmは、例えば平面十字状に形成されているが、十字状の他に、L字状やドット状に形成される場合もある。アライメントターゲットAmは、例えば露光装置等のような製造装置とウエハ1Wのチップ1Cとの位置合わせの際に用いられるパターンである。   A cutting region (chip separation region) CR is disposed on the outer periphery of each chip 1C. As shown in FIG. 4 and FIG. 5, a test element group (TEG) pad 1LBt and an alignment target Am are arranged in the cutting region CR. The test pad 1LBt is formed in a planar square shape, for example, and the size thereof is, for example, about 50 μm × 50 μm. The pad 1LBt is an external terminal for leading the electrode of the TEG element to the outside of the chip 1C, and is electrically connected to the TEG element through a wiring. The element for TEG is an element used for measuring or testing the electrical characteristics of the element formed in the chip 1C. The alignment target Am is formed in, for example, a planar cross shape, but may be formed in an L shape or a dot shape in addition to the cross shape. The alignment target Am is a pattern used when aligning a manufacturing apparatus such as an exposure apparatus and the chip 1C of the wafer 1W.

このようなウエハ1Wを構成する半導体基板(以下、基板という)1Sは、例えばシリコン(Si)単結晶からなり、その主面には素子および配線層1Lが形成されている。この段階のウエハ1Wの厚さ(基板1Sの厚さと配線層1Lの厚さとの総和)D1(図3参照)は、例えば775μm程度である。   A semiconductor substrate (hereinafter referred to as a substrate) 1S constituting such a wafer 1W is made of, for example, silicon (Si) single crystal, and an element and a wiring layer 1L are formed on its main surface. The thickness of the wafer 1W at this stage (the sum of the thickness of the substrate 1S and the thickness of the wiring layer 1L) D1 (see FIG. 3) is, for example, about 775 μm.

上記配線層1Lには、図6および図7に示すように、層間絶縁膜1Li、配線、パッド(外部端子)1LB、テスト用のパッド1LBt、アライメントターゲットAmおよび表面保護膜(以下、保護膜という)1Lpが形成されている。層間絶縁膜1Liは、複数の層間絶縁膜1Li1,1Li2,1Li3を有している。   As shown in FIGS. 6 and 7, the wiring layer 1L includes an interlayer insulating film 1Li, wiring, a pad (external terminal) 1LB, a test pad 1LBt, an alignment target Am, and a surface protective film (hereinafter referred to as a protective film). ) 1Lp is formed. The interlayer insulating film 1Li has a plurality of interlayer insulating films 1Li1, 1Li2, 1Li3.

層間絶縁膜1Li1には、絶縁膜2a,2bが形成されている。絶縁膜2a,2bは、基板1S上に交互に堆積されている。絶縁膜2aは、例えば酸化シリコン(SiO等)のような無機系の絶縁膜により形成されている。絶縁膜2bは、例えば窒化シリコン(Si等)のような絶縁膜により形成されている。絶縁膜2bは、絶縁膜2aよりも薄く、例えばエッチングストッパとして機能を有している。層間絶縁膜1Li1には、プラグ(コンタクトプラグ)PL1,PL2および配線L1が形成されている。 Insulating films 2a and 2b are formed on the interlayer insulating film 1Li1. The insulating films 2a and 2b are alternately deposited on the substrate 1S. The insulating film 2a is formed of an inorganic insulating film such as silicon oxide (SiO 2 or the like). The insulating film 2b is formed of an insulating film such as silicon nitride (Si 3 N 4 or the like), for example. The insulating film 2b is thinner than the insulating film 2a and functions as, for example, an etching stopper. In the interlayer insulating film 1Li1, plugs (contact plugs) PL1 and PL2 and a wiring L1 are formed.

プラグPL1,PL2は、孔H1,H2内に導体膜が埋め込まれることで形成されている。プラグPL1,PL2を形成する導体膜は、主導体膜と、その外周面(底面および側面)を覆うように形成されたバリアメタル膜とを有している。主導体膜は、例えばタングステン(W)により形成されており、バリアメタル膜よりも厚く形成されている。バリアメタル膜は、例えば窒化チタン(TiN)、窒化タングステン(WN)、窒化タンタル(TaN)、タンタル(Ta)、チタン(Ti)、タングステン(W)またはチタンタングステン(TiW)あるいはそれらの積層膜により形成されている。上記配線L1は、例えば埋込配線とされている。すなわち、この配線L1は、絶縁膜2a,2bに形成された配線溝T1内に導体膜が埋め込まれることで形成されている。配線L1の導体膜の構成は上記プラグPL1,PL2と同じである。   Plugs PL1 and PL2 are formed by embedding a conductor film in the holes H1 and H2. The conductor film forming the plugs PL1 and PL2 has a main conductor film and a barrier metal film formed so as to cover the outer peripheral surface (bottom surface and side surface) thereof. The main conductor film is made of tungsten (W), for example, and is thicker than the barrier metal film. The barrier metal film is made of, for example, titanium nitride (TiN), tungsten nitride (WN), tantalum nitride (TaN), tantalum (Ta), titanium (Ti), tungsten (W), titanium tungsten (TiW), or a laminated film thereof. Is formed. The wiring L1 is, for example, a buried wiring. That is, the wiring L1 is formed by embedding a conductor film in the wiring trench T1 formed in the insulating films 2a and 2b. The configuration of the conductor film of the wiring L1 is the same as that of the plugs PL1 and PL2.

上記層間絶縁膜1Li2には、絶縁膜3a,3b,3c,3dおよび配線L2,L3が形成されている。絶縁膜3aは、例えば炭化シリコン(SiC)により形成されており、エッチングストッパとしての機能を有している。絶縁膜3aは、絶縁膜3b,3c,3dよりも薄く形成されている。   In the interlayer insulating film 1Li2, insulating films 3a, 3b, 3c, 3d and wirings L2, L3 are formed. The insulating film 3a is made of, for example, silicon carbide (SiC) and has a function as an etching stopper. The insulating film 3a is formed thinner than the insulating films 3b, 3c, 3d.

絶縁膜3bは、半導体装置の動作速度の向上の観点から、例えば有機ポリマーまたは有機シリカガラスのような、誘電率が酸化シリコンの誘電率(例えば3.9〜4.0)よりも低い低誘電率膜(Low−k膜)により形成されている。絶縁膜3bは、絶縁膜3a,3c,3dよりも厚く形成されている。   The insulating film 3b has a low dielectric constant whose dielectric constant is lower than that of silicon oxide (for example, 3.9 to 4.0) such as organic polymer or organic silica glass from the viewpoint of improving the operation speed of the semiconductor device. It is formed of a rate film (Low-k film). The insulating film 3b is formed thicker than the insulating films 3a, 3c, 3d.

上記有機ポリマー(完全有機系低誘電性層間絶縁膜)としては、例えばSiLK(米The Dow Chemical Co製、比誘電率=2.7、耐熱温度=490℃以上、絶縁破壊耐圧=4.0〜5.0MV/Vm)またはポリアリルエーテル(PAE)系材料のFLARE(米Honeywell Electronic Materials製、比誘電率=2.8、耐熱温度=400℃以上)等がある。このPAE系材料は、基本性能が高く、機械的強度、熱的安定性および低コスト性に優れるという特徴を有している。   Examples of the organic polymer (fully organic low dielectric interlayer insulating film) include SiLK (manufactured by The Dow Chemical Co., USA, relative dielectric constant = 2.7, heat resistance temperature = 490 ° C. or higher, dielectric breakdown voltage = 4.0 to 4.0). 5.0 MV / Vm) or FLARE of polyallyl ether (PAE) material (manufactured by Honeywell Electronic Materials, relative permittivity = 2.8, heat-resistant temperature = 400 ° C. or higher). This PAE material is characterized by high basic performance and excellent mechanical strength, thermal stability and low cost.

上記有機シリカガラス(SiOC系材料)としては、例えばHSG−R7(日立化成工業製、比誘電率=2.8、耐熱温度=650℃)、Black Diamond(米Applied Materials,Inc製、比誘電率=3.0〜2.4、耐熱温度=450℃)またはp−MTES(日立開発製、比誘電率=3.2)等がある。この他のSiOC系材料としては、例えばCORAL(米Novellus Systems,Inc製、比誘電率=2.7〜2.4、耐熱温度=500℃)、Aurora2.7(日本エー・エス・エム社製、比誘電率=2.7、耐熱温度=450℃)等がある。   Examples of the organic silica glass (SiOC-based material) include HSG-R7 (manufactured by Hitachi Chemical Co., Ltd., relative dielectric constant = 2.8, heat-resistant temperature = 650 ° C.), Black Diamond (manufactured by Applied Materials, Inc., relative dielectric constant). = 3.0-2.4, heat-resistant temperature = 450 ° C.) or p-MTES (manufactured by Hitachi Development Co., Ltd., relative dielectric constant = 3.2). Examples of other SiOC-based materials include CORAL (manufactured by Novellus Systems, Inc., relative dielectric constant = 2.7 to 2.4, heat-resistant temperature = 500 ° C.), Aurora 2.7 (manufactured by Japan ASM Co., Ltd.). , Relative dielectric constant = 2.7, heat-resistant temperature = 450 ° C.).

また、他の低誘電率膜材料としては、例えばFSG等のような完全有機系のSiOF系材料、HSQ(hydrogen silsesquioxane)系材料、MSQ(methyl silsesquioxane)系材料、ポーラスHSQ系材料、ポーラスMSQ材料またはポーラス有機系材料を用いることもできる。   Other low dielectric constant film materials include, for example, fully organic SiOF materials such as FSG, HSQ (hydrogen silsesquioxane) materials, MSQ (methyl silsesquioxane) materials, porous HSQ materials, and porous MSQ materials. Alternatively, a porous organic material can be used.

上記HSQ系材料としては、例えばOCD T−12(東京応化工業製、比誘電率=3.4〜2.9、耐熱温度=450℃)、FOx(米Dow Corning Corp.製、比誘電率=2.9)またはOCL T−32(東京応化工業製、比誘電率=2.5、耐熱温度=450℃)等がある。   Examples of the HSQ-based material include OCD T-12 (manufactured by Tokyo Ohka Kogyo Co., Ltd., dielectric constant = 3.4 to 2.9, heat-resistant temperature = 450 ° C.), FOx (manufactured by Dow Corning Corp., USA), dielectric constant = 2.9) or OCL T-32 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative permittivity = 2.5, heat-resistant temperature = 450 ° C.).

上記MSQ系材料としては、例えばOCD T−9(東京応化工業製、比誘電率=2.7、耐熱温度=600℃)、LKD−T200(JSR製、比誘電率=2.7〜2.5、耐熱温度=450℃)、HOSP(米Honeywell Electronic Materials製、比誘電率=2.5、耐熱温度=550℃)、HSG−RZ25(日立化成工業製、比誘電率=2.5、耐熱温度=650℃)、OCL T−31(東京応化工業製、比誘電率=2.3、耐熱温度=500℃)またはLKD−T400(JSR製、比誘電率=2.2〜2、耐熱温度=450℃)等がある。   Examples of the MSQ material include OCD T-9 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative dielectric constant = 2.7, heat-resistant temperature = 600 ° C.), LKD-T200 (manufactured by JSR, relative dielectric constant = 2.7-2. 5, heat-resistant temperature = 450 ° C., HOSP (manufactured by Honeywell Electronic Materials, relative dielectric constant = 2.5, heat-resistant temperature = 550 ° C.), HSG-RZ25 (manufactured by Hitachi Chemical, relative dielectric constant = 2.5, heat-resistant Temperature = 650 ° C.), OCL T-31 (manufactured by Tokyo Ohka Kogyo Co., Ltd., dielectric constant = 2.3, heat-resistant temperature = 500 ° C.) or LKD-T400 (manufactured by JSR, dielectric constant = 2.2-2, heat-resistant temperature) = 450 ° C.).

上記ポーラスHSQ系材料としては、例えばXLK(米Dow Corning Corp.製、比誘電率=2.5〜2)、OCL T−72(東京応化工業製、比誘電率=2.2〜1.9、耐熱温度=450℃)、Nanoglass(米Honeywell Electronic Materials製、比誘電率=2.2〜1.8、耐熱温度=500℃以上)またはMesoELK(米Air Productsand Chemicals,Inc、比誘電率=2以下)等がある。   Examples of the porous HSQ material include XLK (manufactured by Dow Corning Corp., relative dielectric constant = 2.5-2), OCL T-72 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative dielectric constant = 2.2-1.9). , Heat resistant temperature = 450 ° C), Nanoglass (manufactured by Honeywell Electronic Materials, relative dielectric constant = 2.2 to 1.8, heat resistant temperature = 500 ° C or higher) or MesoELK (US Air Products and Chemicals, Inc, relative dielectric constant = 2) Etc.)

上記ポーラスMSQ系材料としては、例えばHSG−6211X(日立化成工業製、比誘電率=2.4、耐熱温度=650℃)、ALCAP−S(旭化成工業製、比誘電率=2.3〜1.8、耐熱温度=450℃)、OCL T−77(東京応化工業製、比誘電率=2.2〜1.9、耐熱温度=600℃)、HSG−6210X(日立化成工業製、比誘電率=2.1、耐熱温度=650℃)またはsilica aerogel(神戸製鋼所製、比誘電率1.4〜1.1)等がある。   Examples of the porous MSQ material include HSG-6221X (manufactured by Hitachi Chemical Co., Ltd., relative dielectric constant = 2.4, heat-resistant temperature = 650 ° C.), ALCAP-S (manufactured by Asahi Kasei Kogyo Co., Ltd., relative dielectric constant = 2.3-1). .8, heat resistant temperature = 450 ° C.), OCL T-77 (manufactured by Tokyo Ohka Kogyo Co., Ltd., relative dielectric constant = 2.2 to 1.9, heat resistant temperature = 600 ° C.), HSG-6210X (manufactured by Hitachi Chemical Co., Ltd., dielectric constant) Rate = 2.1, heat-resistant temperature = 650 ° C.) or silica aerogel (manufactured by Kobe Steel, relative dielectric constant: 1.4 to 1.1).

上記ポーラス有機系材料としては、例えばPolyELK(米Air Productsand Chemicals,Inc、比誘電率=2以下、耐熱温度=490℃)等がある。   Examples of the porous organic material include PolyELK (US Air Products and Chemicals, Inc., dielectric constant = 2 or less, heat-resistant temperature = 490 ° C.), and the like.

上記SiOC系材料、SiOF系材料は、例えばCVD法(Chemical Vapor Deposition)によって形成されている。例えば上記Black Diamondは、トリメチルシランと酸素との混合ガスを用いたCVD法等によって形成される。また、上記p−MTESは、例えばメチルトリエトキシシランとNOとの混合ガスを用いたCVD法等によって形成される。それ以外の上記低誘電率の絶縁材料は、例えば塗布法で形成されている。 The SiOC material and the SiOF material are formed by, for example, a CVD method (Chemical Vapor Deposition). For example, the Black Diamond is formed by a CVD method using a mixed gas of trimethylsilane and oxygen. The p-MTES is formed by, for example, a CVD method using a mixed gas of methyltriethoxysilane and N 2 O. The other low dielectric constant insulating materials are formed by, for example, a coating method.

上記絶縁膜3cは、例えば酸化シリコンにより形成されている。この絶縁膜3cは、例えば化学機械研磨処理(CMP;Chemical Mechanical Polishing)時における低誘電率膜の機械的強度の確保、表面保護および耐湿性の確保等のような機能を有している。この絶縁膜3cは、絶縁膜3dとほぼ同じ厚さで形成されている。絶縁膜3cの材料は、上記した酸化シリコン膜に限定されるものではなく種々変更可能であり、例えば窒化シリコン(Si)膜、炭化シリコン膜または炭窒化シリコン(SiCN)膜を用いても良い。これら窒化シリコン膜、炭化シリコン膜または炭窒化シリコン膜は、例えばプラズマCVD法によって形成することができる。プラズマCVD法で形成された炭化シリコン膜としては、例えばBLOk(AMAT社製、比誘電率=4.3)がある。 The insulating film 3c is made of, for example, silicon oxide. The insulating film 3c has functions such as ensuring the mechanical strength, surface protection, and moisture resistance of the low dielectric constant film during, for example, chemical mechanical polishing (CMP). The insulating film 3c is formed with substantially the same thickness as the insulating film 3d. The material of the insulating film 3c is not limited to the silicon oxide film described above and can be variously changed. For example, a silicon nitride (Si x N y ) film, a silicon carbide film, or a silicon carbonitride (SiCN) film is used. Also good. These silicon nitride film, silicon carbide film, or silicon carbonitride film can be formed by, for example, a plasma CVD method. As a silicon carbide film formed by the plasma CVD method, for example, there is BLOk (manufactured by AMAT, relative permittivity = 4.3).

上記絶縁膜3dは、例えば炭窒化シリコンにより形成されている。この絶縁膜3dは、エッチングストッパとしての機能の他に、配線L2,L3の主導体膜を形成する銅の拡散を抑制または防止する機能を有している。   The insulating film 3d is made of, for example, silicon carbonitride. In addition to the function as an etching stopper, the insulating film 3d has a function of suppressing or preventing the diffusion of copper forming the main conductor film of the wirings L2 and L3.

上記配線L2,L3は、上記埋込配線とされている。すなわち、配線L2,L3は、配線溝T2,T3に導体膜が埋め込まれることで形成されている。配線L2,L3の導体膜は、上記配線L3と同様に、主導体膜と、その外周面(底面および側面)を覆うように形成されたバリアメタル膜とを有している。主導体膜は、例えば銅(Cu)により形成されており、バリアメタル膜よりも厚く形成されている。バリアメタル膜の材料は、上記プラグPL1,PL2と同じである。配線L3は、孔H3を通じて配線L2と電気的に接続されている。配線L3の配線溝T3の導体膜と孔H3の導体膜とは一体的に形成されている。   The wirings L2 and L3 are the embedded wirings. That is, the wirings L2, L3 are formed by embedding a conductor film in the wiring trenches T2, T3. Similar to the wiring L3, the conductor film of the wirings L2 and L3 includes a main conductive film and a barrier metal film formed so as to cover the outer peripheral surface (bottom surface and side surfaces) thereof. The main conductor film is made of, for example, copper (Cu) and is thicker than the barrier metal film. The material of the barrier metal film is the same as that of the plugs PL1 and PL2. The wiring L3 is electrically connected to the wiring L2 through the hole H3. The conductor film of the wiring groove T3 of the wiring L3 and the conductor film of the hole H3 are integrally formed.

上記層間絶縁膜1Li3は、例えば酸化シリコンにより形成されている。層間絶縁膜1Li3には、プラグPL3が形成されている。このプラグPL3は、孔H4内に導体膜が埋め込まれることで形成されている。プラグPL3を形成する導体膜は、上記プラグPL1,PL2と同じである。   The interlayer insulating film 1Li3 is made of, for example, silicon oxide. A plug PL3 is formed in the interlayer insulating film 1Li3. The plug PL3 is formed by embedding a conductor film in the hole H4. The conductor film forming the plug PL3 is the same as the plugs PL1 and PL2.

この層間絶縁膜1Li3上には、配線、上記パッド1LB,1LBtおよび上記アライメントターゲットAmが形成されている。この配線、パッド1LB,1LBtおよびアライメントターゲットAmは、例えばアルミニウム等のような金属膜により形成されている。このような最上の配線およびパッド1LB,1LBt等は、配線層1Lの最上層に形成された保護膜1Lpにより覆われている。保護膜1Lpは、例えば酸化シリコンのような無機系の絶縁膜1Lp1と、その上に堆積された、例えば窒化シリコンのような無機系の絶縁膜1Lp2と、さらにその上に堆積された、例えばポリイミド樹脂のような有機系の絶縁膜1Lp3との積層膜により形成されている。この保護膜1Lpの一部には、開口部5が形成されており、そこからパッド1LB,1LBtの一部が露出されている。   On the interlayer insulating film 1Li3, wirings, the pads 1LB and 1LBt, and the alignment target Am are formed. The wiring, pads 1LB, 1LBt, and alignment target Am are formed of a metal film such as aluminum. Such uppermost wiring and pads 1LB, 1LBt, etc. are covered with a protective film 1Lp formed on the uppermost layer of the wiring layer 1L. The protective film 1Lp includes, for example, an inorganic insulating film 1Lp1 such as silicon oxide, an inorganic insulating film 1Lp2 deposited thereon, for example, silicon nitride, and further deposited thereon, such as polyimide It is formed of a laminated film with an organic insulating film 1Lp3 such as resin. An opening 5 is formed in a part of the protective film 1Lp, and parts of the pads 1LB and 1LBt are exposed therefrom.

ところで、本実施の形態1においては、上記テスト用のパッド1LBt(TEG用の素子や配線も含む)やアライメントターゲットAmが切断領域CRの幅方向(短方向)の片側に寄せて配置されている。すなわち、上記テスト用のパッド1LBtやアライメントターゲットAmが切断領域CRの幅方向中央からずれて配置されている。そして、ステルスダイシング時にレーザ光が照射される切断線CLは、上記テスト用のパッド1LBtやアライメントターゲットAmの配置線上を通過せず、上記テスト用のパッド1LBtやアライメントターゲットAmの脇を通過するようになっている。すなわち、切断線CLは、テスト用のパッド1LBtやアライメントターゲットAmを跨がずに、テスト用のパッド1LBtやアライメントターゲットAmから離れた位置を通過するようになっている。   In the first embodiment, the test pad 1LBt (including TEG elements and wiring) and the alignment target Am are arranged close to one side in the width direction (short direction) of the cutting region CR. . That is, the test pad 1LBt and the alignment target Am are arranged so as to be shifted from the center in the width direction of the cutting region CR. Then, the cutting line CL to which the laser beam is irradiated at the time of stealth dicing does not pass on the placement line of the test pad 1LBt and the alignment target Am, but passes by the side of the test pad 1LBt and the alignment target Am. It has become. That is, the cutting line CL passes through a position away from the test pad 1LBt and the alignment target Am without straddling the test pad 1LBt and the alignment target Am.

切断線CLがテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンに重なる場合、その金属パターンのある箇所と無い箇所とで機械的強度にばらつきが生じることや上記低誘電率膜は脆く金属パターンから剥離し易いこと等により、綺麗に分割できない。また、切断線CLがパッド1LBtやアライメントターゲットAm等のような金属パターンに重なる場合、切断時に上記金属パターンの切断部に、ひげ状の導体異物が残され、その導体異物がボンディングワイヤや電極等に接触して短絡不良を引き起こし、薄型の半導体装置の信頼性や歩留まりが低下する問題がある。   When the cutting line CL overlaps a metal pattern such as the test pad 1LBt or the alignment target Am, the mechanical strength varies depending on whether or not the metal pattern is present, and the low dielectric constant film is fragile. It cannot be divided neatly because it is easily peeled off from the metal pattern. Further, when the cutting line CL overlaps a metal pattern such as the pad 1LBt or the alignment target Am, a whisker-like conductor foreign matter is left in the cut portion of the metal pattern at the time of cutting, and the conductor foreign matter is bonded to a bonding wire, an electrode, or the like. There is a problem that a short-circuit failure is caused by contact with the substrate, and the reliability and yield of a thin semiconductor device are lowered.

これに対して本実施の形態1では、切断線CLがテスト用のパッド1LBtやアライメントターゲットAmに重ならないので、ウエハ1Wを綺麗に切断することができる。また、テスト用のパッド1LBtやアライメントターゲットAm等の金属パターンは切断されないので、上記のようなひげ状の導体異物の発生を防止することができる。したがって、薄型の半導体装置の信頼性や歩留まりを向上させることができる。   On the other hand, in the first embodiment, since the cutting line CL does not overlap the test pad 1LBt or the alignment target Am, the wafer 1W can be cut cleanly. In addition, since the metal patterns such as the test pad 1LBt and the alignment target Am are not cut, generation of the above-described whisker-like conductor foreign matters can be prevented. Therefore, the reliability and yield of a thin semiconductor device can be improved.

また、切断線CLがテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンに重なる場合に、ステルスダイシング処理時にウエハ1Wの主面側からレーザ光を照射すると、パッド1LBtやアライメントターゲットAm等が邪魔になって基板1Sに改質領域を形成することが困難になる。これに対して本実施の形態1では、切断線CLはテスト用のパッド1LBtやアライメントターゲットAmに重ならないので、ステルスダイシング処理時にレーザ光をウエハ1Wの主面から照射しても基板1Sに良好に後述の改質領域を形成することができる。したがって、レーザ照射の自由度を向上させることができる。   Further, when the cutting line CL overlaps a metal pattern such as the test pad 1LBt and the alignment target Am, when the laser light is irradiated from the main surface side of the wafer 1W during the stealth dicing process, the pad 1LBt, the alignment target Am, etc. This makes it difficult to form a modified region on the substrate 1S. On the other hand, in the first embodiment, the cutting line CL does not overlap the test pad 1LBt or the alignment target Am, so that the substrate 1S is good even when the laser beam is irradiated from the main surface of the wafer 1W during the stealth dicing process. A modified region described later can be formed. Therefore, the degree of freedom of laser irradiation can be improved.

次いで、図1のテスト工程101では、ウエハ1Wの各チップ1Cのパッド1LBおよび切断領域CRのテスト用のパッド1LBtにプローブを当てて各種の電気的特性検査を行う。このテスト工程は、G/W(Good chip/Wafer)チェック工程とも呼ばれ、主としてウエハ1Wに形成された各チップ1Cの良否を電気的に判定する試験工程である。   Next, in the test process 101 of FIG. 1, various electrical characteristic inspections are performed by applying probes to the pads 1LB of each chip 1C of the wafer 1W and the test pads 1LBt of the cutting region CR. This test process is also referred to as a G / W (Good chip / Wafer) check process, and is a test process that mainly electrically determines the quality of each chip 1C formed on the wafer 1W.

続く図1の後工程102は、上記チップ1Cを封止体(パッケージ)に収納し完成するまでの工程であり、裏面加工工程102A、チップ分割工程102Bおよび組立工程102Cを有している。以下、裏面加工工程102A、チップ分割工程102Bおよび組立工程102Cについて順に説明する。   A subsequent process 102 in FIG. 1 is a process until the chip 1C is housed in a sealing body (package) and completed, and includes a back surface processing process 102A, a chip dividing process 102B, and an assembling process 102C. Hereinafter, the back surface processing step 102A, the chip dividing step 102B, and the assembly step 102C will be described in order.

裏面加工工程102Aは、ウエハ1Wを薄型化する工程である。まず、裏面加工工程では、ウエハ1Wを治具に収容する。図8はウエハ1Wが収容された治具7の全体平面図、図9は図8のX3−X3線の断面図をそれぞれ示している。なお、図8ではウエハ1Wの主面のチップ1Cを破線で示した。   The back surface processing step 102A is a step of thinning the wafer 1W. First, in the back surface processing step, the wafer 1W is accommodated in a jig. 8 is an overall plan view of the jig 7 in which the wafer 1W is accommodated, and FIG. 9 is a sectional view taken along line X3-X3 of FIG. In FIG. 8, the chip 1C on the main surface of the wafer 1W is indicated by a broken line.

治具7は、テープ7aとリング(枠体)7bとを有している。テープ7aのテープベース7a1は、例えば柔軟性を持つプラスチック材料からなり、その主面には接着層7a2が形成されている。テープ7aは、その接着層7a2によりウエハ1Wの主面(チップ形成面)にしっかりと貼り付けられている。テープ7aの厚さ(テープベース7a1の厚さと接着層7a2の厚さとの総和)は、あまり厚いとその後の工程でのハンドリングやテープ7aの剥離が難しくなるので、例えば130〜210μm程度の薄いものが使用されている。このテープ7aとして、例えばUVテープを使用することも好ましい。UVテープは、接着層7a2の材料として紫外線(UV)硬化性樹脂が使用された粘着テープであり、強力な粘着力を持ちつつ、紫外線を照射すると接着層7a2の粘着力が急激に弱くなる性質を有している(工程102A1)。   The jig 7 has a tape 7a and a ring (frame body) 7b. The tape base 7a1 of the tape 7a is made of, for example, a flexible plastic material, and an adhesive layer 7a2 is formed on the main surface thereof. The tape 7a is firmly attached to the main surface (chip forming surface) of the wafer 1W by the adhesive layer 7a2. If the thickness of the tape 7a (the sum of the thickness of the tape base 7a1 and the thickness of the adhesive layer 7a2) is too thick, handling in the subsequent steps and peeling of the tape 7a become difficult. For example, the tape 7a is as thin as about 130 to 210 μm. Is used. As this tape 7a, it is also preferable to use, for example, a UV tape. The UV tape is an adhesive tape in which an ultraviolet (UV) curable resin is used as a material of the adhesive layer 7a2, and has a property that the adhesive force of the adhesive layer 7a2 is rapidly weakened when irradiated with ultraviolet rays while having a strong adhesive force. (Step 102A1).

本実施の形態1では、このテープ7aの主面(ウエハ1Wの貼付面)の外周に剛性を持つリング7bが貼り付けられている。リング7bは、テープ7aが撓まないように支える機能を有する補強部材である。この補強の観点からリング7bは、例えばステンレス等のような金属により形成することが好ましいが、金属と同程度の硬度を持つように厚さを設定したプラスチック材料により形成しても良い。リング7bの外周には、切り欠き部7b1,7b2が形成されている。この切り欠き部7b1,7b2は、治具7のハンドリング時や治具7とこれを載置する製造装置との位置合わせ時に使用する他、製造装置に治具7を固定する際の引っかかり部として使用される。なお、リング7bはテープ7aの裏面(ウエハ1Wの貼付面とは反対側の面)に貼り付けても良い。また、リング7bは、テープ37にウエハ1Wを貼り付ける前に貼り付けても良いし、テープ7aにウエハ1Wを貼り付けた後に貼り付けても良い。   In the first embodiment, a rigid ring 7b is affixed to the outer periphery of the main surface (the affixing surface of the wafer 1W) of the tape 7a. The ring 7b is a reinforcing member having a function of supporting the tape 7a so as not to bend. From the viewpoint of reinforcement, the ring 7b is preferably formed of a metal such as stainless steel, but may be formed of a plastic material whose thickness is set so as to have the same degree of hardness as the metal. Notches 7b1 and 7b2 are formed on the outer periphery of the ring 7b. The notches 7b1 and 7b2 are used as hooks when the jig 7 is fixed to the manufacturing apparatus, as well as when the jig 7 is handled and when the jig 7 is aligned with the manufacturing apparatus on which the jig 7 is placed. used. The ring 7b may be affixed to the back surface of the tape 7a (the surface opposite to the affixing surface of the wafer 1W). The ring 7b may be attached before the wafer 1W is attached to the tape 37, or may be attached after the wafer 1W is attached to the tape 7a.

続いて、ウエハ1Wを治具7に収めた状態で、ウエハ1Wの厚さを測定し、その測定結果に基づいて研削量および研磨量を算出した後(工程102A2)、裏面研削(工程102A3)、研磨工程(工程102A4)に移行する。図10は裏面加工工程時のウエハ1Wおよび治具7の断面図、図11は裏面加工工程後のウエハ1Wおよび治具7の断面図を示している。ここでは、図10に示すように、研削研磨工具8および吸着ステージ9を回転させて、上記研削量および研磨量に基づいて、ウエハ1Wの裏面に対して研削処理および研磨処理を順に施す。これにより、図11に示すように、ウエハ1Wの厚さを、例えば100μm以下(ここでは、例えば90μm程度)の極めて薄い厚さ(極薄)にする。上記研磨処理としては、研磨パッドとシリカとを用いて研磨する方法や化学機械研磨(Chemical Mechanical Polishing:CMP)法の他、例えば硝酸とフッ酸とを用いたエッチング法を用いても良い。ここで、チップ1Cの厚さが薄くなり100μm以下になってくると上記研削処理によりウエハ1Wの裏面に生じた損傷やストレスが原因でチップの抗折強度が低下しチップ1Cを実装する時の圧力でチップが割れる不具合が生じ易くなる。そこで、研削処理後に研磨処理を施すことにより、研削処理によりウエハ1Wの裏面に生じた損傷やストレスを低減または無くすことができるので、薄いチップ1Cの抗折強度を向上させることができる。   Subsequently, after the wafer 1W is housed in the jig 7, the thickness of the wafer 1W is measured, and after calculating the grinding amount and the polishing amount based on the measurement result (step 102A2), the back surface grinding (step 102A3) Then, the process proceeds to the polishing step (step 102A4). 10 is a cross-sectional view of the wafer 1W and the jig 7 during the back surface processing step, and FIG. 11 is a cross-sectional view of the wafer 1W and the jig 7 after the back surface processing step. Here, as shown in FIG. 10, the grinding / polishing tool 8 and the suction stage 9 are rotated, and the grinding process and the polishing process are sequentially performed on the back surface of the wafer 1W based on the grinding amount and the polishing amount. As a result, as shown in FIG. 11, the thickness of the wafer 1W is set to an extremely thin thickness (ultra thin), for example, 100 μm or less (here, for example, about 90 μm). As the polishing treatment, for example, an etching method using nitric acid and hydrofluoric acid may be used in addition to a polishing method using a polishing pad and silica or a chemical mechanical polishing (CMP) method. Here, when the thickness of the chip 1C is reduced to 100 μm or less, the bending strength of the chip is lowered due to damage or stress generated on the back surface of the wafer 1W by the grinding process, and the chip 1C is mounted. The problem that the chip breaks due to pressure is likely to occur. Therefore, by performing the polishing process after the grinding process, it is possible to reduce or eliminate the damage and stress generated on the back surface of the wafer 1W by the grinding process, so that the bending strength of the thin chip 1C can be improved.

以上のような裏面加工工程後、吸着ステージ9の真空吸引状態を解除し、ウエハ1Wを保持する治具7を裏面加工装置から取り出す。この時、本実施の形態1では、ウエハ1Wが極薄とされていてもリング7bによりテープ7aをしっかりと支えることができるので、極薄のウエハ1Wのハンドリングや搬送を容易にすることができる。また、そのハンドリングや搬送時にウエハ1Wが割れたり反ったりすることを防止することができる。したがって、ウエハ1Wの品質を確保することができるようになっている。このため、本実施の形態1では、この裏面加工後の段階で極薄のウエハ1Wを治具7に保持させたままの状態で、他の製造工場(例えばアセンブリファブ)に搬送出荷し、裏面加工後のダイシングおよび組立を依頼しても良い。   After the back surface processing step as described above, the vacuum suction state of the suction stage 9 is released, and the jig 7 holding the wafer 1W is taken out from the back surface processing apparatus. At this time, in the first embodiment, since the tape 7a can be firmly supported by the ring 7b even if the wafer 1W is extremely thin, handling and conveyance of the extremely thin wafer 1W can be facilitated. . Further, it is possible to prevent the wafer 1W from being cracked or warped during the handling or transfer. Therefore, the quality of the wafer 1W can be ensured. For this reason, in the first embodiment, the ultrathin wafer 1W is held by the jig 7 at the stage after the back surface processing, and is transported and shipped to another manufacturing factory (for example, an assembly fab). Dicing and assembly after processing may be requested.

次に、チップ分割工程102Bに移行する。ここでは、まず、極薄のウエハ1Wを保持した治具7をそのままダイシング装置に搬送し、ダイシング装置の吸着ステージに載置する。すなわち、通常は、裏面加工時にウエハ1Wの主面に貼り付けたテープを剥がして、ウエハ1Wの裏面にダイシングテープを貼り付ける(ウエハマウント)工程が必要とされているが、本実施の形態1では、そのウエハマウント工程を削減できるので、半導体装置の製造工程を簡素化することができる。したがって、半導体装置の製造時間を短縮できる。また、ダイシングテープを不要とすることができるので、材料費を低減でき、半導体装置のコストを低減できる。   Next, the process proceeds to the chip dividing step 102B. Here, first, the jig 7 holding the ultra-thin wafer 1 </ b> W is directly transferred to the dicing apparatus and placed on the suction stage of the dicing apparatus. That is, usually, a process of peeling off the tape attached to the main surface of the wafer 1W during back surface processing and attaching a dicing tape to the back surface of the wafer 1W (wafer mounting) is required. Then, since the wafer mounting process can be reduced, the manufacturing process of the semiconductor device can be simplified. Therefore, the manufacturing time of the semiconductor device can be shortened. In addition, since the dicing tape can be omitted, the material cost can be reduced and the cost of the semiconductor device can be reduced.

続いて、本実施の形態1では、治具7を真空吸引した状態でウエハ1Wの裏面から赤外線カメラ(以下、IRカメラという)によりウエハ1Wの主面のパターン(チップ1Cや切断領域CRのパターンの他、切断領域CRに配置されているパッド1LBtやアライメントターゲットAm等のような金属パターンやチップ1C内に配置されているパッド1LB等のような金属パターン)を認識する(工程102B1)。この時、本実施の形態1では、ウエハ1Wが極めて薄いのでウエハ1Wの主面のパターンの様子を充分に観測できる。   Subsequently, in the first embodiment, the pattern of the main surface of the wafer 1W (the pattern of the chip 1C and the cutting region CR) from the back surface of the wafer 1W with an infrared camera (hereinafter referred to as IR camera) in a state where the jig 7 is vacuum-sucked. In addition, a metal pattern such as the pad 1LBt and the alignment target Am arranged in the cutting region CR and a metal pattern such as the pad 1LB arranged in the chip 1C are recognized (step 102B1). At this time, in the first embodiment, since the wafer 1W is extremely thin, the pattern of the main surface of the wafer 1W can be sufficiently observed.

その後、上記IRカメラで得られたパターン情報に基づいて切断線CLの位置合わせ(位置補正)を実施した後、レーザ発生部から放射されたレーザ光(第1レーザ)LB1をウエハ1Wの裏面側から基板1Sの内部に集光点(焦点)を合わせた状態で照射するとともに、上記パターン情報に基づいて位置合わせされた切断線CLに沿って移動させる(工程102B2)。図12は上記レーザ照射工程後のウエハ1Wの要部平面図、図13は図12のX4−X4線の断面図を示している。レーザ照射工程により、ウエハ1Wの切断領域CRにおける基板1Sの内部に多光子吸収による改質領域(光学的損傷部または破砕層)PRを形成する。図12では、レーザ光LB1を切断領域CRに沿って連続的に照射することにより、改質領域PRが切断線CLに沿って連続的に延在した状態で形成されている場合が例示されている。   Then, after alignment (position correction) of the cutting line CL is performed based on the pattern information obtained by the IR camera, the laser beam (first laser) LB1 emitted from the laser generator is used as the back side of the wafer 1W. To the inside of the substrate 1S in a state where the condensing point (focal point) is aligned, and is moved along the cutting line CL aligned based on the pattern information (step 102B2). FIG. 12 is a plan view of the main part of the wafer 1W after the laser irradiation step, and FIG. 13 is a cross-sectional view taken along line X4-X4 of FIG. By the laser irradiation process, a modified region (an optically damaged portion or a fractured layer) PR by multiphoton absorption is formed inside the substrate 1S in the cutting region CR of the wafer 1W. FIG. 12 illustrates a case where the modified region PR is continuously formed along the cutting line CL by continuously irradiating the laser beam LB1 along the cutting region CR. Yes.

この改質領域PRは、ウエハ1Wの内部が多光子吸収によって加熱され溶融されたことで形成されており、後のチップ分割工程時のウエハ1Wの切断起点領域となる。この溶融処理領域は、一旦溶融した後に再固化した領域や、まさに溶融状態の領域や、溶融状態から再固化する状態の領域であり、相変化した領域や結晶構造が変化した領域ということもできる。また、溶融処理領域とは単結晶構造、非晶質構造、多結晶構造において、ある構造が別の構造に変化した領域ということもできる。例えば基板1S部分では、単結晶構造から非晶質構造に変化した領域、単結晶構造から多結晶構造に変化した領域、単結晶構造から非晶質構造および多結晶構造を含む構造に変化した領域を意味する。ここでは、改質層PRは、例えば非晶質シリコンとされている。また、ここでは、レーザ光LB1をウエハ1Wの裏面を透過させてウエハ1Wの内部に多光子吸収を発生させて改質領域PRを形成しており、ウエハ1Wの裏面ではレーザ光LB1がほとんど吸収されていないので、ウエハ1Wの裏面が溶融することはない。   This modified region PR is formed by heating and melting the inside of the wafer 1W by multiphoton absorption, and becomes a cutting start region of the wafer 1W in the subsequent chip dividing step. This melting treatment region is a region that has been once melted and then re-solidified, a region that is in a molten state, a region that is re-solidified from a molten state, and can also be referred to as a phase-changed region or a region in which the crystal structure has changed. . The melt treatment region can also be said to be a region in which one structure is changed to another structure in a single crystal structure, an amorphous structure, or a polycrystalline structure. For example, in the substrate 1S portion, a region changed from a single crystal structure to an amorphous structure, a region changed from a single crystal structure to a polycrystalline structure, and a region changed from a single crystal structure to a structure including an amorphous structure and a polycrystalline structure Means. Here, the modified layer PR is made of, for example, amorphous silicon. In addition, here, the laser beam LB1 is transmitted through the back surface of the wafer 1W to generate multiphoton absorption inside the wafer 1W to form the modified region PR, and the laser beam LB1 is almost absorbed on the back surface of the wafer 1W. Since this is not done, the back surface of the wafer 1W does not melt.

ここで、上記のようなレーザ光LB1の照射に際して、本実施の形態1では、レーザ光LB1を、切断領域CRのテスト用のパッド1LBtの脇に照射する。すなわち、レーザ光LB1をパッド1LBtやアライメントターゲットAmに平面的に重ならないように照射する。すなわち、ウエハ1Wの分割起点(改質領域PR)がパッド1LBtやアライメントターゲットAmに平面的に重ならないようにする。これにより、ウエハ1Wの切断時に、テスト用のパッド1LBtやアライメントターゲットAm等の金属パターンが切断されないので、ウエハ1Wを綺麗に切断できる。すなわち、ウエハ1Wの切断形状不良を低減または防止できる。また、切断箇所に上記のようなひげ状の導体異物が発生するのを防止することができる。したがって、薄型の半導体装置の信頼性や歩留まりを向上させることができる。   Here, when the laser beam LB1 is irradiated as described above, in the first embodiment, the laser beam LB1 is irradiated to the side of the test pad 1LBt in the cutting region CR. That is, the laser beam LB1 is irradiated so as not to overlap the pad 1LBt and the alignment target Am in a plane. That is, the division starting point (modified region PR) of the wafer 1W is prevented from overlapping the pad 1LBt and the alignment target Am in a plane. Thereby, when the wafer 1W is cut, the metal pattern such as the test pad 1LBt and the alignment target Am is not cut, so that the wafer 1W can be cut cleanly. That is, it is possible to reduce or prevent a defective cutting shape of the wafer 1W. In addition, it is possible to prevent the above-described whisker-like conductor foreign matter from being generated at the cut portion. Therefore, the reliability and yield of a thin semiconductor device can be improved.

また、ダイシングブレードによりウエハ1Wを切断するブレードダイシング方式の場合、ウエハ1Wが薄くなってくると切断時にチッピングが生じ易くなりチップの抗折強度が低下するので、チップ1Cの品質を確保する観点から低速(例えば毎秒60mm程度またはウエハ1Wの厚さに応じてそれ以下)で処理せざるを得なくなってくる。これに対して、本実施の形態1の場合、ウエハ1Wの表面に損傷を与えず内部のみを割断するため、チップ1Cの表面に存在するチッピングを極少に抑えることができる。このため、チップ1Cの抗折強度を向上させることができる。また、例えば毎秒300mmという高速な切断処理ができるので、スループットを向上させることができる。   Further, in the case of the blade dicing method in which the wafer 1W is cut by a dicing blade, chipping tends to occur at the time of cutting when the wafer 1W becomes thin, and the bending strength of the chip is lowered. From the viewpoint of ensuring the quality of the chip 1C. Processing must be performed at low speed (for example, about 60 mm per second or less depending on the thickness of the wafer 1W). On the other hand, in the case of the first embodiment, since only the inside is cleaved without damaging the surface of the wafer 1W, chipping existing on the surface of the chip 1C can be minimized. For this reason, the bending strength of the chip 1 </ b> C can be improved. Further, for example, a high-speed cutting process of 300 mm per second can be performed, so that the throughput can be improved.

また、上記のようにウエハ1Wの主面の切断領域CRには、ウエハ1Wの主面側からレーザ光LB1を照射するとテスト用のパッド1LBtが邪魔になりその部分の加工(改質領域PRの形成)が上手くできない場合がある。これに対して、本実施の形態1では、テスト用のパッド1LBt等のようなメタルの存在しないウエハ1Wの裏面側からレーザ光LB1を照射するので、上記のような不具合を生じることなく良好に改質領域PRを形成でき、ウエハ1Wを良好に切断することができる。   Further, as described above, when the laser beam LB1 is irradiated from the main surface side of the wafer 1W to the cutting region CR of the main surface of the wafer 1W, the test pad 1LBt becomes a hindrance and the portion is processed (the modified region PR). Formation) may not be successful. On the other hand, in the first embodiment, the laser beam LB1 is irradiated from the back side of the wafer 1W where no metal such as the test pad 1LBt is present. The modified region PR can be formed, and the wafer 1W can be cut well.

上記改質領域PRは、図14および図15に示すように、破線状(ドット状)に形成しても良い。図14は、改質領域PRが切断線CLに沿って破線状(ドット状)に配置されている場合が例示されている。すなわち、改質領域PRが切断線CLに沿って途切れ途切れに等間隔に配置されている。層間絶縁膜1Liに使用されている上記低誘電率膜(絶縁膜3b)は熱伝導率が低く熱がこもり易いためレーザ光LB1の照射時の熱により変色することがある。そこで、レーザ光LB1を断続的に照射することにより、レーザ光LB1の照射面積を小さくでき、レーザ光LB1の照射による熱の発生を極力抑えることができるので、熱による低誘電率膜の変色を抑制または防止することができる。また、図15は、改質領域PRが、例えば互いに直交する切断線CLの交点部分やTEGの微細なパターンが集中して配置されている箇所等、分割し難い箇所に集中的に配置されている場合が例示されている。これにより、分割し難い部分も容易に分割できるようになるので、ウエハ1Wを綺麗に分割できる。なお、図14および図15のX4−X4線の断面は図13と同じである。また、特に限定されるものではないが、レーザ光LB1の照射条件は、例えば以下の通りである。すなわち、光源は、例えば波長が1064nmのYAGレーザ、レーザスポット径は、例えば1〜2μm、照射速度は300mm/sとし、0.7μm間隔で照射した。なお、上記集光点とはレーザ光LB1が集光した箇所である。   The modified region PR may be formed in a broken line shape (dot shape) as shown in FIGS. 14 and 15. FIG. 14 illustrates a case where the modified region PR is arranged in a broken line shape (dot shape) along the cutting line CL. That is, the reformed regions PR are arranged at regular intervals along the cutting line CL. The low dielectric constant film (insulating film 3b) used for the interlayer insulating film 1Li has a low thermal conductivity and is likely to trap heat, so that it may be discolored by heat during irradiation with the laser beam LB1. Therefore, by intermittently irradiating the laser beam LB1, the irradiation area of the laser beam LB1 can be reduced, and the generation of heat due to the irradiation of the laser beam LB1 can be suppressed as much as possible. It can be suppressed or prevented. Further, FIG. 15 shows that the modified region PR is concentratedly arranged at a location that is difficult to divide, such as an intersection of cutting lines CL orthogonal to each other or a location where fine patterns of TEG are concentrated. The case of being is illustrated. As a result, since it is possible to easily divide a portion that is difficult to divide, the wafer 1W can be neatly divided. 14 and 15 is the same as that of FIG. Moreover, although it does not specifically limit, the irradiation conditions of the laser beam LB1 are as follows, for example. That is, the light source was, for example, a YAG laser having a wavelength of 1064 nm, the laser spot diameter was, for example, 1-2 μm, the irradiation speed was 300 mm / s, and irradiation was performed at 0.7 μm intervals. In addition, the said condensing point is a location which the laser beam LB1 condensed.

次いで、ウエハ1Wの分割工程に移行する(工程102B3)。図16は分割工程前のウエハ1Wの要部断面図、図17は分割工程時のウエハ1Wの要部断面図、図18は図17のウエハ1Wの要部拡大断面図、図19は分割工程中のウエハ1Wの要部断面図を示している。   Next, the process proceeds to the wafer 1W dividing step (step 102B3). 16 is a fragmentary sectional view of the wafer 1W before the dividing step, FIG. 17 is a fragmentary sectional view of the wafer 1W during the dividing step, FIG. 18 is an enlarged sectional view of the principal portion of the wafer 1W in FIG. The principal part sectional drawing of wafer 1W in the inside is shown.

まず、図16に示すように、IRカメラ12によりウエハ1Wの主面のパターン(チップ1Cや切断領域CRのパターンの他、切断領域CRに配置されているパッド1LBtやアライメントターゲットAm等のような金属パターンやチップ1C内に配置されているパッド1LB等のような金属パターン)や改質領域PRを認識する。   First, as shown in FIG. 16, the IR camera 12 is used to pattern the main surface of the wafer 1W (such as the pattern of the chip 1C and the cutting area CR, as well as the pads 1LBt and the alignment target Am arranged in the cutting area CR). A metal pattern or a metal pattern such as a pad 1LB arranged in the chip 1C) or a modified region PR.

続いて、治具7のテープ7aの裏面に、一対のラインバキュームチャック13を配置し、そのラインバキュームチャック13の位置を上記IRカメラ12で得た位置情報に基づいて合わせ、その状態で一対のラインバキュームチャック13によりテープ7aを吸引する。一対のラインバキュームチャック13は、ウエハ1Wの端から端(紙面に垂直な方向)に延在している。一対のラインバキュームチャック13の各々の対向側面の一方には傾斜が形成されている。   Subsequently, a pair of line vacuum chucks 13 are arranged on the back surface of the tape 7a of the jig 7, and the positions of the line vacuum chucks 13 are adjusted based on the position information obtained by the IR camera 12, and in this state, The tape 7 a is sucked by the line vacuum chuck 13. The pair of line vacuum chucks 13 extends from the end of the wafer 1 </ b> W to the end (direction perpendicular to the paper surface). A slope is formed on one of the opposing side surfaces of the pair of line vacuum chucks 13.

その後、図17および図18に示すように、一方のラインバキュームチャック13(図17および図18の左側)を、その側面(傾斜面)が、他方のラインバキュームチャック13の対向側面に当たるまで回転させるように移動することによりウエハ1Wを折り曲げる。これにより、改質領域PRを分割起点としてウエハ1Wを切断(分割)する。その後、図19に示すように、上記一方のラインバキュームチャック13を元の位置まで戻した後、一対のラインバキュームチャック13を次の切断位置まで移動する。その後、上記と同様にしてウエハ1Wを切断する。以降、このような作業をウエハ1Wの全てのチップ1Cの周囲が切断されるまで繰り返す。本実施の形態1では、切断線CLがテスト用のパッド1LBtやアライメントターゲットAmに重ならない。これにより、分割方法としてエキスパンド方式を採用しても、テスト用のパッド1LBtやアライメントターゲットAm等の金属パターンは切断されないので、上記のようなひげ状の導体異物の発生を防止することができる。しかしながら、上記したように、エキスパンド方式の場合、ウエハ1Wの中心から外周(放射線状)に向かう方向に樹脂シートが引き延ばされるため、チップ1Cは切断線CLに対して交差する方向(垂直方向)に引き離されない。言い換えると、切断線CLに対して交差する方向に切断するための荷重(応力)が伝わらない。この結果、ウエハ1Wを綺麗に切断することが出来ない可能性がある。場合によってはチップの外周にチッピングが生じる可能性もある。これに対し、折り曲げ方式を適用すれば、切断線CLに対して交差する方向に切断する荷重を伝えることが可能であるため、ウエハ1Wを綺麗に切断することが出来る。   Thereafter, as shown in FIGS. 17 and 18, one line vacuum chuck 13 (left side in FIGS. 17 and 18) is rotated until its side surface (inclined surface) hits the opposite side surface of the other line vacuum chuck 13. The wafer 1W is bent by moving as described above. As a result, the wafer 1W is cut (divided) using the modified region PR as a division starting point. Thereafter, as shown in FIG. 19, after the one line vacuum chuck 13 is returned to the original position, the pair of line vacuum chucks 13 are moved to the next cutting position. Thereafter, the wafer 1W is cut in the same manner as described above. Thereafter, such an operation is repeated until all the chips 1C on the wafer 1W are cut. In the first embodiment, the cutting line CL does not overlap the test pad 1LBt or the alignment target Am. As a result, even if the expanding method is adopted as the dividing method, the metal patterns such as the test pad 1LBt and the alignment target Am are not cut, so that generation of the above-mentioned whisker-like conductor foreign matters can be prevented. However, as described above, in the case of the expand method, the resin sheet is stretched in the direction from the center of the wafer 1W toward the outer periphery (radially), and therefore the chip 1C intersects the cutting line CL (vertical direction). Not pulled apart. In other words, the load (stress) for cutting in the direction intersecting the cutting line CL is not transmitted. As a result, there is a possibility that the wafer 1W cannot be cut cleanly. In some cases, chipping may occur on the outer periphery of the chip. On the other hand, if the bending method is applied, it is possible to transmit the load for cutting in the direction intersecting the cutting line CL, and thus the wafer 1W can be cut cleanly.

図20は、上記のようにしてウエハ1Wから切り出されたチップ1Cの全体平面図を示している。ここでは、チップ1Cの長手方向の一端の一辺のみに沿って複数のパッド1LBが配置されている場合が例示されている。本実施の形態1の場合、チップ1Cの外周(互いに交差(直交)する2辺)に切断領域CRの一部が残され、その切断領域CR内にテスト用のパッド1LBtが残されている。なお、本実施の形態1では、上記のようなステルスダイシング後、極薄の複数のチップ1Cを載せた治具7を、他の製造工場(例えばアセンブリファブ)に搬送出荷し、ダイシング工程後の組立を依頼しても良い。   FIG. 20 is an overall plan view of the chip 1C cut out from the wafer 1W as described above. Here, a case where a plurality of pads 1LB are arranged along only one side of one end in the longitudinal direction of the chip 1C is illustrated. In the case of the first embodiment, a part of the cutting region CR is left on the outer periphery (two sides intersecting (orthogonal)) of the chip 1C, and the test pad 1LBt is left in the cutting region CR. In the first embodiment, after stealth dicing as described above, the jig 7 on which a plurality of ultra-thin chips 1C are placed is transported and shipped to another manufacturing factory (for example, an assembly fab), and after the dicing process. Assembly may be requested.

次に、組立工程102Cに移行する。ここでは、複数のチップ1Cを保持した治具7をピックアップ装置に搬送する。ピックアップ装置では、テープ7aの裏面を真空吸引した状態で、押上ピンによりテープ7aの裏面からチップ1Cを押し上げる。この時、テープ7aとして上記UVテープを使用した場合にはテープ7aの接着層7a2に紫外線を照射することにより接着層7a2を硬化させ接着力を弱める。この状態でチップ1Cをコレットにより真空吸引することにより、チップ1Cをピックアップする(工程102C1)。   Next, the process proceeds to the assembly process 102C. Here, the jig 7 holding the plurality of chips 1C is transported to the pickup device. In the pickup device, the chip 1C is pushed up from the back surface of the tape 7a by a push-up pin in a state where the back surface of the tape 7a is vacuum-sucked. At this time, when the UV tape is used as the tape 7a, the adhesive layer 7a2 of the tape 7a is irradiated with ultraviolet rays to cure the adhesive layer 7a2 and weaken the adhesive force. In this state, the chip 1C is picked up by vacuuming the chip 1C with a collet (step 102C1).

続いて、上記のようにしてピックアップしたチップ1Cを既存の反転ユニットによりチップ1Cの主面が上を向くように反転させた後、チップ1Cを配線基板等に実装する(ダイボンディング工程102C2)。図21はダイボンディング工程後のチップ1Cおよび配線基板15の平面図、図22は図21のX5−X5線の断面図を示している。配線基板15の主面上には、例えば3つのチップ1Cがその主面を上に向け積層された状態で実装されている。3つのチップ1Cは、各チップ1Cのパッド1LBが露出されるように平面的にずれた状態で積み重ねられている。配線基板15は、プリント配線基板により形成されているが、これに代えてリードフレームを用いても良い。なお、ピックアップしたチップ1Cを搬送トレイに収容して他の製造工場(例えばアセンブリファブ)に搬送出荷し、この工程後の組立を依頼しても良い(工程103A)。   Subsequently, after the chip 1C picked up as described above is inverted by an existing inversion unit so that the main surface of the chip 1C faces upward, the chip 1C is mounted on a wiring board or the like (die bonding step 102C2). 21 is a plan view of the chip 1C and the wiring board 15 after the die bonding process, and FIG. 22 is a cross-sectional view taken along line X5-X5 of FIG. On the main surface of the wiring board 15, for example, three chips 1 </ b> C are mounted in a state of being stacked with the main surface facing up. The three chips 1C are stacked in a state shifted in plan so that the pad 1LB of each chip 1C is exposed. The wiring board 15 is formed of a printed wiring board, but a lead frame may be used instead. Note that the picked-up chip 1C may be accommodated in a transport tray, transported and shipped to another manufacturing factory (for example, an assembly fab), and assembly after this process may be requested (process 103A).

続いて、ワイヤボンディング工程に移行する(工程102C3)。図23はワイヤボンディング工程後のチップ1Cおよび配線基板15の平面図、図24は図23のX6−X6線の断面図を示している。この工程では、チップ1Cの主面のパッド1LBと配線基板15の電極とをボンディングワイヤ(以下、単にワイヤという)17により電気的に接続する。ここで、図64に示すように、上段のチップ1Cのパッド1LBと下段のチップ1Cのパッド1LBとをワイヤ17により電気的に接続する、すなわち、共通パッド同士を電気的に接続するステップボンディング方式を用いてもよい。   Subsequently, the process proceeds to a wire bonding process (process 102C3). 23 is a plan view of the chip 1C and the wiring board 15 after the wire bonding process, and FIG. 24 is a cross-sectional view taken along line X6-X6 of FIG. In this step, the pad 1LB on the main surface of the chip 1C and the electrode of the wiring board 15 are electrically connected by a bonding wire (hereinafter simply referred to as a wire) 17. Here, as shown in FIG. 64, the step bonding method in which the pad 1LB of the upper chip 1C and the pad 1LB of the lower chip 1C are electrically connected by the wire 17, that is, the common pads are electrically connected to each other. May be used.

続いて、封止工程に移行する(工程102C4)。図25は封止工程後の半導体装置の断面図を示している。この工程では、トランスファモールド法を用いてエポキシ樹脂等のようなプラスチック材料からなる封止体18によりチップ1Cおよびワイヤ17を封止する。その後、配線基板15の裏面にバンプ電極19を形成し、半導体装置を製造する。   Then, it transfers to a sealing process (process 102C4). FIG. 25 shows a cross-sectional view of the semiconductor device after the sealing step. In this step, the chip 1C and the wire 17 are sealed by a sealing body 18 made of a plastic material such as an epoxy resin using a transfer mold method. Thereafter, bump electrodes 19 are formed on the back surface of the wiring substrate 15 to manufacture a semiconductor device.

チップ1Cがバンプ電極(突起電極)を持つ場合は、例えば次のようにする。まず、上記ピックアップ工程102C1においてチップ1Cを配線基板15のチップ実装領域に移送する。この時、バンプ電極は、パッド1LBおよびテスト用のパッド1LBtに接続することでチップが傾くことなく配線基板15に実装することができる。続いて、チップ1Cの主面(バンプ電極形成面)を配線基板15のチップ実装面に向けた状態でチップ1Cのバンプ電極とチップ実装領域の電極とをペースト材を用いて仮固定する。その後、リフロ処理することでチップ1Cのバンプ電極とプリント配線基板15の電極とを固着する(フリップチップボンディング:工程102C2)。その後、チップ1Cと配線基板15との対向面間にアンダーフィルを充填した後、チップ1Cを上記と同様に封止する(工程104C4)。   When the chip 1C has bump electrodes (projection electrodes), for example, the following is performed. First, in the pickup step 102C1, the chip 1C is transferred to the chip mounting area of the wiring board 15. At this time, the bump electrode can be mounted on the wiring board 15 without being tilted by connecting the bump electrode to the pad 1LB and the test pad 1LBt. Subsequently, the bump electrodes of the chip 1C and the electrodes in the chip mounting area are temporarily fixed using a paste material in a state where the main surface (bump electrode forming surface) of the chip 1C is directed to the chip mounting surface of the wiring board 15. Thereafter, the bump electrode of the chip 1C and the electrode of the printed wiring board 15 are fixed by reflow treatment (flip chip bonding: step 102C2). Thereafter, an underfill is filled between the opposing surfaces of the chip 1C and the wiring substrate 15, and then the chip 1C is sealed in the same manner as above (step 104C4).

(実施の形態2)
本実施の形態2では、チップ1C内のパッド1LBの配置の変形例を説明する。図26は、本実施の形態2のチップ1Cの全体平面図を示している。本実施の形態2では、チップ1Cの互いに交差(直交)する2辺の各々に沿って複数のパッド1LBが配置されている。それ以外は前記実施の形態1と同じであり、チップ1Cの外周(互いに交差(直交)する2辺)に切断領域CRの一部が残され、その切断領域CR内にテスト用のパッド1LBtが残されている。
(Embodiment 2)
In the second embodiment, a modified example of the arrangement of the pads 1LB in the chip 1C will be described. FIG. 26 is an overall plan view of the chip 1C of the second embodiment. In the present second embodiment, a plurality of pads 1LB are arranged along each of two sides that intersect (orthogonally) each other of the chip 1C. The rest is the same as in the first embodiment, and a part of the cutting region CR is left on the outer periphery (two sides intersecting (orthogonal)) of the chip 1C, and the test pad 1LBt is in the cutting region CR. It is left.

図27は図26のチップ1Cの実装例の平面図を示している。図27のX7−X7線の断面図は前記図22と同じである。配線基板15の主面上には、例えば3つのチップ1Cがその主面を上に向け積層された状態で実装されている。3つのチップ1Cは、各チップ1Cの2辺に沿って配置された複数のパッド1LBが露出されるように平面的にずれた状態で積み重ねられている。   FIG. 27 is a plan view of a mounting example of the chip 1C of FIG. The sectional view taken along line X7-X7 in FIG. 27 is the same as FIG. On the main surface of the wiring board 15, for example, three chips 1 </ b> C are mounted in a state of being stacked with the main surface facing up. The three chips 1C are stacked in a state shifted in a plane so that a plurality of pads 1LB arranged along two sides of each chip 1C are exposed.

(実施の形態3)
まず、実施の形態3の説明の前に発明者が初めて見出した課題について説明する。上記のようにウエハ1Wの分割においては、切断領域CRに存在するテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンの切断部分に上記ひげ状の導体異物が生じる問題がある。この問題を回避すべく、本発明者は切断領域CRのパッド1LBtやアライメントターゲットAm等のような金属パターンにミシン目状または直線状の溝を形成するようにした。しかし、分割方式として上記エキスパンド方式を採用した場合は、上記金属パターンにミシン目状または直線状の溝を形成しても、ひげ状の導体異物の発生を上手く抑えることができないという問題がある。また、切断領域CRの隣接する金属パターンの間の絶縁膜のみの部分では切断線が蛇行し綺麗に切断できないという問題がある。
(Embodiment 3)
First, the problems found by the inventor for the first time before the description of the third embodiment will be described. As described above, in the division of the wafer 1W, there is a problem that the whisker-like conductor foreign matter is generated in the cut portion of the metal pattern such as the test pad 1LBt and the alignment target Am existing in the cutting region CR. In order to avoid this problem, the present inventor has formed a perforated or linear groove in a metal pattern such as the pad 1LBt or the alignment target Am in the cutting region CR. However, when the expanding method is adopted as the dividing method, there is a problem that even if a perforated or linear groove is formed in the metal pattern, generation of whisker-like conductor foreign matter cannot be suppressed well. In addition, there is a problem that the cutting line meanders only at the portion of the insulating film between the adjacent metal patterns in the cutting region CR, and cannot be cut cleanly.

そこで、ウエハ1Wを折り曲げることで個々のチップ1Cに分割する折り曲げ方式を採用してみると、上記ひげ状の導体異物の発生をエキスパンド方式に比べて低減できた。しかし、折り曲げ方式の場合でも、金属パターンの間で切断線が蛇行してしまう。特に上記のように層間絶縁膜に低誘電率膜を使用している場合、低誘電率膜は脆く亀裂が入り易いので上記金属パターンの隣接間の切断部分で大きく蛇行するような亀裂が入り、充分に綺麗に切断することができないという問題がある。ここで、本発明者は上記金属パターンの隣接間の層間絶縁膜部分にレーザ光を照射して分割起点のための溝を形成しようとしてみたが、本実施の形態3では、このような問題を解決する手段を説明する。図28は本実施の形態3のウエハ1Wの要部平面図、図29は図28のX8−X8線の断面図、図30は図28のX9−X9線の断面図を示している。   Therefore, when a bending method in which the wafer 1W is bent and divided into individual chips 1C is adopted, the generation of the whisker-like conductive foreign matter can be reduced as compared with the expanding method. However, even in the case of the bending method, the cutting line meanders between the metal patterns. In particular, when a low dielectric constant film is used for the interlayer insulating film as described above, the low dielectric constant film is brittle and easily cracked, so that there is a crack that causes a large meander at the cut portion between adjacent metal patterns, There is a problem that it cannot be cut sufficiently cleanly. Here, the present inventor tried to form a groove for the division starting point by irradiating the interlayer insulating film portion between the adjacent metal patterns with a laser beam. In the third embodiment, such a problem is caused. Means for solving will be described. FIG. 28 is a plan view of the principal part of the wafer 1W according to the third embodiment, FIG. 29 is a sectional view taken along line X8-X8 in FIG. 28, and FIG. 30 is a sectional view taken along line X9-X9 in FIG.

図28〜図30に示すウエハ1Wは、前記図1の前工程100およびテスト工程101を経た後であって後工程102前のウエハ1Wを示している。本実施の形態3では、切断領域CRの切断線CL上に、テスト用のパッド1LBtおよびアライメントターゲットAm等のような金属パターンが配置されている。すなわち、切断線CLがテスト用のパッド1LBtおよびアライメントターゲットAm等のような金属パターンに重なるようになっている。また、切断線CL上には、互いに隣接するテスト用のパッド1LBtの間隙やテスト用のパッド1LBtとアライメントターゲットAmとの間隙を埋めるように金属パターン20が形成されている。ただし、金属パターン20は、テスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンとは接しておらず電気的に浮遊状態となっている。また、金属パターン20は、テスト用のパッド1LBtおよびアライメントターゲットAmと同一工程時に同一材料で形成されている。ただし、ここでは、金属パターン20の幅(短方向寸法)は、テスト用のパッド1LBtの一辺の長さよりも小さく、例えば5〜10μm程度とされている。これにより、材料費を低減できる。このような金属パターン20の上面一部は、保護膜1Lpに開口された開口部5を通じて露出されている。   A wafer 1W shown in FIGS. 28 to 30 shows the wafer 1W after the pre-process 100 and the test process 101 in FIG. In the third embodiment, a metal pattern such as a test pad 1LBt and an alignment target Am is arranged on the cutting line CL in the cutting region CR. That is, the cutting line CL overlaps a metal pattern such as the test pad 1LBt and the alignment target Am. On the cutting line CL, a metal pattern 20 is formed so as to fill a gap between adjacent test pads 1LBt and a gap between the test pads 1LBt and the alignment target Am. However, the metal pattern 20 is not in contact with a metal pattern such as the test pad 1LBt or the alignment target Am, and is in an electrically floating state. The metal pattern 20 is formed of the same material in the same process as the test pad 1LBt and the alignment target Am. However, here, the width (dimension in the short direction) of the metal pattern 20 is smaller than the length of one side of the test pad 1LBt, for example, about 5 to 10 μm. Thereby, material cost can be reduced. A part of the upper surface of the metal pattern 20 is exposed through the opening 5 opened in the protective film 1Lp.

次いで、このようなウエハ1Wに対して前記実施の形態1と同様に裏面加工工程102Aを施して薄型化した後、チップ分割工程102Bに移行する。チップ分割工程では、前記実施の形態1と同様にウエハ主面のパターン認識工程102B1を経た後、レーザ照射工程102B2に移行する。本実施の形態3では、2回のレーザ光照射を行う。   Next, the back surface processing step 102A is performed on the wafer 1W in the same manner as in the first embodiment to reduce the thickness, and then the process proceeds to the chip division step 102B. In the chip dividing step, the wafer main surface pattern recognition step 102B1 is performed as in the first embodiment, and then the laser irradiation step 102B2 is performed. In Embodiment 3, laser light irradiation is performed twice.

1回目のレーザ光照射は、切断領域CRの金属パターンに分割起点を形成するためのものである。図31および図32は、1回目のレーザ光LB2を照射している様子を示すウエハ1Wの要部断面図である。図31は図28のX8−X8線に対応し、図32は図28のX9−X9線に対応している。1回目のレーザ光照射では、上記IRカメラで得られたパターン情報に基づいて切断線CLの位置合わせ(位置補正)を実施した後、レーザ発生部から放射されたレーザ光LB2をウエハ1Wの裏面側からテスト用のパッド1LBt、アライメントターゲットAmおよび金属パターン20に焦点を合わせて照射するとともに、上記パターン情報に基づいて位置合わせされた切断線に沿って移動させる。本実施の形態3の切断線は、切断領域CRの幅方向(短方向)のほぼ中央であってテスト用のパッド1LBt、アライメントターゲットAmおよび金属パターン20に重なる。レーザ光LB2の照射条件は、例えば以下の通りである。すなわち、光源は、例えば波長が1064nmのYAGレーザ、照射速度は300mm/sとした。   The first laser beam irradiation is for forming a division start point in the metal pattern of the cutting region CR. FIG. 31 and FIG. 32 are cross-sectional views of main parts of the wafer 1W showing a state in which the first laser beam LB2 is irradiated. 31 corresponds to the X8-X8 line of FIG. 28, and FIG. 32 corresponds to the X9-X9 line of FIG. In the first laser beam irradiation, the cutting line CL is aligned (position correction) based on the pattern information obtained by the IR camera, and then the laser beam LB2 emitted from the laser generator is used as the back surface of the wafer 1W. From the side, the test pad 1LBt, the alignment target Am, and the metal pattern 20 are irradiated with a focus, and moved along a cutting line that is aligned based on the pattern information. The cutting line according to the third embodiment is substantially in the center in the width direction (short direction) of the cutting region CR and overlaps the test pad 1LBt, the alignment target Am, and the metal pattern 20. The irradiation conditions of the laser beam LB2 are as follows, for example. That is, the light source was a YAG laser with a wavelength of 1064 nm, for example, and the irradiation speed was 300 mm / s.

図33は上記レーザ光LB2の照射工程後のウエハ1Wの要部平面図、図34および図35は図33のX10−X10線およびX11−X11線の断面図を示している。上記のようにレーザ光LB2を照射することにより、テスト用のパッド1LBt、アライメントターゲットAmおよび金属パターン20に切断線に沿って平面ミシン目状(破線状、ドット状)に複数の孔21を形成する。この孔21はウエハ1Wの分割(切断)工程時に分割起点となる。すなわち、本実施の形態3では、互いに隣接するテスト用のパッド1LBtの間やテスト用のパッド1LBtとアライメントターゲットAmとの間に金属パターン20を設けたことにより、互いに隣接するテスト用のパッド1LBtの間やテスト用のパッド1LBtとアライメントターゲットAmとの間にも分割起点となる複数の孔21の配列を形成することができる。レーザ光LB2の照射に際しては、溶融異物がテスト用のパッド1LBt等に付着するので、その溶融異物が飛散するのを抑制または防止する観点からテープ7aを切断領域CRの凹凸に密着させることが重要である。   FIG. 33 is a plan view of an essential part of the wafer 1W after the laser beam LB2 irradiation step, and FIGS. 34 and 35 are cross-sectional views taken along lines X10-X10 and X11-X11 in FIG. By irradiating the laser beam LB2 as described above, the test pads 1LBt, the alignment target Am, and the metal pattern 20 are formed with a plurality of holes 21 in a plane perforation (broken line shape, dot shape) along the cutting line. To do. The holes 21 serve as a division starting point during the division (cutting) process of the wafer 1W. That is, in the third embodiment, the test pattern 1LBt adjacent to each other is provided by providing the metal pattern 20 between the test pads 1LBt adjacent to each other or between the test pad 1LBt and the alignment target Am. An array of a plurality of holes 21 serving as division starting points can also be formed between the test pad 1LBt and the alignment target Am. When the laser beam LB2 is irradiated, the molten foreign matter adheres to the test pad 1LBt and the like, so it is important that the tape 7a is in close contact with the unevenness of the cutting region CR from the viewpoint of suppressing or preventing the molten foreign matter from scattering. It is.

2回目のレーザ光照射は、前記実施の形態1で説明した改質領域PRの形成のためのものである。図36および図37は、2回目のレーザ光LB1を照射している様子を示すウエハ1Wの要部断面図である。図36は図28のX8−X8線に対応し、図37は図28のX9−X9線に対応している。ここでは、前記実施の形態1と同様に、レーザ光LB1をウエハ1Wの裏面側から基板1Sの内部に焦点を合わせて照射する。このようにして基板1Sに改質領域PRを形成する。ただし、本実施の形態3では、レーザ光LB1を切断領域CRの幅方向(短方向)中央に照射する。すなわち、レーザ光LB1の発生部の動作軌跡は、上記レーザ光LB2の発生部の動作軌跡と同一である。ただし、改質領域PRの平面形状は前記実施の形態1で説明したように平面的に直線状に形成する場合もあるし、破線状に形成する場合もある。レーザ光LB1,LB2をウエハ1Wの同じ裏面側から照射する場合、レーザ光LB2を照射した後に、レーザ光LB1を照射する。これは、レーザ光LB1の照射をレーザ光LB2の照射よりも先に行うと、レーザ光LB2の照射に際して、レーザ光LB1の照射により基板1Sに形成された改質領域PRが邪魔になり、切断領域CRの金属パターンに孔21を形成することができなくなってしまうからである。   The second laser beam irradiation is for forming the modified region PR described in the first embodiment. 36 and 37 are cross-sectional views of the main part of the wafer 1W showing a state in which the second laser beam LB1 is irradiated. 36 corresponds to the X8-X8 line in FIG. 28, and FIG. 37 corresponds to the X9-X9 line in FIG. Here, as in the first embodiment, the laser beam LB1 is irradiated from the back surface side of the wafer 1W while focusing on the inside of the substrate 1S. In this way, the modified region PR is formed in the substrate 1S. However, in the third embodiment, the laser beam LB1 is irradiated to the center in the width direction (short direction) of the cutting region CR. That is, the operation locus of the laser beam LB1 generator is the same as the operation locus of the laser beam LB2 generator. However, as described in the first embodiment, the planar shape of the modified region PR may be linearly formed in a planar manner or may be formed in a broken line shape. When the laser beams LB1 and LB2 are irradiated from the same back side of the wafer 1W, the laser beam LB1 is irradiated after the laser beam LB2 is irradiated. This is because if the laser beam LB1 is irradiated before the laser beam LB2, the modified region PR formed on the substrate 1S by the laser beam LB1 is obstructed when the laser beam LB2 is irradiated. This is because the holes 21 cannot be formed in the metal pattern in the region CR.

次いで、分割工程102B3では、前記実施の形態1と同様にウエハ1Wを折り曲げ方式により分割(切断)する。図38はウエハ1Wから切り出されたチップ1Cの全体平面図、図39は図38のX12−X12線の断面図を示している。本実施の形態3の場合、孔21の配列に沿ってウエハ1Wを綺麗に切断することができる。すなわち、層間絶縁膜に低誘電率膜を使用している場合であっても、また、互いに隣接するテスト用のパッド1LBtの間やテスト用のパッド1LBtとアライメントターゲットAmとの間においても、複数の孔21の配列に沿って蛇行せずにウエハ1Wを分割(切断)することができる。したがって、ウエハ1Wの切断形状不良を低減または防止できるので、半導体装置の歩留まりおよび信頼性を向上させることができる。なお、チップ1Cの外周にはテスト用のパッド1LBt、アライメントターゲットAmおよび金属パターン20の一部が残されている。また、組立工程102Cについては前記実施の形態1と同じなので説明を省略する。   Next, in the dividing step 102B3, the wafer 1W is divided (cut) by the bending method as in the first embodiment. 38 is an overall plan view of the chip 1C cut out from the wafer 1W, and FIG. 39 is a sectional view taken along line X12-X12 in FIG. In the case of the third embodiment, it is possible to cleanly cut the wafer 1W along the arrangement of the holes 21. That is, even in the case where a low dielectric constant film is used as the interlayer insulating film, there are a plurality of layers between the test pads 1LBt adjacent to each other and between the test pads 1LBt and the alignment target Am. The wafer 1 </ b> W can be divided (cut) without meandering along the array of the holes 21. Therefore, the defective cutting shape of the wafer 1W can be reduced or prevented, so that the yield and reliability of the semiconductor device can be improved. Note that a part of the test pad 1LBt, the alignment target Am, and the metal pattern 20 is left on the outer periphery of the chip 1C. Since the assembly process 102C is the same as that of the first embodiment, description thereof is omitted.

(実施の形態4)
前記実施の形態1〜3では、チップ1Cの外周にテスト用のパッド1LBtやTEG用の素子が残されるので、外部にTEG情報が漏れる、という問題がある。本実施の形態4は、このような問題を回避するための手段を説明するものである。以下、本実施の形態4の半導体装置の製造方法例を図40のフロー図に沿って図41〜図50により説明する。
(Embodiment 4)
In the first to third embodiments, since the test pad 1LBt and the TEG element are left on the outer periphery of the chip 1C, there is a problem that TEG information leaks to the outside. In the fourth embodiment, means for avoiding such a problem will be described. Hereinafter, an example of a method for manufacturing the semiconductor device according to the fourth embodiment will be described with reference to FIGS.

まず、前記実施の形態1と同様に、前工程200、テスト工程201を経た後、後工程202に移行する。後工程202の裏面加工工程202Aでは、ウエハ1Wの主面上に接着層を介して支持基板を貼り付ける(工程202A1)。図41は支持基板24の装着後のウエハ1Wの断面図を示している。   First, similarly to the first embodiment, after the pre-process 200 and the test process 201, the process proceeds to the post-process 202. In the back surface processing step 202A of the post-step 202, a support substrate is attached to the main surface of the wafer 1W via an adhesive layer (step 202A1). FIG. 41 shows a cross-sectional view of the wafer 1W after the support substrate 24 is mounted.

この支持基板24は、この後の工程においてウエハ1Wの補強部材として機能するウエハサポートシステム(Wafer Support System:WSS)である。これにより、ウエハ1Wの搬送時においては、極薄で大径のウエハ1Wを安定した状態でハンドリングできる上、ウエハ1Wを外部の衝撃から保護することもできるので、ウエハ1Wの割れや欠け等を抑制または防止できる。また、この後の各工程時においては、ウエハ1Wの反りや撓みを抑制または防止でき、極薄で大径のウエハ1Wの平坦性を向上させることができるので、各工程での処理の安定性や制御性を向上させることができる。   The support substrate 24 is a wafer support system (WSS) that functions as a reinforcing member for the wafer 1 </ b> W in subsequent steps. As a result, when the wafer 1W is transported, the ultra-thin and large-diameter wafer 1W can be handled in a stable state, and the wafer 1W can be protected from external impacts. Can be suppressed or prevented. In each subsequent process, warping and bending of the wafer 1W can be suppressed or prevented, and the flatness of the ultra-thin and large-diameter wafer 1W can be improved, so that the processing stability in each process is improved. And controllability can be improved.

支持基板24の材料としては、例えば透明なガラスのような硬質支持基板(Hard−WSSまたはGlass−WSS)が使用されている。ただし、支持基板24の他の材料として、例えばステンレスのような他の硬質支持基板(Hard−WSS)を用いても良い。また、支持基板24のさらに他の材料として、例えばPET(Polyethylene Terephthalate)やPEN(Polyethylene Naphthalate)等のような絶縁支持基板をテープ基材に貼り付けたテープWSSを用いても良い。   As the material of the support substrate 24, for example, a hard support substrate (Hard-WSS or Glass-WSS) such as transparent glass is used. However, as another material of the support substrate 24, another hard support substrate (Hard-WSS) such as stainless steel may be used. Further, as another material of the support substrate 24, a tape WSS in which an insulating support substrate such as PET (Polyethylene Terephthalate) or PEN (Polyethylene Naphthalate) is attached to a tape base material may be used.

なお、支持基板24をウエハ1Wの主面に貼り付ける際には、支持基板24の剥離層24aの形成面をウエハ1W主面側の接着層25に押し付けることで支持基板24をウエハ1Wの主面に固定する。この剥離層24aは、支持基板24をウエハ1Wから剥離する際に剥離を容易にするための機能層である。支持基板に代えて、いわゆるBGテープを使用しても良い。   When the support substrate 24 is attached to the main surface of the wafer 1W, the support substrate 24 is pressed to the main surface of the wafer 1W by pressing the formation surface of the release layer 24a of the support substrate 24 against the adhesive layer 25 on the main surface side of the wafer 1W. Secure to the surface. The peeling layer 24a is a functional layer for facilitating peeling when the support substrate 24 is peeled from the wafer 1W. A so-called BG tape may be used instead of the support substrate.

次いで、前記実施の形態1と同様に、ウエハ1Wの厚さを測定した後、その測定結果に基づいてウエハ1Wの裏面に対して研削処理および研磨処理(平坦加工)を順に施す(工程202A2,202A3)。図42はウエハ1Wの薄型化工程後の断面図を示している。図42の破線は、薄型化処理前の基板1Sを示している。   Next, as in the first embodiment, after measuring the thickness of the wafer 1W, grinding processing and polishing processing (flat processing) are sequentially performed on the back surface of the wafer 1W based on the measurement result (step 202A2, step 202A2). 202A3). FIG. 42 shows a cross-sectional view after the thinning process of the wafer 1W. The broken line in FIG. 42 shows the substrate 1S before the thinning process.

続いて、チップ分割工程202Bに移行する。チップ分割工程202Bのレーザ照射工程202B2は、前記実施の形態1で説明した改質領域PRの形成のためのものである。図43はレーザ光LB1を照射している様子を示すウエハ1Wの要部断面図である。   Subsequently, the process proceeds to the chip dividing step 202B. The laser irradiation process 202B2 of the chip dividing process 202B is for forming the modified region PR described in the first embodiment. FIG. 43 is a cross-sectional view of main parts of the wafer 1W showing a state in which the laser beam LB1 is irradiated.

本実施の形態4においても、前記実施の形態1と同様にして、レーザ光LB1をウエハ1Wの裏面側から基板1Sの内部に焦点を合わせて照射することにより、基板1Sに改質領域PRを形成する。ただし、本実施の形態4では、レーザ光LB1をテスト用のパッド1LBt等のような金属パターンの両脇であってチップ1Cと切断領域CRとの境界または間に当たる平面位置に照射する。改質領域PRの平面形状は前記実施の形態1で説明したように平面的に直線状に形成する場合もあるし、破線状に形成する場合もある。   Also in the fourth embodiment, similarly to the first embodiment, the modified region PR is formed on the substrate 1S by irradiating the laser beam LB1 with focus on the inside of the substrate 1S from the back side of the wafer 1W. Form. However, in the fourth embodiment, the laser beam LB1 is irradiated to the planar position on both sides of the metal pattern such as the test pad 1LBt and the boundary or between the chip 1C and the cutting region CR. As described in the first embodiment, the planar shape of the modified region PR may be linearly formed in a planar manner or may be formed in a broken line shape.

その後、ウエハマウント工程202B2では、ウエハ1Wを治具に貼りかえる。図44はウエハマウント工程202B2およびWSS剥離工程202B3後のウエハ1Wおよび治具7の平面図、図45は図44のX13−X13線の断面図を示している。   Thereafter, in the wafer mounting step 202B2, the wafer 1W is attached to a jig. 44 is a plan view of the wafer 1W and the jig 7 after the wafer mounting step 202B2 and the WSS peeling step 202B3, and FIG. 45 is a sectional view taken along line X13-X13 in FIG.

ウエハマウント工程202B2では、ウエハ1Wの主面(デバイス形成面)に支持基板24を貼り付けたままの状態でウエハ1Wの裏面を治具7のテープ7aに貼り付ける。ウエハ1Wはテープ7aの接着層7a2によりしっかりと固定されている。これにより、ウエハ1Wは、その主面が表になって露出された状態で治具7に収容される。   In the wafer mounting step 202B2, the back surface of the wafer 1W is attached to the tape 7a of the jig 7 while the support substrate 24 is attached to the main surface (device forming surface) of the wafer 1W. The wafer 1W is firmly fixed by the adhesive layer 7a2 of the tape 7a. As a result, the wafer 1W is accommodated in the jig 7 with its main surface exposed and exposed.

続いて、WSS剥離工程202B3では、レーザ光を、ウエハ1Wの主面上の接着層25に焦点を合わせた状態で透明な支持基板24を介してウエハ1Wの主面の端から端まで走査し照射する。これにより、支持基板24をウエハ1Wから剥離した後、ウエハ1Wの主面上の接着層25を除去する。この工程のレーザ光の条件は、例えば波長1064nmの赤外線レーザ、出力:20W、照射速度:2000mm/s、スポット径:f200μm程度である。接着層25を、例えば紫外線硬化樹脂(UVレジン)により形成した場合は、上記レーザ光は、赤外線レーザに代えて紫外線レーザを使用する。これにより、接着層25の粘着力を弱めることができるので、支持基板24を容易に剥離することができる。   Subsequently, in the WSS peeling step 202B3, the laser beam is scanned from end to end of the main surface of the wafer 1W through the transparent support substrate 24 while being focused on the adhesive layer 25 on the main surface of the wafer 1W. Irradiate. Thereby, after peeling off the support substrate 24 from the wafer 1W, the adhesive layer 25 on the main surface of the wafer 1W is removed. The laser light conditions in this step are, for example, an infrared laser with a wavelength of 1064 nm, output: 20 W, irradiation speed: 2000 mm / s, spot diameter: f200 μm. When the adhesive layer 25 is formed of, for example, an ultraviolet curable resin (UV resin), the laser beam uses an ultraviolet laser instead of an infrared laser. Thereby, since the adhesive force of the contact bonding layer 25 can be weakened, the support substrate 24 can be peeled easily.

次いで、本実施の形態4ではTEG加工工程202B4に移行する。TEG加工工程202B4では、ウエハ1Wを収容した治具7をダイシング装置のダイシングステージに載せて回転するダイシングソー(ブレードダイシング方式)によりTEGを除去する。図46はこのTEG加工工程中のウエハ1Wの要部断面図を示している。ダイシングソー26は、その断面が矩形状のものを使用した。このダイシングソー26を切断領域CRに合わせた後、回転させた状態でウエハ1Wの主面に接するように下降する。これにより、TEGのテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンを除去する。図47はTEG加工工程後のウエハ1Wの要部断面図を示している。ここでは、切断領域CRにおけるテスト用のパッド1LBt等のような金属パターンが完全に除去されており、ウエハ1Wの主面の切断領域CRに溝27が形成されている。溝27の深さは、配線層1L途中とされているが、基板1Sに達しても良い。ただし、基板1Sを完全に切断してしまわないようにする。   Next, in the fourth embodiment, the process proceeds to the TEG processing step 202B4. In the TEG processing step 202B4, the TEG is removed by a dicing saw (blade dicing method) in which the jig 7 containing the wafer 1W is placed on a dicing stage of a dicing apparatus and rotated. FIG. 46 shows a cross-sectional view of the main part of the wafer 1W during this TEG processing step. The dicing saw 26 having a rectangular cross section was used. After the dicing saw 26 is aligned with the cutting region CR, the dicing saw 26 is lowered so as to be in contact with the main surface of the wafer 1W while being rotated. Thus, metal patterns such as the TEG test pad 1LBt and the alignment target Am are removed. FIG. 47 shows a cross-sectional view of the main part of the wafer 1W after the TEG processing step. Here, the metal pattern such as the test pad 1LBt in the cutting region CR is completely removed, and the groove 27 is formed in the cutting region CR on the main surface of the wafer 1W. The depth of the groove 27 is in the middle of the wiring layer 1L, but may reach the substrate 1S. However, the substrate 1S is not completely cut.

続いて、分割工程202B5では、前記実施の形態1と同様にウエハ1Wを折り曲げ方式により分割(切断)する。図48は分割工程202B5中のウエハ1Wの要部拡大断面図を示している。この場合、一般的に切断領域CR内の2箇所の改質領域PRのうち、機械的強度の弱いいずれか一方側で亀裂が入りウエハ1Wが切断される。本実施の形態4の場合、テスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンが除去されているので、上記ひげ状の導体異物は発生しない。   Subsequently, in the dividing step 202B5, as in the first embodiment, the wafer 1W is divided (cut) by the bending method. FIG. 48 shows an enlarged cross-sectional view of the main part of the wafer 1W during the dividing step 202B5. In this case, in general, a crack occurs on one of the two modified regions PR in the cutting region CR, which has a low mechanical strength, and the wafer 1W is cut. In the case of the fourth embodiment, since the metal pattern such as the test pad 1LBt and the alignment target Am is removed, the whisker-like conductor foreign matter does not occur.

図49はウエハ1Wから切り出されたチップ1Cの全体平面図、図50は図49のX14−X14線の断面図を示している。本実施の形態4の場合、チップ1Cの外周にテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンが残されていないので、TEG情報の漏洩を防止することができる。   49 is an overall plan view of the chip 1C cut out from the wafer 1W, and FIG. 50 is a cross-sectional view taken along line X14-X14 in FIG. In the case of the fourth embodiment, since the metal pattern such as the test pad 1LBt and the alignment target Am is not left on the outer periphery of the chip 1C, leakage of TEG information can be prevented.

その後の組立工程202C(202C1〜202C4,203A)については前記実施の形態1の組み立工程102C(102C1〜102C4,103A)と同じなので説明を省略する。   The subsequent assembly process 202C (202C1 to 202C4, 203A) is the same as the assembly process 102C (102C1 to 102C4, 103A) of the first embodiment, and a description thereof will be omitted.

(実施の形態5)
前記実施の形態4では、TEG情報の漏洩を防止できるが、前記実施の形態3で説明した切断線が蛇行してしまう問題がある。本実施の形態5では、その問題を回避するための手段を説明するものである。
(Embodiment 5)
In the fourth embodiment, leakage of TEG information can be prevented, but there is a problem that the cutting line described in the third embodiment meanders. In the fifth embodiment, means for avoiding the problem will be described.

まず、前記実施の形態4と同様に、前工程200、テスト工程201および後工程202の裏面加工工程202Aを経た後、チップ分割工程202Bのレーザ照射工程202B1に移行する。図51は本実施の形態5の場合のレーザ照射工程中のウエハ1Wの要部断面図を示している。ここでは、前記実施の形態1〜4と同様にレーザ光LB1をウエハ1Wの裏面から基板1Sの内部に焦点を合わせて照射し、基板1Sに改質領域PRを形成する。ただし、本実施の形態5では、レーザ光LB1を切断領域CRの幅方向(短方向)中央に照射する。すなわち、レーザ光LB1をテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンと平面的に重なる位置に照射する。改質領域PRの平面形状は前記実施の形態1で説明したように平面的に直線状に形成する場合もあるし、破線状に形成する場合もある。   First, similarly to the fourth embodiment, after the back surface processing step 202A of the pre-process 200, the test step 201, and the post-step 202, the process proceeds to the laser irradiation step 202B1 of the chip dividing step 202B. FIG. 51 is a fragmentary cross-sectional view of the wafer 1W during the laser irradiation process in the fifth embodiment. Here, as in the first to fourth embodiments, the laser beam LB1 is irradiated from the back surface of the wafer 1W to the inside of the substrate 1S in a focused manner to form the modified region PR on the substrate 1S. However, in the fifth embodiment, the laser beam LB1 is irradiated to the center in the width direction (short direction) of the cutting region CR. That is, the laser beam LB1 is irradiated to a position that overlaps with a metal pattern such as the test pad 1LBt and the alignment target Am in a plane. As described in the first embodiment, the planar shape of the modified region PR may be linearly formed in a planar manner or may be formed in a broken line shape.

続いて、前記実施の形態4と同様に、ウエハマウント工程202B2、WSSの剥離工程202B3を経た後、TEG加工工程202B4に移行する。図52はTEG加工工程202B4中におけるウエハ1Wの要部断面図を示している。このTEG加工工程では、前記実施の形態4と同様に、回転状態のダイシングソー26をウエハ1Wの主面の切断領域CRに当ててテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンを除去する。ただし、本実施の形態5では、ダイシングソー26として、その外周先端の断面形状が楔形(断面V字状)に形成されているものを使用する。   Subsequently, similarly to the fourth embodiment, after the wafer mounting process 202B2 and the WSS peeling process 202B3, the process proceeds to the TEG processing process 202B4. FIG. 52 is a fragmentary cross-sectional view of the wafer 1W during the TEG processing step 202B4. In this TEG processing step, as in the fourth embodiment, a rotating dicing saw 26 is applied to the cutting area CR on the main surface of the wafer 1W to form a metal pattern such as a test pad 1LBt or an alignment target Am. Remove. However, in the fifth embodiment, a dicing saw 26 having a wedge-shaped (V-shaped cross section) cross-sectional shape at the outer peripheral tip is used.

図53はTEG加工工程後のウエハ1Wの要部平面図、図54は図53のX15−X15線の断面図を示している。ここでは、テスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンが完全に除去されており、ウエハ1Wの主面の切断領域CRの層間絶縁膜1Li(配線層1L)上面に溝27が形成されている。この溝27の深さは、前記実施の形態4と同じである。ただし、本実施の形態5において溝27は深くなるにつれて幅が次第に狭くなっている。すなわち、溝27の断面形状がV字状に形成されている。溝27の最も深い部分は、分割工程202B5時に層間絶縁膜1Liの分割起点として作用する部分である。溝27は、その分割起点として作用する部分の平面位置が、切断領域CRの幅方向(短方向)の中央に位置するように、すなわち、上記改質領域PRの平面位置(すなわち、切断線CL)に一致するように形成されている。   53 is a plan view of the main part of the wafer 1W after the TEG processing step, and FIG. 54 is a sectional view taken along line X15-X15 in FIG. Here, the metal pattern such as the test pad 1LBt and the alignment target Am is completely removed, and a groove 27 is formed on the upper surface of the interlayer insulating film 1Li (wiring layer 1L) in the cutting region CR of the main surface of the wafer 1W. Is formed. The depth of the groove 27 is the same as that in the fourth embodiment. However, in the fifth embodiment, the width of the groove 27 gradually becomes narrower as it becomes deeper. That is, the cross-sectional shape of the groove 27 is V-shaped. The deepest portion of the groove 27 is a portion that acts as a dividing starting point of the interlayer insulating film 1Li during the dividing step 202B5. The groove 27 is formed so that the planar position of the portion acting as the division starting point is located in the center in the width direction (short direction) of the cutting region CR, that is, the planar position of the modified region PR (that is, the cutting line CL). ) To match.

続いて、分割工程202B5では、前記実施の形態1と同様にウエハ1Wを折り曲げ方式により分割(切断)する。図55は分割工程202B5中のウエハ1Wの要部拡大断面図を示している。この場合、ウエハ1Wは基板1Sの改質領域PRおよび配線層1Lの溝27を分割起点として分割(切断)される。   Subsequently, in the dividing step 202B5, as in the first embodiment, the wafer 1W is divided (cut) by the bending method. FIG. 55 shows an enlarged cross-sectional view of the main part of the wafer 1W during the dividing step 202B5. In this case, the wafer 1W is divided (cut) using the modified region PR of the substrate 1S and the groove 27 of the wiring layer 1L as a division starting point.

本実施の形態5の場合、テスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンが除去されているので、上記ひげ状の導体異物は発生しない。また、溝27を断面V字状に形成したことにより、層間絶縁膜として低誘電率膜を使用していたとしても、ウエハ1W(特にウエハ1Wの主面側の層間絶縁膜1Li)を溝27に沿って蛇行することなく綺麗に分割(切断)することができる。したがって、半導体装置の歩留まりおよび信頼性を向上させることができる。   In the case of the fifth embodiment, since the metal pattern such as the test pad 1LBt and the alignment target Am is removed, the whisker-like conductor foreign matter does not occur. Further, since the groove 27 is formed in a V-shaped cross section, even if a low dielectric constant film is used as the interlayer insulating film, the wafer 1W (particularly, the interlayer insulating film 1Li on the main surface side of the wafer 1W) is formed in the groove 27. It can be neatly divided (cut) without meandering along. Therefore, the yield and reliability of the semiconductor device can be improved.

図56はウエハ1Wから切り出されたチップ1Cの全体平面図、図57は図56のX16−X16線の断面図を示している。本実施の形態5の場合、チップ1Cの外周にテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンが残されていないので、TEG情報の漏洩を防止することができる。また、本実施の形態5では、チップ1Cの主面側の外周角が傾斜している。すなわち、チップ1Cの主面側の外周角にテーパが形成されている。これにより、チップ1Cの搬送時等においてチップ1Cの外周角が欠けるのを低減できる。したがって、半導体装置の歩留まりおよび信頼性を向上させることができる。また、異物発生を低減できる。   56 is an overall plan view of the chip 1C cut out from the wafer 1W, and FIG. 57 is a sectional view taken along line X16-X16 in FIG. In the case of the fifth embodiment, since the metal pattern such as the test pad 1LBt and the alignment target Am is not left on the outer periphery of the chip 1C, leakage of TEG information can be prevented. In the fifth embodiment, the outer peripheral angle on the main surface side of the chip 1C is inclined. That is, a taper is formed at the outer peripheral angle on the main surface side of the chip 1C. Thereby, it is possible to reduce the lack of the outer peripheral angle of the chip 1C when the chip 1C is conveyed. Therefore, the yield and reliability of the semiconductor device can be improved. Moreover, foreign matter generation can be reduced.

その後の組立工程202C(202C1〜202C4,203A)については前記実施の形態1の組み立工程102C(102C1〜102C4,103A)と同じなので説明を省略する。   The subsequent assembly process 202C (202C1 to 202C4, 203A) is the same as the assembly process 102C (102C1 to 102C4, 103A) of the first embodiment, and a description thereof will be omitted.

(実施の形態6)
本実施の形態6ではTEG情報の漏洩防止のためTEGをレーザ光により除去する方法例を説明する。
(Embodiment 6)
In the sixth embodiment, an example of a method for removing TEG with a laser beam to prevent leakage of TEG information will be described.

まず、前記実施の形態5と同様に、前工程200〜WSSの剥離工程203B3を経た後、TEG加工工程202B4においてTEGをレーザ光により除去する。図58はこのTEG加工工程中のウエハ1Wの要部断面図を示している。レーザ光(第2レーザ)LB3をウエハ1Wの主面側からテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンに照射することにより、その金属パターンを溶融して除去する。レーザ光LB3は、例えば波長が355nmの紫外光等のように、上記改質領域PR形成時のレーザ光LB1の波長よりも短波長のレーザ光を使用する。各金属パターンに対してレーザ光LB3を複数回照射することにより金属パターンを除去する。図59は本実施の形態6のTEG加工工程後のウエハ1Wの要部断面図を示している。ここでは、切断領域CRにおけるテスト用のパッド1LBt等のような金属パターンが完全に除去されている。本実施の形態6の場合、切断領域CRの金属パターンをレーザ光LB3により除去することにより、ウエハ1Wに機械的な応力を与えず金属パターンを除去できるので、チップ1Cの外周にチッピング等の損傷が発生するのを防止できる。これにより、薄い半導体チップの抗折強度を前記実施の形態4,5に比べて向上させることができる。   First, similarly to the fifth embodiment, after passing through the peeling step 203B3 of the previous step 200 to WSS, the TEG is removed by laser light in the TEG processing step 202B4. FIG. 58 shows a cross-sectional view of the main part of the wafer 1W during the TEG processing step. By irradiating the metal pattern such as the test pad 1LBt and the alignment target Am with the laser beam (second laser) LB3 from the main surface side of the wafer 1W, the metal pattern is melted and removed. As the laser beam LB3, for example, a laser beam having a shorter wavelength than the wavelength of the laser beam LB1 when the modified region PR is formed, such as an ultraviolet beam having a wavelength of 355 nm, is used. The metal pattern is removed by irradiating each metal pattern with the laser beam LB3 a plurality of times. FIG. 59 shows a cross-sectional view of the main part of the wafer 1W after the TEG processing step of the sixth embodiment. Here, the metal pattern such as the test pad 1LBt in the cutting region CR is completely removed. In the case of the sixth embodiment, since the metal pattern can be removed without applying mechanical stress to the wafer 1W by removing the metal pattern in the cutting region CR with the laser beam LB3, damage such as chipping on the outer periphery of the chip 1C. Can be prevented. Thereby, the bending strength of the thin semiconductor chip can be improved as compared with the fourth and fifth embodiments.

続いて、分割工程202B5では、前記実施の形態1と同様にウエハ1Wを折り曲げ方式により分割(切断)する。図60は分割工程202B5中のウエハ1Wの要部拡大断面図を示している。この場合、ウエハ1Wは基板1Sの改質領域PRを分割起点として分割(切断)される。本実施の形態5の場合、テスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンが除去されているので、上記ひげ状の導体異物は発生しない。   Subsequently, in the dividing step 202B5, as in the first embodiment, the wafer 1W is divided (cut) by the bending method. FIG. 60 shows an enlarged cross-sectional view of the main part of the wafer 1W during the dividing step 202B5. In this case, the wafer 1W is divided (cut) using the modified region PR of the substrate 1S as a division starting point. In the case of the fifth embodiment, since the metal pattern such as the test pad 1LBt and the alignment target Am is removed, the whisker-like conductor foreign matter does not occur.

本実施の形態6の場合のウエハ1Wから切り出されたチップ1Cの全体平面図は図49とほぼ同じである。本実施の形態6の場合も、チップ1Cの外周にテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンが残されていないので、TEG情報の漏洩を防止することができる。   The overall plan view of the chip 1C cut out from the wafer 1W in the case of the sixth embodiment is substantially the same as FIG. Also in the case of the sixth embodiment, since no metal pattern such as the test pad 1LBt and the alignment target Am is left on the outer periphery of the chip 1C, leakage of TEG information can be prevented.

その後の組立工程202C(202C1〜202C4,203A)については前記実施の形態1の組み立工程102C(102C1〜102C4,103A)と同じなので説明を省略する。   The subsequent assembly process 202C (202C1 to 202C4, 203A) is the same as the assembly process 102C (102C1 to 102C4, 103A) of the first embodiment, and a description thereof will be omitted.

(実施の形態7)
前記実施の形態6では、TEG情報の漏洩を防止できるが、前記実施の形態3で説明した切断線が蛇行してしまう問題がある。本実施の形態7では、その問題を回避するための手段を説明するものである。
(Embodiment 7)
In the sixth embodiment, leakage of TEG information can be prevented, but there is a problem that the cutting line described in the third embodiment meanders. In the seventh embodiment, means for avoiding the problem will be described.

まず、前記実施の形態5,6と同様に、前工程200〜WSSの剥離工程203B3を経た後、TEG加工工程202B4に移行する。このTEG加工工程202B4では、TEGにレーザ光を照射する。図61はTEG加工工程202B4中におけるウエハ1Wの要部断面図を示している。また、図63はTEG加工工程202B4中におけるウエハ1Wの要部拡大断面図を示している。ここでは、前記実施の形態6と同様に、レーザ光LB3をウエハ1Wの主面側からテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンに照射することにより、その切断領域CRのテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンの上面に金属パターンの一部に溝30を形成する。溝30はレーザ光LB3の熱により溶融することで形成されるが、溶融した部分が層間絶縁膜1L1(配線層1L)の界面まで進展する。この結果、溝30から改質領域PRに向かって亀裂CRKが形成される。溝30の平面位置は、切断領域CRの幅方向(短方向)の中央に位置するように、すなわち、上記改質領域PRの平面位置(すなわち、切断線CL)に一致するように形成されている。ここで、本実施の形態7の場合、切断領域CRの金属パターンの一部を除去するだけなので、このレーザ光加工処理を施したからといってチップ1Cの外周にチッピング等の損傷が発生すこともない。これにより、薄い半導体チップの抗折強度を前記実施の形態4,5に比べて向上させることができる。   First, similarly to the fifth and sixth embodiments, after passing through the peeling step 203B3 of the previous step 200 to WSS, the process proceeds to the TEG processing step 202B4. In this TEG processing step 202B4, the TEG is irradiated with laser light. FIG. 61 shows a cross-sectional view of the main part of the wafer 1W during the TEG processing step 202B4. FIG. 63 shows an enlarged cross-sectional view of the main part of the wafer 1W during the TEG processing step 202B4. Here, similarly to the sixth embodiment, the laser beam LB3 is irradiated from the main surface side of the wafer 1W onto a metal pattern such as the test pad 1LBt and the alignment target Am, thereby testing the cutting region CR. A groove 30 is formed in a part of the metal pattern on the upper surface of the metal pattern such as the pad 1LBt for use and the alignment target Am. The groove 30 is formed by melting by the heat of the laser beam LB3, and the melted portion extends to the interface of the interlayer insulating film 1L1 (wiring layer 1L). As a result, a crack CRK is formed from the groove 30 toward the modified region PR. The planar position of the groove 30 is formed so as to be located at the center in the width direction (short direction) of the cutting region CR, that is, to coincide with the planar position of the modified region PR (that is, the cutting line CL). Yes. Here, in the case of the seventh embodiment, since only a part of the metal pattern in the cutting region CR is removed, damage such as chipping occurs on the outer periphery of the chip 1C just by performing this laser beam processing. There is nothing. Thereby, the bending strength of the thin semiconductor chip can be improved as compared with the fourth and fifth embodiments.

続いて、分割工程202B5では、前記実施の形態1と同様にウエハ1Wを折り曲げ方式により分割(切断)する。図62は分割工程202B5中のウエハ1Wの要部拡大断面図を示している。この場合、ウエハ1Wは基板1Sの改質領域PR、亀裂CRKおよび配線層1Lの溝30を分割起点として分割(切断)される。   Subsequently, in the dividing step 202B5, as in the first embodiment, the wafer 1W is divided (cut) by the bending method. FIG. 62 shows an enlarged cross-sectional view of the main part of the wafer 1W during the dividing step 202B5. In this case, the wafer 1W is divided (cut) using the modified region PR of the substrate 1S, the crack CRK, and the groove 30 of the wiring layer 1L as the division starting points.

本実施の形態7の場合、テスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンの切断部分(溝30形成部分)が切断されているので、上記ひげ状の導体異物は発生しない。また、層間絶縁膜1Liに溝30が達していることにより、層間絶縁膜として低誘電率膜を使用していたとしても、ウエハ1W(特にウエハ1Wの主面側の層間絶縁膜1Li)を溝30に沿って蛇行することなく綺麗に分割(切断)することができる。したがって、半導体装置の歩留まりおよび信頼性を向上させることができる。   In the case of the seventh embodiment, since the cut portion (the groove 30 forming portion) of the metal pattern such as the test pad 1LBt and the alignment target Am is cut, the whisker-like conductor foreign matter is not generated. Further, since the groove 30 reaches the interlayer insulating film 1Li, the wafer 1W (particularly, the interlayer insulating film 1Li on the main surface side of the wafer 1W) is grooved even if a low dielectric constant film is used as the interlayer insulating film. It can be neatly divided (cut) without meandering along 30. Therefore, the yield and reliability of the semiconductor device can be improved.

本実施の形態7の場合のウエハ1Wから切り出されたチップ1Cは図56および図57とほぼ同じである。本実施の形態7の場合も、チップ1Cの外周にテスト用のパッド1LBtやアライメントターゲットAm等のような金属パターンの一部が残されているが、切断され、また溶融されておりTEGの情報を取得することができないようになっている。したがって、TEG情報の漏洩を防止することができる。また、本実施の形態7では、チップ1Cの主面側の外周角が溝30の形成により傾斜していることにより、チップ1Cの搬送時等においてチップ1Cの外周角が欠けるのを低減できる。したがって、半導体装置の歩留まりおよび信頼性を向上させることができる。また、異物発生を低減できる。   Chips 1C cut out from the wafer 1W in the case of the seventh embodiment are substantially the same as those shown in FIGS. Also in the case of the seventh embodiment, a part of the metal pattern such as the test pad 1LBt and the alignment target Am is left on the outer periphery of the chip 1C, but it is cut and melted, and the TEG information. Can not get. Therefore, leakage of TEG information can be prevented. In the seventh embodiment, since the outer peripheral angle on the main surface side of the chip 1C is inclined due to the formation of the groove 30, it is possible to reduce the lack of the outer peripheral angle of the chip 1C during the conveyance of the chip 1C. Therefore, the yield and reliability of the semiconductor device can be improved. Moreover, foreign matter generation can be reduced.

その後の組立工程202C(202C1〜202C4,203A)については前記実施の形態1の組み立工程102C(102C1〜102C4,103A)と同じなので説明を省略する。   The subsequent assembly process 202C (202C1 to 202C4, 203A) is the same as the assembly process 102C (102C1 to 102C4, 103A) of the first embodiment, and a description thereof will be omitted.

(実施の形態8)
前記実施の形態4および5では、ダイシングソー(ブレードダイシング方式)によりTEGを除去することで、TEG情報の漏洩や、TEGのひげ状の導体異物(ひげ不良)により生じる実装不良を防止できるが、半導体装置の更なる薄型化の要求に伴い、例えばウエハの厚さが70μm厚以下と薄くなった場合、図66に示すように、チップクラックの問題が発生し易い。この原因は、TEGの除去方法としてダイシングソー26を用いることと、ウエハ1Wの薄型化に伴い、破砕層(改質領域PR)からTEGまでの距離(間隔)が近く(短く)なることと、ウエハ1W(チップ1C)の抗折強度が低下することにある。ブレードダイシング方式は、高速回転するダイシングソー26をウエハ1Wに接触させることでウエハ1Wを切断(破断)するため、ステルスダイシング方式に比べウエハ1Wに加わる切断応力(破断応力)は大きい。すなわち、実施の形態4および5で説明したように、ウエハ1Wに予めレーザ光を照射して破砕層(改質領域PR)を形成した後にダイシングソー26を用いてTEGを除去すると、破砕層からTEGまでの距離(間隔)は近く、更には、ウエハ1Wの抗折強度が低下していることから、ダイシングソー26の切断応力が破砕層まで進展し易く、亀裂(クラック)CRKが発生してしまう。そこで、本実施の形態8では、その問題を回避するための手段を説明するものである。
(Embodiment 8)
In Embodiments 4 and 5, by removing the TEG by a dicing saw (blade dicing method), it is possible to prevent leakage of TEG information and mounting defects caused by TEG whisker-like conductor foreign matter (beard defect). With the demand for further thinning of the semiconductor device, for example, when the thickness of the wafer is as thin as 70 μm or less, the problem of chip cracks is likely to occur as shown in FIG. This is because the dicing saw 26 is used as a TEG removal method, and the distance (interval) from the fractured layer (modified region PR) to the TEG becomes closer (shorter) as the wafer 1W becomes thinner. The bending strength of the wafer 1W (chip 1C) is reduced. The blade dicing method cuts (breaks) the wafer 1W by bringing the dicing saw 26 that rotates at a high speed into contact with the wafer 1W, so that the cutting stress (breaking stress) applied to the wafer 1W is larger than that of the stealth dicing method. That is, as described in the fourth and fifth embodiments, if the TEG is removed using the dicing saw 26 after the laser beam is irradiated on the wafer 1W in advance to form the fracture layer (modified region PR), Since the distance (interval) to the TEG is close and the bending strength of the wafer 1W is lowered, the cutting stress of the dicing saw 26 easily propagates to the fractured layer, and a crack (crack) CRK is generated. End up. Therefore, in the eighth embodiment, means for avoiding the problem will be described.

まず、図67に示すように、ダイシングソー26を用いて、ウエハ1Wの主面の切断領域に配置されたテスト用のパッド1LBtやアライメントターゲットAmを除去する。これにより、ウエハ1Wの主面に溝27が形成される。   First, as shown in FIG. 67, using the dicing saw 26, the test pads 1LBt and the alignment target Am arranged in the cutting region of the main surface of the wafer 1W are removed. Thereby, a groove 27 is formed on the main surface of the wafer 1W.

次に、図68に示すように、ウエハ1Wの主面にBGテープ35を貼り付ける。BGテープ35のテープベース35aは、例えば柔軟性を持つプラスチック材料からなり、その主面には接着層35bが形成されている。BGテープ35は、その接着層35bによりウエハ1Wの主面(チップ形成面)にしっかりと貼り付けられている。   Next, as shown in FIG. 68, a BG tape 35 is attached to the main surface of the wafer 1W. The tape base 35a of the BG tape 35 is made of, for example, a flexible plastic material, and an adhesive layer 35b is formed on the main surface thereof. The BG tape 35 is firmly attached to the main surface (chip forming surface) of the wafer 1W by the adhesive layer 35b.

続いて、ウエハ1Wを反転させた後、図69に示すように、ウエハ1Wの裏面側から上記研削研磨工具(砥石)8を用いて裏面研削工程、更には裏面研削工程によりウエハ1Wの裏面に形成された微小な凹凸を除去するための研磨工程(ストレスリリーフ)を行うことで、ウエハ1Wを所望の厚さにする。   Subsequently, after the wafer 1W is inverted, as shown in FIG. 69, the back surface of the wafer 1W is formed from the back surface side of the wafer 1W using the grinding and polishing tool (grinding stone) 8 and further back surface grinding process. By performing a polishing process (stress relief) for removing the formed minute unevenness, the wafer 1W is made to have a desired thickness.

次に、図70に示すように、レーザ光LB1をウエハ1Wの裏面から照射し、前記と同様に、ウエハ1Wの内部(厚さ方向における中心付近)に改質領域(光学的損傷部または破砕層)PRを形成する。   Next, as shown in FIG. 70, the laser beam LB1 is irradiated from the back surface of the wafer 1W, and the modified region (optically damaged portion or crushing) is formed inside the wafer 1W (near the center in the thickness direction) as described above. Layer) PR.

次に、図71に示すように、ウエハ1Wの裏面に治具7のテープ7aに貼り付け、反転してからウエハ1Wの主面のBGテープ35を剥がす(ウエハマウント工程)。続いて、図72に示すように、エキスパンド方式によりウエハ1Wを分断することで、複数のチップ1Cを取得する。   Next, as shown in FIG. 71, the back surface of the wafer 1W is affixed to the tape 7a of the jig 7, reversed, and then the BG tape 35 on the main surface of the wafer 1W is peeled off (wafer mounting step). Subsequently, as shown in FIG. 72, a plurality of chips 1C are obtained by dividing the wafer 1W by the expanding method.

このように、本実施の形態8によれば、テスト用のパッド1LBtやアライメントターゲットAmのそれぞれを、ウエハ1Wを薄くする裏面研削工程および改質領域PRの形成工程の前に、予めダイシングソー26により除去するため、例えばウエハ1Wの厚さが70μm以下と薄くなったとしても、チップクラックの問題を抑制することが可能である。   As described above, according to the eighth embodiment, each of the test pad 1LBt and the alignment target Am is preliminarily processed by the dicing saw 26 before the back grinding process for thinning the wafer 1W and the process for forming the modified region PR. Therefore, even if the thickness of the wafer 1W is as thin as 70 μm or less, for example, it is possible to suppress the problem of chip cracks.

ここで、破砕層(改質領域PR)を形成した後にダイシングソー26を用いてTEGを除去すると、ダイシングソー26の切断応力によりチップクラックの問題が発生するということにのみ着目した場合、ウエハ1Wの主面側からダイシングソー26を用いてTEGを除去した後に、同じくウエハ1Wの主面側からレーザ光LB1を照射してウエハ1Wに破砕層(改質領域PR)を形成するという手段も考えられる。   Here, when the TEG is removed using the dicing saw 26 after the crushing layer (modified region PR) is formed, when attention is paid only to the problem of chip cracks caused by the cutting stress of the dicing saw 26, the wafer 1W It is also conceivable to form a fractured layer (modified region PR) on the wafer 1W by irradiating the laser beam LB1 from the main surface side of the wafer 1W after removing the TEG from the main surface side of the wafer 1W using the dicing saw 26. It is done.

しかしながら、図73に示すように、ダイシングソー26により削られたウエハの表面(すなわち、溝27の底面)は、微細な凹凸が形成されているため、レーザ光LB1を照射すると乱反射が起こり、ウエハ1Wの内部にレーザ光LB1の焦点を合わせることが困難となる。   However, as shown in FIG. 73, since the surface of the wafer cut by the dicing saw 26 (that is, the bottom surface of the groove 27) is formed with fine irregularities, when the laser beam LB1 is irradiated, irregular reflection occurs, and the wafer It becomes difficult to focus the laser beam LB1 inside 1W.

また、ダイシングソー26を用いてTEGを除去した後に、ウエハ1Wを反転し、ウエハ1Wの裏面側からレーザ光を照射して破砕層(改質領域PR)を形成した後、ウエハ1Wの厚さを薄くする裏面研削工程および研磨工程を行う手段も考えられる。   Further, after removing the TEG using the dicing saw 26, the wafer 1W is turned over, and a fractured layer (modified region PR) is formed by irradiating a laser beam from the back side of the wafer 1W, and then the thickness of the wafer 1W. Means for carrying out a back grinding process and a polishing process for reducing the thickness of the substrate can also be considered.

しかしながら、裏面研削工程および研磨工程の前に、予めウエハ1Wに破砕層(改質領域PR)が形成されていると、裏面研削のための砥石の応力により、ウエハ1Wの裏面から破砕層(改質領域PR)に向かって亀裂(クラックCRK)が発生する可能性がある。以上のことから、本実施の形態8のように、ダイシングソー26によりTEGを除去した後、ウエハ1Wを裏面研削工程および研磨工程により所望の厚さまで薄くし、ウエハ1Wの裏面側からレーザ光LB1を照射して破砕層(改質領域PR)を形成する手段がチップクラックの問題の対策に有効である。   However, if a crush layer (modified region PR) is formed in advance on the wafer 1W before the back grinding process and the polishing process, the crush layer (modified from the back surface of the wafer 1W due to the stress of the grindstone for back grinding). There is a possibility that a crack (crack CRK) may occur toward the quality region PR). From the above, after the TEG is removed by the dicing saw 26 as in the eighth embodiment, the wafer 1W is thinned to a desired thickness by the back grinding process and the polishing process, and the laser beam LB1 is applied from the back side of the wafer 1W. The means for forming a crushed layer (modified region PR) by irradiating is effective for the countermeasure against the problem of chip cracks.

(実施の形態9)
ブレードダイシング方式により半導体ウエハを分割する場合は、使用するダイシングソーの幅よりも太い幅の切断領域が必要であった。これに対し、ステルスダイシング方式の場合、半導体ウエハの内部に破砕層(改質領域PR)を形成し、その破砕層を起点として半導体ウエハを分割するため、ブレードダイシング方式に比べ切断領域の幅は狭くすることが可能である。
(Embodiment 9)
When the semiconductor wafer is divided by the blade dicing method, a cutting region having a width wider than the width of the dicing saw to be used is necessary. On the other hand, in the case of the stealth dicing method, a crushed layer (modified region PR) is formed inside the semiconductor wafer, and the semiconductor wafer is divided starting from the crushed layer. It can be narrowed.

しかしながら、切断領域CRには、テスト用のパッド1LBtやアライメントターゲットAmが配置されているため、少なくとも切断領域CRの幅は、テスト用のパッド1LBtやアライメントターゲットAmの幅よりも太く設けておく必要がある。そのため、1つのウエハからのチップの取得数を向上することが困難である。そこで、本実施の形態9では、1つのウエハからのチップの取得数を向上するための方法例を図74、図75および図76により説明する。図74は本実施の形態9のウエハ1Wの平面図、図75は図74のウエハ1Wの主面の要部拡大平面図、図76は図75のウエハ1WのTEG除去時の要部断面図である。   However, since the test pad 1LBt and the alignment target Am are arranged in the cutting region CR, at least the width of the cutting region CR needs to be larger than the width of the test pad 1LBt and the alignment target Am. There is. For this reason, it is difficult to improve the number of chips acquired from one wafer. Therefore, in the ninth embodiment, an example of a method for improving the number of chips acquired from one wafer will be described with reference to FIGS. 74, 75, and 76. FIG. 74 is a plan view of the wafer 1W of the ninth embodiment, FIG. 75 is an enlarged plan view of the main part of the main surface of the wafer 1W in FIG. 74, and FIG. 76 is a main part cross-sectional view of the wafer 1W in FIG. It is.

まず、図74および図75に示すように、ウエハ1Wの主面上において、X方向およびY方向(X方向と交差する方向)に設けられた切断領域CR(CR1,CR2)のうち、X方向に設けられた切断領域(第1の切断領域)CR1にのみテスト用のパッド1LBtやアライメントターゲットAmを配置する。すなわち、Y方向に設けられた切断領域(第2の切断領域)CR2にはテスト用のパッド1LBtやアライメントターゲットAmを一切配置せず、X方向に設けられた切断領域CR1にのみテスト用のパッド1LBtやアライメントターゲットAmを集約配置する。これにより、Y方向に延在する切断領域CR2の幅は、テスト用のパッド1LBtやアライメントターゲットAmの幅よりも狭くすることができる。そのため、隣り合うチップ1C(チップ領域)同士の間隔をより狭めることができるので、1つのウエハ1Wからのチップ1Cの取得数を向上することが可能である。ここで、Y方向に延在する切断領域CR2の幅は、例えば5μmである。   First, as shown in FIGS. 74 and 75, among the cutting regions CR (CR1, CR2) provided in the X direction and the Y direction (direction intersecting the X direction) on the main surface of the wafer 1W, the X direction The test pad 1LBt and the alignment target Am are arranged only in the cutting region (first cutting region) CR1 provided in the region. That is, the test pad 1LBt and the alignment target Am are not arranged at all in the cutting region (second cutting region) CR2 provided in the Y direction, and the test pad is provided only in the cutting region CR1 provided in the X direction. 1 LBt and alignment target Am are collectively arranged. Thereby, the width of the cutting region CR2 extending in the Y direction can be made narrower than the width of the test pad 1LBt and the alignment target Am. For this reason, the interval between adjacent chips 1C (chip regions) can be further reduced, so that the number of chips 1C acquired from one wafer 1W can be improved. Here, the width of the cutting region CR2 extending in the Y direction is, for example, 5 μm.

しかしながら、テスト用のパッド1LBtやアライメントターゲットAmをX方向に延在する切断領域CR1に集約した場合、図75に示すように、X方向に延在する切断領域CR1においてテスト用のパッド1LBtやアライメントターゲットAmが複数列(本実施の形態9では2列)に亘って配置されることになる。そのため、実施の形態4,5および8に示すような、TEGの幅とほぼ同じ幅のダイシングソーを用いた場合、TEGを完全に除去するためには、1つの切断領域CRに対して、ダイシングソーを2回走らせる必要がある。このため、TEG除去処理に時間がかかる。   However, when the test pads 1LBt and the alignment target Am are collected in the cutting region CR1 extending in the X direction, as shown in FIG. 75, the testing pads 1LBt and alignment in the cutting region CR1 extending in the X direction. The target Am is arranged over a plurality of rows (two rows in the ninth embodiment). Therefore, when a dicing saw having the same width as the TEG as shown in the fourth, fifth and eighth embodiments is used, in order to completely remove the TEG, dicing is performed on one cutting region CR. You need to run the saw twice. For this reason, the TEG removal process takes time.

そこで、本実施の形態9では、図76に示すように、TEGパターンの除去工程に際して、2つのTEGの幅の総和分とほぼ同じ幅のダイシングソー26を用いることが好ましい。これにより、TEGが切断領域CR2内において複数列に亘って配置されていたとしても、ダイシングソー26は1回走らせるだけで、その切断領域CR2におけるTEGを全て除去できる。ここで、ダイシングソー26の幅は、2つのTEGの幅の総和分とほぼ同じと説明したが、少なくともダイシングソー26を1回走らせるだけで、その切断領域CR2における全てのTEGが完全に除去されることが好ましいため、2つのTEGの幅の総和分以上であり、かつ切断領域CR2の幅未満であることが好ましい。   Therefore, in the ninth embodiment, as shown in FIG. 76, it is preferable to use a dicing saw 26 having substantially the same width as the sum of the widths of the two TEGs in the process of removing the TEG pattern. As a result, even if the TEGs are arranged in a plurality of rows in the cutting region CR2, the dicing saw 26 can be removed once and all the TEGs in the cutting region CR2 can be removed. Here, it has been described that the width of the dicing saw 26 is substantially the same as the sum of the widths of the two TEGs. However, at least by running the dicing saw 26 once, all the TEGs in the cutting region CR2 are completely removed. Therefore, it is preferable that the width is equal to or greater than the sum of the widths of the two TEGs and is less than the width of the cutting region CR2.

本実施の形態9の場合、TEGパターンの除去のためのダイシングソー26の移動方向が一方向のみなので、TEGパターンの除去処理時間を短縮できる。なお、本実施の形態9で説明した幅広のダイシングソー26を複数枚同時に並列動作させることでTEGパターンの除去処理時間をさらに短縮することもできる。   In the case of the ninth embodiment, since the moving direction of the dicing saw 26 for removing the TEG pattern is only one direction, the TEG pattern removal processing time can be shortened. Note that the TEG pattern removal processing time can be further shortened by simultaneously operating a plurality of wide dicing saws 26 described in the ninth embodiment in parallel.

(実施の形態10)
半導体装置の小型化に伴い、チップのサイズもより小型化することが要求される。小型化されたチップの分割方法として、ウエハの薄型化にも対応できるステルスダイシング方式を用いた場合、1つのウエハから個々のチップに分割するためには、レーザ光をウエハに照射した後にエキスパンド工程を行うことで実現できる。
(Embodiment 10)
Along with the miniaturization of the semiconductor device, it is required to further reduce the size of the chip. When a stealth dicing method that can cope with the thinning of the wafer is used as a method for dividing the miniaturized chip, in order to divide the wafer into individual chips, an expanding process is performed after irradiating the wafer with laser light. It can be realized by doing.

しかしながら、例えば、1辺の幅(長さ)が3mm以下というチップを形成する場合、実施の形態8の図72に示したように、ダイシングテープ全体を1回のエキスパンド工程により中心から外周に向かって引き伸ばそうとすると、複数のチップ1C(チップ領域)のうち、隣り合うチップ領域同士が完全に分割されない、いわゆる、分割不良の問題が発生し易い。これは、1つのチップのサイズが小さくなると、ダイシングテープが引き伸ばされても、複数のチップ領域のそれぞれに対して張力が伝わり難くなり、複数のチップが繋がった状態となってしまう。そこで、本実施の形態10は、その問題を回避するための手段を説明するものである。   However, for example, when forming a chip having a width (length) of 3 mm or less on one side, as shown in FIG. 72 of the eighth embodiment, the entire dicing tape is moved from the center to the outer periphery by one expansion process. When trying to stretch, adjacent chip regions among the plurality of chips 1C (chip regions) are not completely divided, so that a problem of so-called division failure is likely to occur. When the size of one chip is reduced, even if the dicing tape is stretched, the tension is hardly transmitted to each of the plurality of chip regions, and the plurality of chips are connected. Thus, the tenth embodiment describes means for avoiding the problem.

1つのウエハ1Wには複数の切断領域CRがX方向およびY方向に向かって延在するように設けられているが、本実施の形態10では、1回のエキスパンド工程によりこの複数の切断領域CRの全てを同時に分割するのではなく、1回のエキスパンド工程により複数の切断領域CRのうちの1つを分割するものである。   A plurality of cutting regions CR are provided on one wafer 1W so as to extend in the X direction and the Y direction. In the tenth embodiment, the plurality of cutting regions CR are formed by one expansion process. Are not divided at the same time, but one of the plurality of cutting regions CR is divided by a single expanding process.

これを図77のウエハ1Wの平面図を用いて説明する。すなわち、図72に示すように、1回目のエキスパンド工程では、まずaの切断領域(第1の切断領域)CRを分割する。そして、aの切断領域を分割した後に、2回目のエキスパンド工程によりbの切断領域(第2の切断領域)CRを分割する。そして、c,d,e,fの切断領域CRの順に、全ての切断領域CRが分割されるまでエキスパンド工程を繰り返す。これにより、たとえチップ1Cの1辺の幅(長さ)が小さくなったとしても、本実施の形態10の手段を用いれば、1つの切断領域CR(1ラインの切断領域CR)毎に対してダイシングテープの張力を確実に伝えることができる。そのため、分割不良の問題を抑制することが可能である。ここで、ウエハ1WにはX方向およびY方向に向かって延在するように複数の切断領域CRが設けられているため、X方向に向かって延在するように設けられた複数の切断領域CRを全て分割してから、Y方向に向かって延在するように設けられた複数の切断領域CRを順番に分割することが分割機構を簡略化できるので好ましい。   This will be described with reference to a plan view of the wafer 1W in FIG. That is, as shown in FIG. 72, in the first expanding step, first, a cutting region (first cutting region) CR of a is divided. Then, after dividing the cutting region a, the cutting region (second cutting region) CR of b is divided by the second expanding step. Then, the expanding process is repeated until all the cutting regions CR are divided in the order of the cutting regions CR of c, d, e, and f. As a result, even if the width (length) of one side of the chip 1C is reduced, the means of the tenth embodiment is used for each cutting region CR (one line cutting region CR). The tension of the dicing tape can be transmitted reliably. Therefore, it is possible to suppress the problem of division failure. Here, since the wafer 1W is provided with a plurality of cutting regions CR so as to extend in the X direction and the Y direction, a plurality of cutting regions CR provided so as to extend in the X direction. It is preferable to divide a plurality of cutting regions CR provided so as to extend in the Y direction after being divided in order because the dividing mechanism can be simplified.

次に、本実施の形態10の分割方法を図78および図79を用いてより具体的に説明する。   Next, the dividing method according to the tenth embodiment will be described more specifically with reference to FIGS. 78 and 79.

図78の(a)は図77で説明したウエハ1Wの分割工程の具体的な様子を示したウエハ1Wの全体平面図、(b)は(a)のX17−X17線の断面図である。また、図79の(a)および(b)は分割工程時のウエハ1Wの要部拡大断面図である。   78A is an overall plan view of the wafer 1W showing a specific state of the wafer 1W dividing step described in FIG. 77, and FIG. 78B is a sectional view taken along line X17-X17 in FIG. 79A and 79B are enlarged cross-sectional views of the main part of the wafer 1W during the dividing step.

図78に示すように、ダイシング用の治具7のテープ7aに貼り付けられたウエハ1Wは、ステルスダイシング装置のステージ上に載置されている。このステージには、図78(a)のY方向に沿ってウエハ1Wの端から端まで延びる平面帯状の2つの引っ張りバー40が互いに隣接した状態で平行に設置されている。各引っ張りバー40の幅は、ウエハ1Wのチップ1Cの図78(a)のX方向の幅程度である。また、各引っ張りバー40には、図79に示すように、真空吸引孔41が設けられている。これにより、引っ張りバー40をダイシング用の治具7のテープ7aを介してウエハ1Wにしっかりと張り付けることが可能になっているとともに、ウエハ1Wを固定することが可能になっている。   As shown in FIG. 78, the wafer 1W attached to the tape 7a of the dicing jig 7 is placed on the stage of the stealth dicing apparatus. On this stage, two planar strip-shaped pulling bars 40 extending from end to end of the wafer 1W along the Y direction in FIG. The width of each pull bar 40 is about the width of the chip 1C of the wafer 1W in the X direction in FIG. 78 (a). Each pulling bar 40 is provided with a vacuum suction hole 41 as shown in FIG. Thus, the pull bar 40 can be firmly attached to the wafer 1W via the tape 7a of the dicing jig 7, and the wafer 1W can be fixed.

まず、1つの切断領域CR(1ラインの切断領域CR)だけを狙って分割するためには、図78および図79に示すように、ウエハ1Wの1ラインの切断領域CRが2つの引っ張りバー40の隣接間(切断溝)と平面的に重なるように、ウエハ1Wを位置決めした後、2つの引っ張りバー40をウエハ1Wに真空吸引により張り付ける。すなわち、2つの引っ張りバー40を、分割領域(1ライン分の切断領域CR)を境としてその両側に配置し固定する。   First, in order to divide only one cutting region CR (one line cutting region CR), as shown in FIGS. 78 and 79, one line cutting region CR of the wafer 1W has two pull bars 40. After positioning the wafer 1W so as to planarly overlap between adjacent portions (cutting grooves), two tension bars 40 are attached to the wafer 1W by vacuum suction. That is, the two pull bars 40 are arranged and fixed on both sides of the divided region (cut region CR for one line) as a boundary.

続いて、ウエハ1Wを2つの引っ張りバー40で真空吸引した状態で、2つの引っ張りバー40を、図78および図79の矢印PA,PB(ウエハ1Wの主面に沿う方向)に示すように、互いに離れる方向に移動する。すなわち、2つの引っ張りバー40を、その隣接間から外側に向かって引き離す方向に移動する。これにより、図79(b)に示すように、引っ張りバー40に固定されたウエハ1Wが切断領域(の改質領域PR)を起点として分割される。   Subsequently, in a state where the wafer 1W is vacuum-sucked by the two pull bars 40, the two pull bars 40 are indicated by arrows PA and PB (directions along the main surface of the wafer 1W) in FIGS. 78 and 79, respectively. Move away from each other. That is, the two pull bars 40 are moved in the direction of pulling outward from between the adjacent bars. As a result, as shown in FIG. 79 (b), the wafer 1W fixed to the pull bar 40 is divided starting from the cutting region (the modified region PR).

1つの切断領域CR(1ライン分の切断領域CR)の分割が終了したら、次に分割したい切断領域CRが、2つの引っ張りバー40の隣接間と平面的に重なるように、ウエハ1Wを移動する。その後、上記と同様にしてウエハ1Wを分割する。以上の動作を、複数ラインの切断領域CRのうち全てが分割されるまで繰り返すことで、分割不良が発生することなく、複数のチップ1Cを取得することが可能となる。   When the division of one cutting region CR (cutting region CR for one line) is completed, the wafer 1W is moved so that the cutting region CR to be divided next overlaps adjacently between the two pull bars 40 in a plane. . Thereafter, the wafer 1W is divided in the same manner as described above. By repeating the above operation until all of the cutting areas CR of a plurality of lines are divided, a plurality of chips 1C can be obtained without causing a division failure.

ここで、本実施の形態10では、引っ張りバー40が2つで1組とされる場合について説明したが、これに限定されるものではなく、ウエハ1Wの複数ラインの切断領域CRに対応する数だけ引っ張りバー40を配置しても良い。これにより、1回のエキスパンド工程が終わる度に、ウエハ1Wをずらす工程が不要となる。図80(a)〜(c)は、その一例を示している。CL1は、第1の分割箇所、CL2は第2の分割箇所、CL3は第3の分割箇所を示している。分割箇所CL1,CL2,CL3を境にして、その両側の引っ張りバー40を互いに離れる方向(矢印PA,PBの方向)に移動することでウエハ1Wを上記と同様に分割する。   Here, in the tenth embodiment, the case where two pull bars 40 are formed as one set has been described. However, the present invention is not limited to this, and the number corresponding to the cutting regions CR of a plurality of lines of the wafer 1W. Only the pull bar 40 may be arranged. This eliminates the need to shift the wafer 1W each time one expansion process is completed. 80 (a) to (c) show an example thereof. CL1 indicates a first division location, CL2 indicates a second division location, and CL3 indicates a third division location. The wafer 1W is divided in the same manner as described above by moving the pulling bars 40 on both sides of the divided portions CL1, CL2, and CL3 in directions away from each other (directions of arrows PA and PB).

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

例えば前記実施の形態1においては、テスト用のパッド1LBtの平面形状を正方形としたが、これに限定されるものではなく種々変更可能であり、例えばテスト用のパッド1LBtの平面形状を、長方形(切断領域CRの延在方向(長手方向)の長さが切断領域CRの幅方向の長さよりも長い)にしても良い。これにより、切断領域CRの幅をあまり大きくしないでパッド1LBtの面積を大きく確保できる。すなわち、チップ1Cの面積増大を抑えつつ、テスト用のパッド1LBtに対するプローブ針の当て易さも確保することができる。   For example, in the first embodiment, the planar shape of the test pad 1LBt is square. However, the planar shape of the test pad 1LBt is not limited to this and can be variously changed. For example, the planar shape of the test pad 1LBt is rectangular ( The length of the cutting region CR in the extending direction (longitudinal direction) may be longer than the length of the cutting region CR in the width direction. Thereby, a large area of the pad 1LBt can be secured without increasing the width of the cutting region CR so much. That is, it is possible to ensure the ease of applying the probe needle to the test pad 1LBt while suppressing an increase in the area of the chip 1C.

また、前記実施の形態3では、ウエハ1Wの主面の切断領域CRの金属パターンに孔21を形成するのにレーザ光LB2をウエハ1Wの裏面から照射するようにしたが、図40のフロー図で説明したようにウエハマウント工程を行う場合は、レーザ光LB2をウエハ1Wの主面から照射することもできる。この場合、図40のTEG加工工程202B4に代えてレーザ光LB2の照射工程を行えば良い。すなわち、レーザ光LB2を、ウエハ1Wの主面側からウエハ1Wの主面の切断領域CRのテスト用のパッド1LBt、アライメントターゲットAmおよび金属パターン20に照射することにより、テスト用のパッド1LBt、アライメントターゲットAmおよび金属パターン20に孔21を形成する。この場合、孔21に代えてテスト用のパッド1LBt、アライメントターゲットAmおよび金属パターン20に溝を形成しても良い。この溝の平面形状は直線状でも良いし破線状でも良い。これ以外の工程は前記実施の形態1〜7で説明したのと同じである。   In the third embodiment, the laser beam LB2 is irradiated from the back surface of the wafer 1W to form the hole 21 in the metal pattern of the cutting region CR on the main surface of the wafer 1W. When performing the wafer mounting process as described in, the laser beam LB2 can be irradiated from the main surface of the wafer 1W. In this case, a laser beam LB2 irradiation step may be performed instead of the TEG processing step 202B4 of FIG. That is, the test pad 1LBt, the alignment target Am, and the metal pattern 20 are irradiated from the main surface side of the wafer 1W to the test pad 1LBt, the alignment target Am, and the metal pattern 20 from the main surface side of the wafer 1W. Holes 21 are formed in the target Am and the metal pattern 20. In this case, grooves may be formed in the test pad 1 LBt, the alignment target Am, and the metal pattern 20 in place of the holes 21. The planar shape of the groove may be a straight line or a broken line. The other steps are the same as those described in the first to seventh embodiments.

以上の説明では主として本発明者によってなされた発明をその背景となった利用分野である半導体装置の製造方法に適用した場合について説明したが、それに限定されるものではなく種々適用可能であり、例えばマイクロマシンの製造方法にも適用できる。   In the above description, the case where the invention made mainly by the present inventor is applied to the method of manufacturing a semiconductor device which is a field of use as the background has been described. However, the present invention is not limited to this and can be applied in various ways. It can also be applied to a micromachine manufacturing method.

本発明は、ステルスダイシングによりウエハを分割する工程を有する製品の製造業に適用できる。   The present invention can be applied to a product manufacturing industry having a process of dividing a wafer by stealth dicing.

Claims (20)

主面、前記主面とは反対側の裏面、および前記主面と前記裏面の間の側面、を有する基板と、
前記主面上に形成された層間絶縁膜と、
前記層間絶縁膜上に形成されたパッドと、
前記パッドを露出するように、前記層間絶縁膜上に形成された保護膜と、
前記基板に形成された改質領域と、
を含み、
前記層間絶縁膜は、配線層と、低誘電率膜と、を有し、
前記基板は、シリコンから成り、
前記低誘電率膜の誘電率は、前記基板の誘電率よりも低く、
前記改質領域は、前記パッドよりも前記基板の前記側面側に形成された、半導体チップ。
A substrate having a main surface, a back surface opposite to the main surface, and a side surface between the main surface and the back surface;
An interlayer insulating film formed on the main surface;
A pad formed on the interlayer insulating film;
A protective film formed on the interlayer insulating film to expose the pad;
A modified region formed on the substrate;
Including
The interlayer insulating film has a wiring layer and a low dielectric constant film,
The substrate is made of silicon;
The dielectric constant of the low dielectric constant film is lower than the dielectric constant of the substrate,
The modified region is a semiconductor chip formed on the side of the substrate with respect to the pad.
請求項1において、
前記改質領域は、前記基板の前記側面に接する、半導体チップ。
In claim 1,
The modified region is a semiconductor chip in contact with the side surface of the substrate.
請求項1において、
前記基板の前記主面に集積回路が形成された、半導体チップ。
In claim 1,
A semiconductor chip in which an integrated circuit is formed on the main surface of the substrate.
請求項1において、
前記低誘電率膜は、前記基板よりも脆い、半導体チップ。
In claim 1,
The low dielectric constant film is a semiconductor chip that is more fragile than the substrate.
請求項1または請求項4において、
前記低誘電率膜は、有機ポリマーまたは有機シリカガラスから成る、半導体チップ。
In claim 1 or claim 4,
The low dielectric constant film is a semiconductor chip made of organic polymer or organic silica glass.
基材と、
前記基材上に搭載された半導体チップと、
を含み、
前記半導体チップは、
主面、前記主面とは反対側の裏面、および前記主面と前記裏面の間の側面、を有する基板と、
前記主面上に形成された層間絶縁膜と、
前記層間絶縁膜上に形成されたパッドと、
前記パッドを露出するように、前記層間絶縁膜上に形成された保護膜と、
前記基板に形成された改質領域と、
を有し、
前記層間絶縁膜は、
配線層と、
低誘電率膜と、
を有し、
前記基板は、シリコンから成り、
前記低誘電率膜の誘電率は、前記基板の誘電率よりも低く、
前記改質領域は、前記パッドよりも前記基板の前記側面側に形成された、半導体装置。
A substrate;
A semiconductor chip mounted on the substrate;
Including
The semiconductor chip is
A substrate having a main surface, a back surface opposite to the main surface, and a side surface between the main surface and the back surface;
An interlayer insulating film formed on the main surface;
A pad formed on the interlayer insulating film;
A protective film formed on the interlayer insulating film to expose the pad;
A modified region formed on the substrate;
Have
The interlayer insulating film is
A wiring layer;
A low dielectric constant film;
Have
The substrate is made of silicon;
The dielectric constant of the low dielectric constant film is lower than the dielectric constant of the substrate,
The modified region is a semiconductor device formed on the side surface of the substrate with respect to the pad.
請求項6において、
前記改質領域は、前記基板の前記側面に接する、半導体装置。
In claim 6,
The modified region is a semiconductor device in contact with the side surface of the substrate.
請求項6において、
前記基板の前記主面に集積回路が形成された、半導体装置。
In claim 6,
A semiconductor device in which an integrated circuit is formed on the main surface of the substrate.
請求項6において、
前記低誘電率膜は、前記基板よりも脆い、半導体装置。
In claim 6,
The low dielectric constant film is a semiconductor device that is more fragile than the substrate.
請求項6または請求項9において、
前記低誘電率膜は、有機ポリマーまたは有機シリカガラスから成る、半導体装置。
In claim 6 or claim 9,
The low dielectric constant film is a semiconductor device made of organic polymer or organic silica glass.
主面、前記主面とは反対側の裏面、および前記主面と前記裏面の間の側面、を有する基板と、
前記主面上に形成された層間絶縁膜と、
前記主面の第1辺に沿って、かつ、前記層間絶縁膜上に形成された複数のパッドと、
前記複数のパッドを露出するように、前記層間絶縁膜上に形成された保護膜と、
前記主面の前記第1辺に沿って形成された改質領域と、
を含み、
前記層間絶縁膜は、配線層と、低誘電率膜と、を有し、
前記基板は、シリコンから成り、
前記低誘電率膜の誘電率は、前記基板の誘電率よりも低く、
前記改質領域は、前記複数のパッドよりも前記基板の前記側面側に形成された、半導体チップ。
A substrate having a main surface, a back surface opposite to the main surface, and a side surface between the main surface and the back surface;
An interlayer insulating film formed on the main surface;
A plurality of pads formed along the first side of the main surface and on the interlayer insulating film;
A protective film formed on the interlayer insulating film so as to expose the plurality of pads;
A modified region formed along the first side of the main surface;
Including
The interlayer insulating film has a wiring layer and a low dielectric constant film,
The substrate is made of silicon;
The dielectric constant of the low dielectric constant film is lower than the dielectric constant of the substrate,
The modified region is a semiconductor chip formed on the side surface of the substrate with respect to the plurality of pads.
請求項11において、
前記改質領域は、前記基板の前記側面に接する、半導体チップ。
In claim 11,
The modified region is a semiconductor chip in contact with the side surface of the substrate.
請求項11において、
前記基板の前記主面に集積回路が形成された、半導体チップ。
In claim 11,
A semiconductor chip in which an integrated circuit is formed on the main surface of the substrate.
請求項11において、
前記低誘電率膜は、前記基板よりも脆い、半導体チップ。
In claim 11,
The low dielectric constant film is a semiconductor chip that is more fragile than the substrate.
請求項11または請求項14において、
前記低誘電率膜は、有機ポリマーまたは有機シリカガラスから成る、半導体チップ。
In claim 11 or claim 14,
The low dielectric constant film is a semiconductor chip made of organic polymer or organic silica glass.
基材と、
前記基材上に搭載された半導体チップと、
を含み、
前記半導体チップは、
主面、前記主面とは反対側の裏面、および前記主面と前記裏面の間の側面、を有する基板と、
前記主面上に形成された層間絶縁膜と、
前記主面の第1辺に沿って、かつ、前記層間絶縁膜上に形成された複数のパッドと、
前記複数のパッドを露出するように、前記層間絶縁膜上に形成された保護膜と、
前記主面の前記第1辺に沿って形成された改質領域と、
を有し、
前記層間絶縁膜は、
配線層と、
低誘電率膜と、
を有し、
前記基板は、シリコンから成り、
前記低誘電率膜の誘電率は、前記基板の誘電率よりも低く、
前記改質領域は、前記複数のパッドよりも前記基板の前記側面側に形成された、半導体装置。
A substrate;
A semiconductor chip mounted on the substrate;
Including
The semiconductor chip is
A substrate having a main surface, a back surface opposite to the main surface, and a side surface between the main surface and the back surface;
An interlayer insulating film formed on the main surface;
A plurality of pads formed along the first side of the main surface and on the interlayer insulating film;
A protective film formed on the interlayer insulating film so as to expose the plurality of pads;
A modified region formed along the first side of the main surface;
Have
The interlayer insulating film is
A wiring layer;
A low dielectric constant film;
Have
The substrate is made of silicon;
The dielectric constant of the low dielectric constant film is lower than the dielectric constant of the substrate,
The modified region is a semiconductor device formed on the side surface side of the substrate with respect to the plurality of pads.
請求項16において、
前記改質領域は、前記基板の前記側面に接する、半導体装置。
In claim 16,
The modified region is a semiconductor device in contact with the side surface of the substrate.
請求項16において、
前記基板の前記主面には、集積回路が形成された、半導体装置。
In claim 16,
A semiconductor device in which an integrated circuit is formed on the main surface of the substrate.
請求項16において、
前記低誘電率膜は、前記基板よりも脆い、半導体装置。
In claim 16,
The low dielectric constant film is a semiconductor device that is more fragile than the substrate.
請求項16または請求項19において、
前記低誘電率膜は、有機ポリマーまたは有機シリカガラスから成る、半導体装置。
In claim 16 or claim 19,
The low dielectric constant film is a semiconductor device made of organic polymer or organic silica glass.
JP2014078133A 2005-11-10 2014-04-04 Semiconductor chip and semiconductor device Pending JP2014146829A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2014078133A JP2014146829A (en) 2005-11-10 2014-04-04 Semiconductor chip and semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPPCT/JP2005/020615 2005-11-10
PCT/JP2005/020615 WO2007055010A1 (en) 2005-11-10 2005-11-10 Semiconductor device manufacturing method and semiconductor device
JP2014078133A JP2014146829A (en) 2005-11-10 2014-04-04 Semiconductor chip and semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013019218A Division JP2013080972A (en) 2005-11-10 2013-02-04 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2014146829A true JP2014146829A (en) 2014-08-14

Family

ID=51426781

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014078133A Pending JP2014146829A (en) 2005-11-10 2014-04-04 Semiconductor chip and semiconductor device

Country Status (1)

Country Link
JP (1) JP2014146829A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016079969A1 (en) * 2014-11-19 2016-05-26 株式会社デンソー Semiconductor wafer and semiconductor device manufacturing method
JP2016105463A (en) * 2014-11-19 2016-06-09 株式会社デンソー Semiconductor wafer and manufacturing method for semiconductor device
JP2016167552A (en) * 2015-03-10 2016-09-15 株式会社ディスコ Processing method of single crystal substrate
JP2018082109A (en) * 2016-11-18 2018-05-24 株式会社ディスコ Division method of glass plate and plate-shaped work
JP2020123644A (en) * 2019-01-30 2020-08-13 日東電工株式会社 Manufacturing method for electronic component

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002184825A (en) * 2000-12-19 2002-06-28 Mitsubishi Electric Corp Semiconductor device and test method therefor
JP2002329851A (en) * 2001-05-01 2002-11-15 Canon Inc Image pickup module, its manufacturing method, and image pickup equipment having the same
JP2004040136A (en) * 2003-10-27 2004-02-05 Oki Electric Ind Co Ltd Semiconductor device manufacturing method of semiconductor device and inspection method of semiconductor device
JP2004079654A (en) * 2002-08-13 2004-03-11 Toshiba Corp Nonvolatile semiconductor storage device
JP2004179302A (en) * 2002-11-26 2004-06-24 Disco Abrasive Syst Ltd Method for splitting semiconductor wafer
JP2004193382A (en) * 2002-12-12 2004-07-08 Toshiba Corp Semiconductor wafer and method for manufacturing the same and semiconductor chip
JP2004221286A (en) * 2003-01-14 2004-08-05 Toshiba Corp Semiconductor device and its manufacturing method
JP2005167024A (en) * 2003-12-03 2005-06-23 Oki Electric Ind Co Ltd Semiconductor device and its manufacturing method

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002184825A (en) * 2000-12-19 2002-06-28 Mitsubishi Electric Corp Semiconductor device and test method therefor
JP2002329851A (en) * 2001-05-01 2002-11-15 Canon Inc Image pickup module, its manufacturing method, and image pickup equipment having the same
JP2004079654A (en) * 2002-08-13 2004-03-11 Toshiba Corp Nonvolatile semiconductor storage device
JP2004179302A (en) * 2002-11-26 2004-06-24 Disco Abrasive Syst Ltd Method for splitting semiconductor wafer
JP2004193382A (en) * 2002-12-12 2004-07-08 Toshiba Corp Semiconductor wafer and method for manufacturing the same and semiconductor chip
JP2004221286A (en) * 2003-01-14 2004-08-05 Toshiba Corp Semiconductor device and its manufacturing method
JP2004040136A (en) * 2003-10-27 2004-02-05 Oki Electric Ind Co Ltd Semiconductor device manufacturing method of semiconductor device and inspection method of semiconductor device
JP2005167024A (en) * 2003-12-03 2005-06-23 Oki Electric Ind Co Ltd Semiconductor device and its manufacturing method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016079969A1 (en) * 2014-11-19 2016-05-26 株式会社デンソー Semiconductor wafer and semiconductor device manufacturing method
JP2016105463A (en) * 2014-11-19 2016-06-09 株式会社デンソー Semiconductor wafer and manufacturing method for semiconductor device
JP2016167552A (en) * 2015-03-10 2016-09-15 株式会社ディスコ Processing method of single crystal substrate
JP2018082109A (en) * 2016-11-18 2018-05-24 株式会社ディスコ Division method of glass plate and plate-shaped work
JP2020123644A (en) * 2019-01-30 2020-08-13 日東電工株式会社 Manufacturing method for electronic component
JP7428473B2 (en) 2019-01-30 2024-02-06 日東電工株式会社 Manufacturing method of electronic components

Similar Documents

Publication Publication Date Title
JP4796588B2 (en) Manufacturing method of semiconductor device
JP4809632B2 (en) Manufacturing method of semiconductor device
JP5352624B2 (en) Manufacturing method of semiconductor device
JP4769429B2 (en) Manufacturing method of semiconductor device
JP2013080972A (en) Method of manufacturing semiconductor device
JP2007048958A (en) Semiconductor device and manufacturing method thereof
JP5608521B2 (en) Semiconductor wafer dividing method, semiconductor chip and semiconductor device
TWI381485B (en) Semiconductor device manufacturing method and semiconductor device
JP2014146829A (en) Semiconductor chip and semiconductor device
JP5165207B2 (en) Manufacturing method of semiconductor device
JP5297491B2 (en) Semiconductor device
WO2007049356A1 (en) Semiconductor device and method for manufacturing same
US8431442B2 (en) Methods of manufacturing semiconductor chips
KR20070018713A (en) A semiconductor device and a manufacturing method of the same

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150414

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20151208