JP2013544006A - Minimizing resource latency between processor application states in portable computing devices by scheduling resource set migration - Google Patents

Minimizing resource latency between processor application states in portable computing devices by scheduling resource set migration Download PDF

Info

Publication number
JP2013544006A
JP2013544006A JP2013541076A JP2013541076A JP2013544006A JP 2013544006 A JP2013544006 A JP 2013544006A JP 2013541076 A JP2013541076 A JP 2013541076A JP 2013541076 A JP2013541076 A JP 2013541076A JP 2013544006 A JP2013544006 A JP 2013544006A
Authority
JP
Japan
Prior art keywords
resource
state
processor
start time
state set
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013541076A
Other languages
Japanese (ja)
Other versions
JP5605970B2 (en
Inventor
ジョシュア・エイチ・スタッブス
アンドリュー・ジェイ・フランツ
ノーマン・エス・ガルガッシュ
ガブリエル・エー・ワトキンス
グラディ・エル・キャラウェイ
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Publication of JP2013544006A publication Critical patent/JP2013544006A/en
Application granted granted Critical
Publication of JP5605970B2 publication Critical patent/JP5605970B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5094Allocation of resources, e.g. of the central processing unit [CPU] where the allocation takes into account power or heat criteria
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3243Power saving in microcontroller unit
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/329Power saving characterised by the action undertaken by task scheduling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Abstract

アプリケーション状態に対応するリソース状態セットがメモリで維持される。第1のリソース状態セットに対応する第1のアプリケーション状態で動作するプロセッサに対し、第2のリソース状態セットに対応する第2のアプリケーション状態に移行することを求める要求が出され得る。第2のリソース状態セットで示される状態へのリソース移行を始める開始時間が、移行を完了させるまでの推定処理時間量に基づいてスケジュールされる。リソースの状態が、第1のリソース状態セットによって示される状態から第2のリソース状態セットによって示される状態に切り替えられるプロセスが始まる。プロセッサが第2のアプリケーション状態に入り次第リソース状態を利用できるようにジャストインタイムでプロセスを完了させることができる時間に始めるようにプロセスをスケジュールすることは、リソース待ち時間の悪影響を最小化するのに寄与する。  A resource state set corresponding to the application state is maintained in memory. A request may be issued to a processor operating in a first application state corresponding to the first resource state set to transition to a second application state corresponding to the second resource state set. A start time for starting the resource transition to the state indicated by the second resource state set is scheduled based on the estimated amount of processing time until the transition is completed. A process is started in which the state of the resource is switched from the state indicated by the first resource state set to the state indicated by the second resource state set. Scheduling the process to start at a time that can complete the process just in time so that the processor can use the resource state as soon as it enters the second application state minimizes the negative effects of resource latency. Contribute to.

Description

優先権および関連出願に関する陳述
「METHOD AND SYSTEM FOR RAPID ENTRY INTO AND FOR RAPID EXITING FROM SLEEP STATES FOR PROCESSORS OF A PORTABLE COMPUTING DEVICE」と題する2010年12月21日に出願された米国仮特許出願第61/425,677号の出願日の利益、および「MINIMIZING RESOURCE LATENCY BETWEEN PROCESSOR APPLICATION STATES BY SCHEDULING RESOURCE SET TRANSITIONS」と題する2011年10月7日に出願された米国仮特許出願第61/544,927号の出願日の利益が本明細書によって主張され、それらの明細書は、本参照により全文が本明細書に組み込まれる。本出願は、「MINIMIZING RESOURCE LATENCY BETWEEN PROCESSOR APPLICATION STATES IN A PORTABLE COMPUTING DEVICE BY USING A NEXT-ACTIVE STATE SET」と題する______________に出願された同時係属の米国特許出願第_________号に関係し、本出願は、「METHOD AND SYSTEM FOR RAPID ENTRY INTO AND FOR RAPID EXITING FROM SLEEP STATES FOR PROCESSORS OF A PORTABLE COMPUTING DEVICE」と題する2011年3月22日に出願された同時係属の米国特許出願第13/069,071号に関係しており、両出願が本出願の譲受人に譲渡される。
US Provisional Patent Application 61 / 425,677 filed December 21, 2010 entitled "METHOD AND SYSTEM FOR RAPID ENTRY INTO AND FOR RAPID EXITING FROM SLEEP STATES FOR PROCESSORS OF A PORTABLE COMPUTING DEVICE" The benefit from the filing date of the US Provisional Patent Application No. 61 / 544,927, filed October 7, 2011, entitled “MINIMIZING RESOURCE LATENCY BETWEEN PROCESSOR APPLICATION STATES BY SCHEDULING RESOURCE SET TRANSITIONS”. Claimed by the specification, which are hereby incorporated by reference in their entirety. This application relates to co-pending U.S. Patent Application No. __________ filed under `` MINIMIZING RESOURCE LATENCY BETWEEN PROCESSOR APPLICATION STATES IN A PORTABLE COMPUTING DEVICE BY USING A NEXT-ACTIVE STATE SET '' This application is a co-pending U.S. Patent Application No. 13 / 069,071, filed March 22, 2011 entitled `` METHOD AND SYSTEM FOR RAPID ENTRY INTO AND FOR RAPID EXITING FROM SLEEP STATES FOR PROCESSORS OF A PORTABLE COMPUTING DEVICE ''. Both applications are assigned to the assignee of the present application.

ポータブルコンピューティングデバイス(「PCD」)は、個人レベルおよび専門レベルにおいて人々に必要なものになりつつある。これらのデバイスは、セルラー電話、携帯情報端末(「PDA」)、ポータブルゲームコンソール、パームトップコンピュータ、および他のポータブル電子デバイスを含み得る。   Portable computing devices ("PCD") are becoming a necessity for people at the individual and professional level. These devices may include cellular phones, personal digital assistants (“PDAs”), portable game consoles, palmtop computers, and other portable electronic devices.

PCDは通常、中央処理装置、デジタル信号プロセッサなどを含む複数の処理ユニットから一般的に作られる複雑でコンパクトな電子パッケージングを有する。このハードウェアの大部分は、当業者によって理解されるようにSystem-on-a-chip(「SOC」)設計の一部であり得る。   PCDs typically have complex and compact electronic packaging that is typically made from multiple processing units including a central processing unit, digital signal processor, and the like. Most of this hardware can be part of a System-on-a-chip (“SOC”) design as will be appreciated by those skilled in the art.

従来型のPCDはたいてい、様々なSOCのそれぞれのプロセッサが低電力状態に入ろうとするときに、かなりの遅延時間に遭遇する。低電力状態では、プロセッサまたは同様のサブシステムはアプリケーションプログラムを実行していないか、さもなければ事実上アイドル状態にあり、こうした低電力状態は当業者によって理解されるようにスリープ状態とも呼ばれる。   Conventional PCDs often encounter significant delay times when each processor in various SOCs attempts to enter a low power state. In a low power state, the processor or similar subsystem is not executing an application program or is otherwise in an idle state, and such a low power state is also referred to as a sleep state, as will be appreciated by those skilled in the art.

従来型のプロセッサが直面する1つの問題は、プロセッサがスリープ状態に入るために、たいてい、ソフトウェアにおいていくつかの通信が生じることである。この問題は、いくつかのリソースが、複数のSOCサブシステム間で状態を調整する必要がある共用リソースであることによって、さらに複雑になっている。   One problem faced by conventional processors is that some communication usually occurs in software because the processor goes to sleep. This problem is further complicated by the fact that some resources are shared resources that need to coordinate state across multiple SOC subsystems.

SOCの所与のサブシステム内において、ローカルリソースの管理は、たいてい容易であり、それぞれのオペレーティングシステムのアイドル状況から行われ得る。しかしながら、共用リソースのシャットダウンを管理するために、状態はたいてい、当該リソースのコントローラにより調整されなければならない。従来型の解決策は、サブシステムがスリープ状態に入るのを許容される前にソフトウェア内の同期ハンドシェイクを使用することによって、このシャットダウンの複雑性に対処してきた。この手法は次のようないくつかの理由で不利である。ソフトウェアハンドシェイクは遅い。ソフトウェアハンドシェイクはあらゆる種類の遅延、特に割込みサービスおよびコンテキスト切替えの問題を起こしやすい。   Within a given subsystem of the SOC, the management of local resources is usually easy and can be done from the idle state of the respective operating system. However, to manage the shutdown of a shared resource, the state often has to be coordinated by the resource's controller. Conventional solutions have addressed this shutdown complexity by using a synchronous handshake in software before the subsystem is allowed to go to sleep. This approach is disadvantageous for several reasons: Software handshaking is slow. Software handshaking is prone to all kinds of delays, especially interrupt service and context switching problems.

ソフトウェアハンドシェイクは電力節約を遅らせる。ハンドシェイクはソフトウェア内であるので、命令処理コアはすべてのハンドシェイクが完了するまで維持される必要がある。プロセッサコアは大きく複雑であるため、これは電力節約上、非常に不利である。   Software handshaking delays power savings. Since handshaking is in software, the instruction processing core needs to be maintained until all handshaking is complete. This is very disadvantageous in terms of power savings because the processor core is large and complex.

したがって、当技術分野で必要なものは、PCDのプロセッサがソフトウェアハンドシェイクなしでスリープ状態に入れるようにするための方法およびシステムである。   Therefore, what is needed in the art is a method and system for allowing a PCD processor to go to sleep without a software handshake.

ポータブルコンピューティングデバイスのスリープ状態およびアクティブ状態などのアプリケーション状態を管理するための方法およびシステムについて説明する。アプリケーション状態に対応するリソース状態セットがメモリで維持される。第1のリソース状態セットに対応する第1のアプリケーション状態で動作するプロセッサに対し、第1のアプリケーション状態から第2のリソース状態セットに対応する第2のアプリケーション状態に移行することを求める要求が出され得る。第2のリソース状態セットで示される状態へのリソース移行を始める開始時間が、リソース移行を完了させるまでの推定処理時間量に基づいてスケジュールされる。スケジュールされた開始時間に、1つまたは複数のリソースの状態が、第1のリソース状態セットによって示される状態から第2のリソース状態セットによって示される状態に切り替えられるプロセスが始まる。リソース状態を移行させるプロセスを、プロセッサが第2のアプリケーション状態に入り次第リソース状態を利用できるようにジャストインタイムでプロセスを完了させることができる時間に始めるようにスケジュールすることは、リソース待ち時間の悪影響を最小化するのに寄与する。   A method and system for managing application states such as sleep state and active state of a portable computing device are described. A resource state set corresponding to the application state is maintained in memory. A request is issued to a processor operating in the first application state corresponding to the first resource state set to transition from the first application state to the second application state corresponding to the second resource state set. Can be done. A start time for starting the resource transition to the state indicated by the second resource state set is scheduled based on the estimated amount of processing time until the resource transition is completed. At the scheduled start time, a process is initiated in which the state of one or more resources is switched from the state indicated by the first resource state set to the state indicated by the second resource state set. Scheduling a process that transitions resource state to start at a time when the processor can complete the process just in time so that the resource state is available as soon as it enters the second application state. Contributes to minimizing adverse effects.

図中、別段に規定されていない限り、同様の参照番号は、様々な図の全体を通じて、同様の部分を指す。「102A」または「102B」のような文字指定を伴う参照番号について、文字指定は、同じ図に存在する2つの同様の部分または要素を区別し得る。参照番号の文字指定は、参照番号が、すべての図において同じ参照番号を有するすべての部分を包含することが意図される場合には、省略されることがある。   In the drawings, like reference numerals refer to like parts throughout the various figures unless otherwise specified. For reference numbers with a letter designation such as “102A” or “102B”, the letter designation may distinguish between two similar parts or elements present in the same figure. Character designation of reference numbers may be omitted if the reference number is intended to encompass all parts having the same reference number in all figures.

ポータブルコンピューティングデバイス(PCD)の一実施形態を示す機能ブロック図である。1 is a functional block diagram illustrating one embodiment of a portable computing device (PCD). コントローラ、システムパワーマネージャ、マスタプロセッサ、低レベルドライバ、共用リソース、およびローカルリソースの間の関係を示す機能ブロック図である。FIG. 3 is a functional block diagram illustrating a relationship between a controller, system power manager, master processor, low level driver, shared resource, and local resource. コントローラおよびトリガセットに関する詳細を示す機能ブロック図である。It is a functional block diagram which shows the detail regarding a controller and a trigger set. プロセッサの例示的なアクティブ/スリープトリガセットを示す図である。FIG. 6 illustrates an exemplary active / sleep trigger set for a processor. トリガセットを管理し、別途プロセッサをアウェイク状態のような第1のアプリケーション状態からスリープ状態のような第2のアプリケーション状態に移行させるための方法を示す論理フローチャートである。6 is a logic flowchart illustrating a method for managing a trigger set and separately moving a processor from a first application state such as an awake state to a second application state such as a sleep state. トリガセットを管理し、別途プロセッサをスリープ状態のような第2のアプリケーション状態からアウェイク状態のような第3のアプリケーション状態に移行させるための方法を示す論理フローチャートである。6 is a logic flowchart illustrating a method for managing a trigger set and separately moving a processor from a second application state such as a sleep state to a third application state such as an awake state. コントローラバッファメモリの機能ブロック図である。It is a functional block diagram of a controller buffer memory. プロセッサをアウェイク状態のような第1のアプリケーション状態からスリープ状態のような第2のアプリケーション状態に移行させるための代替方法を示す論理フローチャートである。FIG. 6 is a logic flow diagram illustrating an alternative method for transitioning a processor from a first application state such as an awake state to a second application state such as a sleep state. 代替のコントローラバッファメモリの機能ブロック図である。It is a functional block diagram of an alternative controller buffer memory. プロセッサをアウェイク状態のような第1のアプリケーション状態からスリープ状態のような第2のアプリケーション状態に移行させるための別の代替方法を示す論理フローチャートである。FIG. 6 is a logic flow diagram illustrating another alternative method for transitioning a processor from a first application state such as an awake state to a second application state such as a sleep state. 2つの要求に関連する処理間の競合状態を示すタイムラインである。It is a timeline showing a race condition between processes related to two requests. 図11の競合状態を緩和するための例示的な方法の結果を示すタイムラインである。12 is a timeline showing the results of an exemplary method for mitigating the race condition of FIG. リソース状態を変更するプロセスをスケジュールすることを含む、プロセッサをスリープアプリケーション状態からアウェイクアプリケーション状態に移行させるための方法を示す図6に類似した論理フローチャートである。FIG. 7 is a logic flow diagram similar to FIG. 6 illustrating a method for transitioning a processor from a sleep application state to an awake application state, including scheduling a process that changes a resource state. リソース状態を変更するプロセスをスケジュールする際の競合状態を緩和するための方法を示す論理フローチャートである。FIG. 6 is a logic flow diagram illustrating a method for mitigating a race condition when scheduling a process that changes a resource state. スケジュールされた要求およびスケジュールされていない要求に関連する処理間の競合状態を示すタイムラインである。FIG. 6 is a timeline showing a race condition between processes associated with scheduled and unscheduled requests. 図15の競合状態を緩和するための例示的な方法の結果を示すタイムラインである。FIG. 16 is a timeline illustrating the results of an exemplary method for mitigating the race condition of FIG. 図15の競合状態を緩和するための第2の例示的な方法の結果を示すタイムラインである。FIG. 16 is a timeline showing the results of a second exemplary method for mitigating the race condition of FIG. 図16の競合状態を緩和するための別の例示的な方法の結果を示すタイムラインである。FIG. 17 is a timeline showing the results of another exemplary method for mitigating the race condition of FIG. あるリソース状態セットへの移行に関連する処理または作業の部分を示すタイムラインである。FIG. 5 is a timeline showing portions of processing or work associated with transitioning to a resource state set. 実際の作業が予定よりも早く完了したときの浪費された電力状態を示すタイムラインである。It is a timeline which shows the state of wasted power when the actual work is completed earlier than scheduled. 図20の浪費された電力状態を緩和するための例示的な方法の結果を示すタイムラインである。FIG. 21 is a timeline showing the results of an exemplary method for mitigating the wasted power state of FIG. 作業の部分を示す図17に類似したタイムラインである。FIG. 18 is a timeline similar to FIG. 17 showing a work portion. 複数のリソース状態セット移行要求に対処することに関連するプロセスをスケジュールするための方法を示す論理フローチャートである。6 is a logic flow diagram illustrating a method for scheduling a process associated with handling multiple resource state set transition requests.

「例示的な」という語は、「例、実例、または具体例としての役割を果たすこと」を意味するように本明細書において用いられている。「例示的な」ものとして本明細書で説明する何らかの態様は、必ずしも他の態様よりも好ましい、または有利であると解釈されるわけではない。   The word “exemplary” is used herein to mean “serving as an example, instance, or illustration”. Any aspect described herein as "exemplary" is not necessarily to be construed as preferred or advantageous over other aspects.

本明細書では、「アプリケーション」という用語は、オブジェクトコード、スクリプト、バイトコード、マークアップ言語ファイル、およびパッチなど、実行可能なコンテンツを有するファイルを含むこともある。加えて、本明細書で言及する「アプリケーション」は、開封される必要があり得るドキュメント、またはアクセスされる必要がある他のデータファイルなど、本質的に実行可能ではないファイルを含むこともある。   As used herein, the term “application” may include files with executable content, such as object code, scripts, bytecodes, markup language files, and patches. In addition, an “application” as referred to herein may include files that are not inherently executable, such as documents that may need to be opened, or other data files that need to be accessed.

「コンテンツ」という用語は、オブジェクトコード、スクリプト、バイトコード、マークアップ言語ファイル、およびパッチなど、実行可能なコンテンツを有するファイルを含むこともある。加えて、本明細書で言及する「コンテンツ」は、開封される必要があり得るドキュメント、またはアクセスされる必要がある他のデータファイルなど、本質的に実行可能ではないファイルを含むこともある。   The term “content” may also include files with executable content, such as object code, scripts, bytecodes, markup language files, and patches. In addition, “content” as referred to herein may include files that are not inherently executable, such as documents that may need to be opened or other data files that need to be accessed.

本明細書で使用される場合、「構成要素」、「データベース」、「モジュール」、「システム」などの用語は、ハードウェア、ファームウェア、ハードウェアとソフトウェアの組合せ、ソフトウェア、実行中のソフトウェアを問わず、コンピュータ関連のエンティティを指すことが意図されている。たとえば構成要素は、プロセッサ上で作動しているプロセス、プロセッサ、オブジェクト、実行ファイル、実行スレッド、プログラムおよび/またはコンピュータであってよいが、これらであることに限定されない。例を挙げると、コンピューティングデバイス上で作動しているアプリケーションとコンピューティングデバイスの両方が構成要素であり得る。1つまたは複数の構成要素は、プロセスおよび/または実行スレッドの中に存在してよく、1つの構成要素を1つのコンピュータに局在化すること、および/または2つ以上のコンピュータ間に分散することが可能である。加えて、これらの構成要素は、様々なデータ構造を記憶している様々なコンピュータ可読媒体から実行することができる。各構成要素は、1つまたは複数のデータパケット(たとえば、信号を介してローカルシステム、分散システムにおける別の構成要素と相互作用するある構成要素からのデータ、および/または信号を介してインターネットなどのネットワーク上で他のシステムと相互作用するある構成要素からのデータ)を有する信号に従うなどしてローカルプロセスおよび/またはリモートプロセスを介して通信することができる。   As used herein, the terms “component”, “database”, “module”, “system”, etc., refer to hardware, firmware, a combination of hardware and software, software, and running software. Rather, it is intended to refer to a computer-related entity. For example, a component may be, but is not limited to being, a process running on a processor, a processor, an object, an executable, an execution thread, a program, and / or a computer. By way of illustration, both an application running on a computing device and the computing device can be a component. One or more components may exist within a process and / or thread of execution, localizing one component to one computer, and / or distributed among two or more computers It is possible. In addition, these components can execute from various computer readable media having various data structures stored thereon. Each component is one or more data packets (e.g., data from one component that interacts with another component in a distributed system, and / or the Internet via a signal, etc. via a signal). It can communicate via a local process and / or a remote process, such as following a signal having data from one component interacting with other systems on the network.

本明細書では、「通信デバイス」、「ワイヤレスデバイス」、「ワイヤレス電話」、「ワイヤレス通信デバイス」、および「ワイヤレスハンドセット」という用語は交換可能に用いられる。第3世代(「3G」)および第4世代(「4G」)のワイヤレス技術が出現したことによって、利用可能な帯域が拡大されたので、より多くのワイヤレス機能を備えたより携帯が容易なコンピューティングデバイスが利用可能になっている。   As used herein, the terms “communication device”, “wireless device”, “wireless telephone”, “wireless communication device”, and “wireless handset” are used interchangeably. The emergence of third-generation (“3G”) and fourth-generation (“4G”) wireless technologies has expanded the available bandwidth so that more portable computing with more wireless capabilities The device is available.

本明細書では、「ポータブルコンピューティングデバイス」(「PCD」)という用語は、バッテリーなど限られた容量の電源で動作する任意のデバイスを説明するために使用される。何十年もの間バッテリー式PCDが使用されてきたが、第3世代(「3G」)および第4世代(「4G」)ワイヤレス技術の出現とともにもたらされた充電式バッテリーの技術的進歩は、複数の機能を有する多数のPCDを可能にした。したがって、PCDは、中でも、セルラー電話、衛星電話、ページャ、PDA、スマートフォン、ナビゲーションデバイス、スマートブックまたはリーダー、メディアプレーヤ、上述したデバイスの組合せ、およびワイヤレス接続を有するラップトップコンピュータであってよい。   As used herein, the term “portable computing device” (“PCD”) is used to describe any device that operates from a limited capacity power source, such as a battery. Although battery-powered PCDs have been used for decades, the technological advancement of rechargeable batteries brought about with the advent of third-generation (`` 3G '') and fourth-generation (`` 4G '') wireless technologies Enables multiple PCDs with multiple functions. Thus, the PCD may be a laptop computer having a cellular phone, satellite phone, pager, PDA, smartphone, navigation device, smart book or reader, media player, combination of devices described above, and a wireless connection, among others.

図1:プロセッサアプリケーション状態間のリソース待ち時間を最小化するためのPCD100の要素
図1を参照すると、この図は、PCD100内のプロセッサ110、126の迅速なスリープ状態を管理するための方法およびシステムを実現するためのワイヤレス電話の形態によるPCD100の例示的で非限定的な態様の機能ブロック図である。図示のように、PCD100は、マルチコアである第1の中央処理装置(「CPU」)110A、シングルコアタイプである第2のCPU110B、およびアナログ信号プロセッサ126を含むオンチップシステム102を含む。
FIG. 1: Elements of PCD 100 for Minimizing Resource Latency Between Processor Application States Referring to FIG. 1, this diagram illustrates a method and system for managing the rapid sleep states of processors 110, 126 within PCD 100. FIG. 2 is a functional block diagram of an exemplary, non-limiting aspect of PCD 100 in the form of a wireless telephone to implement As shown, PCD 100 includes an on-chip system 102 that includes a first central processing unit (“CPU”) 110A that is multi-core, a second CPU 110B that is a single-core type, and an analog signal processor 126.

これらの3つのプロセッサ110A、110B、および126は連結され得る。第1のCPU110Aは、当業者によって理解されるように第0のコア222、第1のコア224、および第Nのコア230を含むことができる。代替実施形態では、2つのCPU110を使用する代わりに、当業者によって理解されるように2つのデジタル信号プロセッサ(「DSP」)を用いることもできる。さらなる例示的な実施形態では、当業者によって理解されるように前述のいずれかを組み合わせて使用することができる。   These three processors 110A, 110B, and 126 may be coupled. The first CPU 110A can include a zeroth core 222, a first core 224, and an Nth core 230, as will be appreciated by those skilled in the art. In an alternative embodiment, instead of using two CPUs 110, two digital signal processors ("DSPs") can be used as will be appreciated by those skilled in the art. In further exemplary embodiments, any of the foregoing may be used in combination, as will be appreciated by those skilled in the art.

図1は、1つまたは複数のコントローラモジュール101を含む。この説明の残り部分では、コントローラモジュール101を複数形ではなく単数形でコントローラ101と呼ぶ。当業者は、本発明から逸脱することなく、コントローラ101を様々な部分に分割し、異なるプロセッサ110、126によって実行することができることを認識されよう。代替的に、コントローラ101を、単一の要素として編成し、単一のプロセッサ110または126によって実行することができる。   FIG. 1 includes one or more controller modules 101. In the remainder of this description, controller module 101 is referred to as controller 101 in the singular rather than plural. One skilled in the art will recognize that the controller 101 can be divided into various parts and executed by different processors 110, 126 without departing from the invention. Alternatively, the controller 101 can be organized as a single element and executed by a single processor 110 or 126.

図1はまた、システムパワーマネージャ157を示している。システムパワーマネージャ(「SPM」)157は、CPU110Aおよびコントローラ101に結合される。SPM157は一般に、プロセッサのようなハードウェアを含む。ただし、当業者によって理解されるように、SPM157にソフトウェアおよび/またはファームウェアを用いてもよい。SPM157は、プロセッサ110、126、および母線の状態を監視する役目を果たすことができる。SPM157は、プロセッサ110、126がスリープ状態に入ろうとしているとき、またはスリープ状態から出ようとしているときを検出することができる。SPM157はコントローラ101に対し、プロセッサ110、126のこれらの状態を通信することができる。より一般的には、SPM157は、プロセッサ110、126が1つのアプリケーション状態から別のアプリケーション状態に移行しようとしているときを検出することができる。プロセッサ110、126のアプリケーション状態は、プロセッサ110、126が事実上アイドル状態であるか、アプリケーションプログラムを実行していないスリープ状態、および1つまたは複数のアプリケーションプログラムを実行しているアウェイク状態またはアクティブ状態だけでなく、または代替的に、以下の状態のいずれかを含むことができる。プロセッサ110、126が動作している状態であって、別の状態で動作する場合よりも速いスピードまたは遅いスピードで動作している状態。アプリケーションプログラムを実行しているプロセッサ110、126によって定義される状態であって、別のアプリケーションプログラムを実行しているプロセッサ110、126によって定義される別の状態とは異なる状態。ある数のアプリケーションプログラムを同時に実行しているプロセッサ110、126によって定義される状態であって、異なる数のアプリケーションプログラムを同時に実行しているプロセッサ110、126によって定義される別の状態とは異なる状態。   FIG. 1 also shows a system power manager 157. A system power manager (“SPM”) 157 is coupled to the CPU 110A and the controller 101. The SPM 157 generally includes hardware such as a processor. However, software and / or firmware may be used for the SPM 157 as will be appreciated by those skilled in the art. The SPM 157 may serve to monitor the status of the processors 110, 126 and buses. The SPM 157 can detect when the processors 110, 126 are about to enter or exit the sleep state. The SPM 157 can communicate these states of the processors 110 and 126 to the controller 101. More generally, the SPM 157 can detect when the processors 110, 126 are about to transition from one application state to another application state. The application state of the processors 110, 126 is the sleep state in which the processors 110, 126 are effectively idle, not running application programs, and the awake or active state running one or more application programs. In addition or alternatively, any of the following states may be included. A state in which the processors 110 and 126 are operating and operating at a faster speed or a slower speed than when operating in another state. A state defined by the processors 110 and 126 executing the application program, which is different from another state defined by the processors 110 and 126 executing another application program. A state defined by processors 110 and 126 that are executing a certain number of application programs simultaneously, and a state that is different from another state defined by processors 110 and 126 that are concurrently executing a different number of application programs .

コントローラ101は、CPU110によって実行されるソフトウェアを含むことができる。ただし、コントローラ101は、当業者によって理解されるように、ハードウェアおよび/またはファームウェアから形成されてもよい。   The controller 101 can include software executed by the CPU 110. However, the controller 101 may be formed from hardware and / or firmware, as will be appreciated by those skilled in the art.

一般に、コントローラ101は、プロセッサ110、126がスリープ状態に迅速に入ること、およびスリープ状態から迅速に出ることを促進する役目を果たすことができる。コントローラ101は、図3に関して以下でさらに詳細に説明するように、リソースセットおよびトリガセットを備える1つまたは複数のテーブルを含むことができる。コントローラ101は、PCD100におけるすべての他のハードウェア要素が低電力状態に置かれ、機能していないときのために、それ自体の割込みコントローラ(不図示)を有してもよい。   In general, the controller 101 can serve to facilitate the processors 110, 126 to quickly enter and exit the sleep state. The controller 101 can include one or more tables comprising resource sets and trigger sets, as described in more detail below with respect to FIG. Controller 101 may have its own interrupt controller (not shown) for when all other hardware elements in PCD 100 are placed in a low power state and are not functioning.

コントローラ101はまた、1つまたは複数のマスタプロセッサ110、126の間のリソース要求を管理する。リソース要求は、リソース105(図2参照)によるアクションまたは機能を要求するマスタプロセッサ110によって出され得る。   Controller 101 also manages resource requests between one or more master processors 110, 126. The resource request may be issued by the master processor 110 requesting an action or function by the resource 105 (see FIG. 2).

リソース105は、以下でより一般的に説明するが、たとえば、1つまたは複数のマスタプロセッサ110、126によって実行されるソフトウェアアプリケーションのタスク、コマンド、および特徴をサポートするクロックおよび他の低レベルプロセッサを含むことができる。コントローラ101は、複数のマスタプロセッサ110、126の間のリソース要求競合を防ぐように設計され得る。   Resource 105, described more generally below, includes, for example, clocks and other low-level processors that support the tasks, commands, and features of software applications executed by one or more master processors 110, 126. Can be included. The controller 101 may be designed to prevent resource request contention between multiple master processors 110, 126.

図1は、PCD100がメモリ112を含み得ることを示している。CPU110上で作動しているコントローラ101は、以下でさらに詳細に説明するように、迅速なスリープ状態を促進するために、またスリープ状態から迅速に出ることを促進するためにメモリ112にアクセスすることができる。   FIG. 1 shows that the PCD 100 can include a memory 112. The controller 101 operating on the CPU 110 accesses the memory 112 to facilitate a quick sleep state and to facilitate a quick exit from the sleep state, as described in further detail below. Can do.

特定の態様において、本明細書で説明する方法ステップのうちの1つまたは複数は、コントローラ101を形成するメモリ112に記憶された実行可能命令およびパラメータによって実施され得る。コントローラ101を形成するこれらの命令は、CPU110、アナログ信号プロセッサ126、または別のプロセッサによって実行され得る。さらに、プロセッサ110、126、メモリ112、メモリ112に記憶された命令、またはそれらの組合せは、本明細書で説明する方法ステップのうちの1つまたは複数を実行するための手段として動作し得る。   In certain aspects, one or more of the method steps described herein may be implemented by executable instructions and parameters stored in memory 112 that forms controller 101. These instructions that form controller 101 may be executed by CPU 110, analog signal processor 126, or another processor. Further, the processors 110, 126, memory 112, instructions stored in the memory 112, or combinations thereof, may operate as a means for performing one or more of the method steps described herein.

図1:PCD100の他の要素
図1に示されるように、ディスプレイコントローラ128およびタッチスクリーンコントローラ130が、デジタル信号プロセッサ110に結合される。オンチップシステム102の外部にあるタッチスクリーンディスプレイ132が、ディスプレイコントローラ128およびタッチスクリーンコントローラ130に結合される。
FIG. 1: Other Elements of PCD 100 As shown in FIG. 1, a display controller 128 and a touch screen controller 130 are coupled to the digital signal processor 110. A touch screen display 132 external to the on-chip system 102 is coupled to the display controller 128 and the touch screen controller 130.

図1は、ビデオコーダ/デコーダ(「コーデック」)134、たとえば、位相反転線(「PAL」)エンコーダ、順次式カラーメモリ(「SECAM」)エンコーダ、全国テレビジョン方式委員会(「NTSC」)エンコーダ、または任意の他のタイプのビデオエンコーダ134を含むポータブルコンピューティングデバイス(PCD)の一実施形態を示す概略図である。ビデオコーデック134は、マルチコア中央処理装置(「CPU」)110に結合される。ビデオ増幅器136が、ビデオエンコーダ134およびタッチスクリーンディスプレイ132に結合される。ビデオポート138がビデオ増幅器136に結合される。図1に示すように、ユニバーサルシリアルバス(「USB」)コントローラ140がCPU110に結合される。また、USBポート142がUSBコントローラ140に結合される。加入者識別モジュール(SIM)カード146も、CPU110に結合され得る。さらに、図1に示すように、デジタルカメラ148がCPU110に結合され得る。例示的な態様では、デジタルカメラ148は、電荷結合デバイス(「CCD」)カメラまたは相補型金属酸化膜半導体(「CMOS」)カメラである。   FIG. 1 shows a video coder / decoder (“codec”) 134, for example, a phase inversion line (“PAL”) encoder, a sequential color memory (“SECAM”) encoder, and a national television standards committee (“NTSC”) encoder. FIG. 6 is a schematic diagram illustrating one embodiment of a portable computing device (PCD) that includes a video encoder 134, or any other type of video encoder 134. Video codec 134 is coupled to multi-core central processing unit (“CPU”) 110. A video amplifier 136 is coupled to the video encoder 134 and the touch screen display 132. Video port 138 is coupled to video amplifier 136. As shown in FIG. 1, a universal serial bus (“USB”) controller 140 is coupled to CPU 110. A USB port 142 is coupled to the USB controller 140. A subscriber identity module (SIM) card 146 may also be coupled to the CPU 110. Further, as shown in FIG. 1, a digital camera 148 may be coupled to the CPU 110. In the exemplary embodiment, digital camera 148 is a charge coupled device (“CCD”) camera or a complementary metal oxide semiconductor (“CMOS”) camera.

図1にさらに示すように、ステレオオーディオコーデック150がアナログ信号プロセッサ126に結合され得る。さらに、オーディオ増幅器152がステレオオーディオコーデック150に結合され得る。例示的な態様では、第1のステレオスピーカー154および第2のステレオスピーカー156がオーディオ増幅器152に結合される。図1は、マイクロフォン増幅器158もステレオオーディオコーデック150に結合され得ることを示している。加えて、マイクロフォン160がマイクロフォン増幅器158に結合され得る。特定の態様では、周波数変調(「FM」)ラジオチューナー162がステレオオーディオコーデック150に結合され得る。また、FMアンテナ164がFMラジオチューナー162に結合される。さらに、ステレオヘッドフォン166がステレオオーディオコーデック150に結合され得る。   As further shown in FIG. 1, a stereo audio codec 150 may be coupled to the analog signal processor 126. Further, an audio amplifier 152 may be coupled to the stereo audio codec 150. In the exemplary embodiment, first stereo speaker 154 and second stereo speaker 156 are coupled to audio amplifier 152. FIG. 1 shows that a microphone amplifier 158 can also be coupled to the stereo audio codec 150. In addition, a microphone 160 may be coupled to the microphone amplifier 158. In certain aspects, a frequency modulation (“FM”) radio tuner 162 may be coupled to the stereo audio codec 150. An FM antenna 164 is coupled to the FM radio tuner 162. Further, a stereo headphone 166 can be coupled to the stereo audio codec 150.

図1は、高周波(「RF」)トランシーバ168がアナログ信号プロセッサ126に結合され得ることをさらに示している。RFスイッチ170がRFトランシーバ168およびRFアンテナ172に結合され得る。図1に示されるように、キーパッド174がアナログ信号プロセッサ126に結合され得る。また、マイクロフォンを備えたモノヘッドセット176がアナログ信号プロセッサ126に結合され得る。さらに、バイブレータデバイス178がアナログ信号プロセッサ126に結合され得る。図1は、たとえばバッテリーなどの電源180がオンチップシステム102に結合されることも示している。特定の態様では、電源180は、充電式DCバッテリー、または交流(「AC」)電源に接続されたAC-DC変換器から導かれるDC電源を含む。   FIG. 1 further illustrates that a radio frequency (“RF”) transceiver 168 may be coupled to the analog signal processor 126. An RF switch 170 may be coupled to the RF transceiver 168 and the RF antenna 172. As shown in FIG. 1, a keypad 174 may be coupled to the analog signal processor 126. A mono headset 176 with a microphone can also be coupled to the analog signal processor 126. Further, a vibrator device 178 can be coupled to the analog signal processor 126. FIG. 1 also illustrates that a power source 180 such as a battery is coupled to the on-chip system 102. In certain aspects, the power source 180 includes a DC power source derived from a rechargeable DC battery or an AC-DC converter connected to an alternating current (“AC”) power source.

図1に示されるように、タッチスクリーンディスプレイ132、ビデオポート138、USBポート142、カメラ148、第1のステレオスピーカー154、第2のステレオスピーカー156、マイクロフォン160、FMアンテナ164、ステレオヘッドフォン166、RFスイッチ170、RFアンテナ172、キーパッド174、モノヘッドセット176、バイブレータ178、熱センサ157B、および電源180は、オンチップシステム102の外部にある。   As shown in Figure 1, touch screen display 132, video port 138, USB port 142, camera 148, first stereo speaker 154, second stereo speaker 156, microphone 160, FM antenna 164, stereo headphones 166, RF Switch 170, RF antenna 172, keypad 174, mono headset 176, vibrator 178, thermal sensor 157B, and power supply 180 are external to on-chip system 102.

PCD100の上記の要素の中には、ハードウェアを含み得るものがある一方、ソフトウェアを含み得るものもあり、さらに、ハードウェアとソフトウェアの組合せを含み得るものもある。「リソース」という用語は、本明細書において、ハードウェアであるか、ソフトウェアであるか、それらの組合せであるかを問わず、プロセッサによって制御可能な任意のそのような要素を指すために使用される。リソースは、一態様において、そのような要素の機能のカプセル化として定義され得る。別途示される場合を除いて、「プロセッサ」または「マスタプロセッサ」という用語は、本明細書において、第1のCPU 110A、第2のCPU 110B、アナログ信号プロセッサ126のようなプロセッサを指すために、またはソフトウェア、ファームウェアもしくは同様の制御論理の支配下で動作する任意の他のプロセッサ、コントローラもしくは同様の要素を指すために使用される。以下でさらに詳細に説明するように、リソースの一例は、プロセッサに対し実行するソフトウェア要素である。たとえば、実行アプリケーションプログラムに関係するスレッドなどのプロセッサに対する実行のスレッドが、リソースに対する「要求」を出させることによって、リソースにアクセスすることができる。   Some of the above elements of PCD 100 may include hardware, while others may include software, and some may include a combination of hardware and software. The term “resource” is used herein to refer to any such element that can be controlled by a processor, whether hardware, software, or a combination thereof. The A resource may be defined in one aspect as an encapsulation of the functionality of such an element. Except where otherwise indicated, the term “processor” or “master processor” is used herein to refer to a processor such as the first CPU 110A, the second CPU 110B, and the analog signal processor 126. Or used to refer to any other processor, controller or similar element operating under the control of software, firmware or similar control logic. As described in more detail below, an example of a resource is a software element that executes on a processor. For example, a thread of execution for a processor, such as a thread associated with an executing application program, can access a resource by causing a “request” for the resource.

異なるアプリケーション状態では、リソースの様々な構成または状態を要求することがプロセッサにとって必要であること、または望ましいことがある。たとえば、バスリソースは、バスクロックの速度を制御することができる。1つのアプリケーション状態では、プロセッサは、たとえば100MIPS(MIPS:100万命令毎秒)のペースでプロセッサが動作することを許容するバスクロックを要求することができる一方、別のアプリケーション状態では、プロセッサは、たとえば150MIPSのペースでプロセッサが動作することを許容するバスクロックを要求することができる。スリープ状態であるアプリケーション状態に入る準備をしているプロセッサの場合、プロセッサはゼロMIPSのバスクロックを要求することができる。同様に、第1のアプリケーションプログラムを実行するプロセッサによって定義される1つのアプリケーション状態では、プロセッサは100MIPSを要求することができる一方、第2のアプリケーションプログラムを実行するプロセッサによって定義される別のアプリケーション状態では、プロセッサは150MIPSを要求することができる。同様に、一定数のアプリケーションプログラムを同時に実行するプロセッサによって定義される1つのアプリケーション状態では、プロセッサは100MIPSを要求することができる一方、異なる数のアプリケーションプログラムを同時に実行するプロセッサによって定義される第2のアプリケーション状態では、プロセッサは150MIPSを要求することができる。上記のバスクロックは、リソース要求を出すプロセッサによって構成され得るリソースの一例としてのみ意図されていること、また、数字「100」および「150」は、処理速度の任意の例として意図されていることを理解されたい。   In different application states, it may be necessary or desirable for the processor to request various configurations or states of resources. For example, the bus resource can control the speed of the bus clock. In one application state, the processor can request a bus clock that allows the processor to operate at a pace of, for example, 100 MIPS (MIPS: 1 million instructions per second), while in another application state, the processor A bus clock that allows the processor to operate at a pace of 150 MIPS can be requested. For a processor that is preparing to enter an application state that is in the sleep state, the processor can request a bus clock of zero MIPS. Similarly, in one application state defined by the processor executing the first application program, the processor can request 100 MIPS, while another application state defined by the processor executing the second application program Then the processor can request 150MIPS. Similarly, in one application state defined by a processor that simultaneously executes a certain number of application programs, the processor may require 100 MIPS, while a second defined by a processor that simultaneously executes a different number of application programs. In the application state, the processor can request 150 MIPS. The above bus clock is intended only as an example of a resource that can be configured by the processor issuing the resource request, and the numbers “100” and “150” are intended as arbitrary examples of processing speed I want you to understand.

リソース構成または状態は、リソース状態セットにグループ化され得る。リソース状態セットは、あるプロセッサアプリケーション状態においてプロセッサによって一緒に使用される1つまたは複数のリソースの構成または状態を定義する。たとえば、あるリソース状態セットは、一定数のMIPSの処理速度をプロセッサにもたらすバスクロックリソースに関する構成または状態情報、およびプロセッサに復号機能を提供するデコーダ(すなわち、リソースの別の例)に関する構成または状態情報を含むことができる。   Resource configurations or states may be grouped into resource state sets. A resource state set defines the configuration or state of one or more resources that are used together by a processor in a processor application state. For example, a set of resource states may include configuration or state information regarding bus clock resources that provide a processor with a certain number of MIPS processing speeds, and configuration or state regarding a decoder that provides the processor with a decoding function (i.e., another example of a resource). Information can be included.

図2は、システム103を形成するコントローラ101、システムパワーマネージャ157、マスタプロセッサ110、126、低レベルドライバ103、共用リソース105A〜C、およびローカルリソース105D〜Hの間の関係を示す機能ブロック図である。図2はまた、どのようにタッチスクリーン132がタッチスクリーンドライバ/コントローラ130に結合され得るかを示している。タッチスクリーンドライバ/コントローラ130は、第1のマスタプロセッサ110Aのクロックコード113Aに結合され得る。   FIG. 2 is a functional block diagram showing a relationship among the controller 101, the system power manager 157, the master processors 110 and 126, the low-level driver 103, the shared resources 105A to 105C, and the local resources 105D to H that form the system 103. is there. FIG. 2 also illustrates how the touch screen 132 can be coupled to the touch screen driver / controller 130. Touch screen driver / controller 130 may be coupled to clock code 113A of first master processor 110A.

システム103は、リソース待ち時間を最小化するように、プロセッサ110によって望まれるリソース状態セット間で切り替えることができる。「リソース待ち時間」という用語は、マスタプロセッサ110、126が別のリソース状態セットに移行させるためにコントローラ101およびシステムパワーマネージャ157を準備し始めた時間から、当該セットのリソースが指定の状態に構成されるようになり、プロセッサが使用できるように準備できるまでの時間に生じる遅延または待ち時間を指す。後述のように、リソース状態セットは、以下に大別され得る。プロセッサがアプリケーションプログラムを実行し、別の方法で処理能力を提供するのを支援するように構成されたリソースをプロセッサが提供されるアクティブリソース状態セット。およびプロセッサがスリープ状態、すなわちプロセッサがアプリケーションプログラムを実行していないか、別の方法で処理能力を提供していない状態を維持するのを支援するリソースのみをプロセッサが提供されるスリープリソース状態。スリープ状態にあるプロセッサは、低レベル機能を維持するが、プロセッサは、当業者によってアプリケーションプログラムであると理解されるソフトウェアを実行することはない。後述する「次のアクティブ状態」の特徴は、アクティブセットであるか、スリープセットであるかにかかわらず、任意のリソース状態セット間の移行に当てはまり得ることを理解されたい。   The system 103 can switch between the set of resource states desired by the processor 110 to minimize resource latency. The term “resource latency” refers to the time when the master processor 110, 126 begins to prepare the controller 101 and system power manager 157 to transition to another resource state set, and configures that set of resources to the specified state. Refers to the delay or latency that occurs in the time it takes to become ready to be used by the processor. As will be described later, resource state sets can be broadly classified as follows. An active resource state set in which the processor is provided with resources configured to assist the processor in executing application programs and providing processing power in other ways. And a sleep resource state in which the processor is provided only with resources to help maintain the processor in a sleep state, i.e., the processor is not executing application programs or otherwise providing processing power. A processor that is in a sleep state maintains low-level functionality, but the processor does not execute software that is understood by those skilled in the art to be an application program. It should be understood that the “next active state” feature described below may apply to transitions between any set of resource states, whether active set or sleep set.

図2に示す例示的な実施形態では、第1のマスタプロセッサ110Aは、システムパワーマネージャ157およびコントローラ101に結合され得る。コントローラ101は、第1のマスタプロセッサ110Aのクロックコード113Aに結合され得る。コントローラ101は、1つまたは複数の低レベルドライバ103を含むことができる。1つまたは複数の低レベルドライバ103は、1つまたは複数の共用リソース105A〜Cと通信する役目を果たすことができる。共用リソース105A〜Cは、マスタプロセッサ110のタスクまたは機能をサポートする任意のタイプのデバイスを含むことができる。共用リソース105A〜Cは、グラフィカルプロセッサ、デコーダなどの単一の機能要素および他のプロセッサのクロックのようなデバイスを含むことができる。   In the exemplary embodiment shown in FIG. 2, the first master processor 110A may be coupled to the system power manager 157 and the controller 101. The controller 101 may be coupled to the clock code 113A of the first master processor 110A. The controller 101 can include one or more low level drivers 103. One or more low-level drivers 103 can serve to communicate with one or more shared resources 105A-C. Shared resources 105A-C may include any type of device that supports the tasks or functions of master processor 110. Shared resources 105A-C can include devices such as graphical processors, single functional elements such as decoders and clocks of other processors.

共用リソース105A〜Cは、1つまたは複数のローカルリソース105D〜Hに結合され得る。1つまたは複数のローカルリソース105D〜Hは、マスタプロセッサ110のタスクまたは機能をサポートまたは支援する任意のタイプのデバイスを含み得るという点で、共用リソース105A〜Cに類似していてよい。ローカルリソース105D〜Hは、グラフィカルプロセッサ、デコーダなどの単一の機能要素および他のプロセッサのクロックのようなデバイスを含むことができる。ローカルリソース105D〜Hは、リーフノードを含むことができる。リーフノードは、他の従属リソース105を通常は参照せず、または含まないローカルリソース105D〜Hとして、当業者には理解されよう。   Shared resources 105A-C may be coupled to one or more local resources 105D-H. One or more local resources 105D-H may be similar to shared resources 105A-C in that they may include any type of device that supports or assists with the tasks or functions of master processor 110. Local resources 105D-H may include devices such as a graphical processor, a single functional element such as a decoder, and other processor clocks. Local resources 105D-H can include leaf nodes. A leaf node will be understood by those skilled in the art as local resources 105D-H that typically do not reference or include other dependent resources 105.

コントローラ101は、1つまたは複数のマスタプロセッサ110、126から出される要求を管理する役目を果たすことができる。たとえば、コントローラ101は、第1のマスタプロセッサ110Aから生じる要求を管理することができる。第1のマスタプロセッサ110Aは、オペレータがタッチスクリーン132を操作したことに応答して、この要求を出すことができる。タッチスクリーン132は、タッチスクリーンドライバ/コントローラ130に信号を出すことができる。そして、タッチスクリーンドライバ/コントローラ130は、第1のマスタプロセッサ110Aのクロックコード113Aに信号を出すことができる。   The controller 101 can serve to manage requests issued from one or more master processors 110, 126. For example, the controller 101 can manage requests originating from the first master processor 110A. The first master processor 110A can issue this request in response to the operator operating the touch screen 132. The touch screen 132 can send signals to the touch screen driver / controller 130. Then, the touch screen driver / controller 130 can output a signal to the clock code 113A of the first master processor 110A.

コントローラ101はまた、特定のプロセッサ110のスリープ状態を管理する役目を果たすことができる。スリープ状態に入る前に、プロセッサ110は、スリープ状態を管理するための情報を提供する。スリープ状態を管理するための情報は、スリープ状態に入ること、およびスリープ状態から出ることを含む。スリープ状態を管理するためのこの情報を、以下ではトリガおよびリソース状態と呼ぶ。リソース状態セットは、プロセッサのスリープ状態をサポートするように1つまたは複数のリソースを構成するためのリソース情報を含むことができる。   The controller 101 can also serve to manage the sleep state of a particular processor 110. Prior to entering the sleep state, the processor 110 provides information for managing the sleep state. Information for managing the sleep state includes entering and exiting the sleep state. This information for managing the sleep state is hereinafter referred to as trigger and resource state. The resource state set can include resource information for configuring one or more resources to support a processor sleep state.

トリガは、スリープ状態に入ること、またはスリープ状態から出ることのいずれかをプロセッサ110に行わせるイベントを定義することができる。トリガは一般に、コントローラ101内に含まれているか、コントローラ101によってアクセス可能なリソース状態を参照する。リソース状態は、特定のプロセッサ110が必要とするリソース105の所望の状態を定義する。例示的な実施形態では、各プロセッサ110はコントローラ101に対し、少なくとも2つのリソース状態セット、すなわち、リソース状態のアクティブセットおよびリソース状態のスリープセットを提供することができる。ただし、他の実施形態では、プロセッサは、単一のアクティブセットおよび単一のスリープセットに加えてリソース状態セットを、または単一のアクティブセットおよび単一のスリープセットとは異なるリソース状態セットを提供することができる。そのような他のリソース状態セットは、上記のプロセッサアプリケーション状態うちの1つまたは複数に対応することができる。すなわち、任意のアプリケーション状態について、プロセッサは対応するリソース状態セットを提供することができる。   A trigger can define an event that causes processor 110 to either enter or exit a sleep state. A trigger generally refers to a resource state that is contained within or accessible by the controller 101. The resource state defines the desired state of the resource 105 that a particular processor 110 needs. In an exemplary embodiment, each processor 110 may provide at least two resource state sets to the controller 101: an active set of resource states and a sleep set of resource states. However, in other embodiments, the processor provides a resource state set in addition to a single active set and a single sleep set, or a resource state set different from a single active set and a single sleep set. can do. Such other resource state sets may correspond to one or more of the processor application states described above. That is, for any application state, the processor can provide a corresponding set of resource states.

例示的な実施形態では、リソース状態のアクティブセットは、プロセッサ110がアクティブに処理機能を実行し、リソース105からのアクション/機能を要求しているときに関するリソース105の状態を定義することができる。リソース状態のスリープセットは、プロセッサ110がスリープ状態またはアイドル状態にあるときのリソース105の状態を定義することができる。トリガおよびリソース状態については、図3に関連して以下でさらに詳しく説明する。   In the exemplary embodiment, the active set of resource states may define the state of resource 105 for when processor 110 is actively executing a processing function and requesting an action / function from resource 105. The sleep set of resource states can define the state of the resource 105 when the processor 110 is in the sleep state or idle state. Triggers and resource states are described in more detail below with respect to FIG.

図3は、コントローラ101、リソースセット304、およびトリガセット314に関する詳細を示す機能ブロック図である。前述のように、コントローラ101は、PCD100のプロセッサ110、126のうちの1つまたは複数によって実行されるソフトウェアを含むことができる。コントローラ101は、メモリ112に、または当業者によって理解されるようにローカルストレージのようなコントローラ101内のエリアに、情報を記憶することができる。この情報は、コントローラ101によってサービスされる各マスタプロセッサ110に割り当てられるリソースセット304を含むリソーステーブル302を含むことができる。この情報はまた、同じく各マスタプロセッサ110に割り当てられる、各マスタプロセッサ110に固有であり得るトリガセット314を含むことができる。   FIG. 3 is a functional block diagram showing details regarding the controller 101, resource set 304, and trigger set 314. As described above, the controller 101 may include software executed by one or more of the processors 110, 126 of the PCD 100. The controller 101 may store information in the memory 112 or in an area within the controller 101 such as local storage as will be appreciated by those skilled in the art. This information can include a resource table 302 that includes a resource set 304 assigned to each master processor 110 serviced by the controller 101. This information can also include a trigger set 314 that can be unique to each master processor 110, also assigned to each master processor 110.

各リソースセット304は一般に、特定のマスタプロセッサ110によって望まれるリソース105の状態に関係する情報を含む。特定のマスタプロセッサ110に割り当てられる各リソースセット304は、アクティブリソースセット306およびスリープリソースセット308を含むことができる。アクティブリソースセット306は、特定のマスタプロセッサ110がアクティブであるか、正常に機能しているときのリソース105の状態を定義または記述することができる。スリープリソースセット308は、特定のマスタプロセッサが当業者によって理解されるようにスリープ状態または休止状態にあるときのリソース105の状態を定義または記述することができる。各リソースセット304はまた、図3に示す例示的な実施形態において、第1のマスタプロセッサ110に割り当てられる「セット1」および「セット2」のような追加セットを含むことができる。   Each resource set 304 generally includes information related to the state of the resource 105 desired by a particular master processor 110. Each resource set 304 assigned to a particular master processor 110 can include an active resource set 306 and a sleep resource set 308. The active resource set 306 can define or describe the state of the resource 105 when a particular master processor 110 is active or functioning normally. The sleep resource set 308 may define or describe the state of the resource 105 when a particular master processor is in a sleep state or hibernation state as will be understood by those skilled in the art. Each resource set 304 may also include additional sets such as “Set 1” and “Set 2” assigned to the first master processor 110 in the exemplary embodiment shown in FIG.

一例として、図3に示す第1のマスタプロセッサ(A)110Aのアクティブリソースセット306は、リソース105の各々に以下の値を割り当てている。第1の共用リソース(SR#1)105Aの場合には値は1であり、第2の共用リソース(SR#2)105Bの値は1であり、第Nの共用リソース(SR#N)105Cの値は1である一方、第1のローカルリソース(LR#1)105Dの4つの値は1、0、1、および1である。   As an example, the active resource set 306 of the first master processor (A) 110A shown in FIG. In the case of the first shared resource (SR # 1) 105A, the value is 1, the value of the second shared resource (SR # 2) 105B is 1, and the Nth shared resource (SR # N) 105C Is 1, while the four values of the first local resource (LR # 1) 105D are 1, 0, 1, and 1.

前述のように、リソース105の状態は単一の値に限定されず、複数の値を含むことができる。さらに、リソースの状態は、いくつかの異なるタイプのパラメータのいずれかを含むことができる。たとえば、状態は、リソース105として機能することができる特定のクロックのクロック速度の量について数百メガヘルツを指定することができる。   As described above, the state of the resource 105 is not limited to a single value, and can include a plurality of values. In addition, the state of the resource can include any of several different types of parameters. For example, the state can specify hundreds of megahertz for the amount of clock speed of a particular clock that can serve as the resource 105.

別の例として、図3に示す第1のマスタプロセッサ(A)110Aのスリープリソースセット308Aは、リソース105の各々に以下の値を割り当てている。第1の共用リソース(SR#1)105Aの場合、このリソースは0の値を割り当てられており、第2の共用リソース(SR#2)105Bは0の割当て値を有する一方、第Nの共用リソース(SR#N)105Cは0の割当て値を有する。第1のローカルリソース(LR#1)105Dは、0、1、0および0の割当て値を有し得る。   As another example, the sleep resource set 308A of the first master processor (A) 110A shown in FIG. In the case of the first shared resource (SR # 1) 105A, this resource is assigned a value of 0, and the second shared resource (SR # 2) 105B has an assigned value of 0, while the Nth shared resource Resource (SR # N) 105C has an assigned value of 0. The first local resource (LR # 1) 105D may have assigned values of 0, 1, 0 and 0.

特定のマスタプロセッサ110に割り当てられる各トリガセット314は、少なくとも3つのフィールド、すなわち、割込みフィールド316、「セットから」318、および「セットへ」320を含むことができる。トリガセット314のこれら3つのフィールドの各々はまた、3つの列、すなわち、トリガ開始列322、クリア列324、およびタイマー列326からなる対応する1セットを含むことができる。   Each trigger set 314 assigned to a particular master processor 110 may include at least three fields: an interrupt field 316, “from set” 318, and “to set” 320. Each of these three fields of the trigger set 314 can also include a corresponding set of three columns: a trigger start column 322, a clear column 324, and a timer column 326.

割込みフィールド316は、システムパワーマネージャ157によって生成および/または検出され得るアクションまたは活動を記述する。割込みフィールド316は一般に、「トリガイベント」と特徴付けることができ、これによりコントローラ101は、SPM157によって検出されるトリガイベントに基づいて特定のプロセッサ110によって望まれる指定のリソースセット304を選択することができる。コントローラ101によるリソースセット304の選択は、背景技術セクションで上述した時間のかかるソフトウェアハンドシェイクを回避することができる。   Interrupt field 316 describes actions or activities that may be generated and / or detected by system power manager 157. The interrupt field 316 can generally be characterized as a “trigger event”, which allows the controller 101 to select a specific set of resources 304 desired by a particular processor 110 based on the trigger event detected by the SPM 157. . Selection of the resource set 304 by the controller 101 can avoid the time consuming software handshake described above in the background section.

第1のマスタプロセッサ(A)110Aについて図3の第1のトリガセット(トリガセット#1)を調べるにあたり、セットのフィールドについて列ごとに順に論じる。トリガセット314Aの第1の列から始めると、トリガ開始列322は割込みフィールド316に対応する第1の行に「復号割込み」と記載されたアクションを有する。   In examining the first trigger set (trigger set # 1) of FIG. 3 for the first master processor (A) 110A, the fields of the set will be discussed in order by column. Beginning with the first column of trigger set 314A, trigger start column 322 has an action labeled “Decode Interrupt” in the first row corresponding to interrupt field 316.

前述のように、割込みフィールド316は、トリガ開始フィールド322の検出に応答してリソースセット304の状態をアクティブ化することをコントローラ101に行わせるパラメータを定義することができる。図3に示す例示的な実施形態では、割込みフィールド316Aは、「復号割込み」と定義または記述されており、これは、システムパワーマネージャ110が「復号割込み」を検出したとき、たとえば、PCD100がビデオを復号しているとき、このイベントがコントローラ101に対し、「トリガ開始」列にある第1の列322A1における「セットから」フィールド318を調べるように警告し得ることを意味する。   As described above, the interrupt field 316 can define a parameter that causes the controller 101 to activate the state of the resource set 304 in response to detecting the trigger start field 322. In the exemplary embodiment shown in FIG. 3, interrupt field 316A is defined or described as a “decode interrupt”, for example when PCD 100 detects video when system power manager 110 detects a “decode interrupt”. This means that this event may alert the controller 101 to examine the “From Set” field 318 in the first column 322A1 in the “Trigger Start” column.

「セットから」フィールド318は、コントローラ101によって調べられている特定のマスタプロセッサ110に当てはまる現在のリソースセット304を示す値を含むことができる。このフィールド318は、リソースセット304をその識別子、たとえば「アクティブセット」、「スリープセット」、または「セット1」もしくは「セット2」のようなセット番号によって記載することができる。フィールド320は、アスタリスクのような「ワイルドカード」を含むこともある。   The “From Set” field 318 may include a value indicating the current resource set 304 that applies to the particular master processor 110 being examined by the controller 101. This field 318 may describe the resource set 304 by its identifier, eg, “active set”, “sleep set”, or set number such as “set 1” or “set 2”. Field 320 may contain “wildcards” such as asterisks.

「セットから」フィールド318におけるワイルドカード指定により、コントローラ101は、特定のマスタプロセッサ101によって使用されていた最後の既知のアクティブリソースセット304を取り出すことができる。図3に示す例示的な実施形態では、「セットから」行318Aのトリガ開始列322A1は、アスタリスクまたはワイルドカードの値を有する。   The wildcard designation in the “From Set” field 318 allows the controller 101 to retrieve the last known active resource set 304 that was used by a particular master processor 101. In the exemplary embodiment shown in FIG. 3, the trigger start column 322A1 of “From Set” row 318A has an asterisk or wildcard value.

「セットへ」320は、「セットから」318と同様に、識別子、たとえば「アクティブセット」、「スリープセット」、または「セット1」もしくは「セット2」のようなセット番号によるリソースセット304の記載を含むことができる。フィールド320はまた、プロセッサ110によって利用されている最後のリソースセット304を意味するアスタリスクのような「ワイルドカード」を含むことができる。図3に示す例示的な実施形態では、「セットへ」フィールド320Aのトリガ開始列322A1は、第1のリソースセット304Aの列310Aに記載されているリソースセット1である「セット1」の値を有する。   “To Set” 320 is similar to “From Set” 318 and describes Resource Set 304 by identifier, eg “Active Set”, “Sleep Set”, or Set Number like “Set 1” or “Set 2” Can be included. Field 320 may also include a “wildcard” such as an asterisk, meaning the last resource set 304 being utilized by processor 110. In the exemplary embodiment shown in FIG. 3, the trigger start column 322A1 of the “To Set” field 320A has a value of “Set 1” which is the resource set 1 described in the column 310A of the first resource set 304A. Have.

図3に示す例では、復号割込みイベントは、SPM157によって検出されたとき、コントローラ101に警告する。コントローラ101は、第1のマスタプロセッサ110の第1のトリガセットを調べる。トリガ開始列322A1は、マッチング値(復号割込み)を記載しているので、コントローラ101は、「セットから」フィールド318Aを調べて、値がワイルドカード値またはアスタリスクであると判断する。次いでコントローラ101は、特定のリソースセット304Aを指定する「セット1」の値を有する「セットへ」フィールド320Aを調べる。コントローラ101によって調べられたこの情報に基づき、コントローラ101は、第1のマスタプロセッサ110Aの現在のリソースセット304Aを、現在のセットからリソースセット「セット1」に切り替える。リソースセット1は、第1のマスタプロセッサ110Aに割り当てられているリソースセット304Aの列310Aに記載されている。   In the example shown in FIG. 3, the decoding interrupt event alerts the controller 101 when detected by the SPM 157. The controller 101 examines the first trigger set of the first master processor 110. Since the trigger start column 322A1 describes the matching value (decoding interrupt), the controller 101 examines the “from set” field 318A and determines that the value is a wildcard value or an asterisk. The controller 101 then examines a “to set” field 320A having a value of “set 1” specifying a particular resource set 304A. Based on this information examined by the controller 101, the controller 101 switches the current resource set 304A of the first master processor 110A from the current set to the resource set “set 1”. Resource set 1 is described in column 310A of resource set 304A assigned to first master processor 110A.

さらに、SPM157またはコントローラ101が、第1のトリガセットのクリア列324A1に示すような「非復号」イベントを検出したとき、コントローラ101は「セットから」フィールド318Aを調べ、この値が「セット1」を含むと判断する。次いで、コントローラ101は、この例ではワイルドカードまたはアスタリスクの値を有する「セットへ」フィールド320を調べる。これは、コントローラ101が、第1のマスタプロセッサ110Aのリソースセット304Aを、「セット1」のリソースセットから、プロセッサ110Aによって使用された最後のアクティブリソースセットに切り替えることを意味する。   Further, when SPM 157 or controller 101 detects a “non-decode” event as shown in clear column 324A1 of the first trigger set, controller 101 examines “from set” field 318A and this value is “set 1”. Is included. Controller 101 then examines “to set” field 320, which in this example has a wildcard or asterisk value. This means that the controller 101 switches the resource set 304A of the first master processor 110A from the “set 1” resource set to the last active resource set used by the processor 110A.

トリガセットのタイマーフィールド326は、特定のリソースセット304がコントローラ101によって使用され得る時間量を示すことができる。そのため、図3に示す例示的な実施形態では、第1のトリガセットのタイマーフィールド326A1の場合、このフィールドは3ミリ秒の値を有する。これは、復号割込みイベントが第1のトリガセットのトリガ開始フィールド322A1とマッチしたときに、コントローラ101が、「セットへ」フィールド320Aに指定されたリソースセット304を3ミリ秒だけ利用することを意味する。他の例示的な実施形態では、タイマーフィールド326に情報がない状況、またはこの移行のタイマートリガ326がないこと、および移行が非復号フィールドにのみ適用されることを示す値に対応するように値が定義される状況が発生または存在し得る。図3に示すようなタイマーフィールド、すなわちタイマーフィールド326A1および326A2が定義される状況では、タイマーフィールド326とクリアフィールド324との間でどちらのイベントが最初に生じても、たいてい移行が始まる。   The trigger set timer field 326 may indicate the amount of time that a particular resource set 304 may be used by the controller 101. Thus, in the exemplary embodiment shown in FIG. 3, for the first trigger set timer field 326A1, this field has a value of 3 milliseconds. This means that when the decode interrupt event matches the trigger start field 322A1 of the first trigger set, the controller 101 will use the resource set 304 specified in the "To Set" field 320A for only 3 milliseconds. To do. In another exemplary embodiment, the value corresponds to a value indicating that there is no information in timer field 326, or that there is no timer trigger 326 for this transition, and that the transition applies only to non-decoding fields. A situation may occur or exist where is defined. In the situation where timer fields as shown in FIG. 3, ie timer fields 326A1 and 326A2, are defined, the transition usually begins regardless of which event first occurs between timer field 326 and clear field 324.

図4は、プロセッサ110の例示的なアクティブ/スリープトリガセット314を示している。この例示的な実施形態では、第1の列322における割込みフィールド316は、「シャットダウン」イベントを、特定のプロセッサ110のスリープセット308(図3)を開始するアクションと定義する。「シャットダウン」イベントは、オペレータがPCD100をシャットダウンするためにオン/オフボタンを選択するようなアクションを含むことができる。   FIG. 4 shows an exemplary active / sleep trigger set 314 of the processor 110. In the exemplary embodiment, interrupt field 316 in first column 322 defines a “shutdown” event as an action that initiates sleep set 308 (FIG. 3) for a particular processor 110. The “shutdown” event may include an action such as an operator selecting an on / off button to shut down the PCD 100.

図4の例示的な実施形態では、「シャットダウン」イベントが検出されたとき、コントローラ101は、現在のアクティブリソースセット306をスリープセット308に移行させる。スリープセット308は、図3のテーブル302のマスタリソースセット304に記載されている。   In the exemplary embodiment of FIG. 4, controller 101 causes current active resource set 306 to transition to sleep set 308 when a “shutdown” event is detected. The sleep set 308 is described in the master resource set 304 of the table 302 in FIG.

コントローラ101が、PCD100のオペレータによって開始されるパワーオンイベントのような「立ち上げ」イベントが生じていることを示すメッセージをSPM157から受信したとき、コントローラは、トリガセット314の「セットへ」フィールド320に記載されているワイルドカードまたはアスタリスク値に基づいて、プロセッサ110をスリープセット308から最後のアクティブリソースセット304に移行させる。   When the controller 101 receives a message from the SPM 157 indicating that a “rise” event such as a power-on event initiated by the operator of the PCD 100 has occurred, the controller will enter the “to set” field 320 of the trigger set 314. The processor 110 is moved from the sleep set 308 to the last active resource set 304 based on the wildcard or asterisk value described in FIG.

上記のように、システム103は、アクティブセット306およびスリープセット308に限定されない。システム103は、図3に示すように、スリープ状態に入ること、またはスリープ状態から出ること以外のイベントにおけるリソースセット304間の切替えに使用され得る。   As described above, the system 103 is not limited to the active set 306 and the sleep set 308. The system 103 may be used to switch between resource sets 304 in events other than entering or exiting a sleep state, as shown in FIG.

図5は、プロセッサ110をスリープ状態に置くためのトリガセット314を管理するための方法500を示す論理フローチャートである。ブロック505が、方法500の最初のステップである。ブロック505において、各プロセッサ110は、PCD100の先行使用事例からのデータに基づいて必要に応じて、コントローラ101(図1〜図2)内のトリガセット314およびリソースセット304を更新することができる。   FIG. 5 is a logic flow diagram illustrating a method 500 for managing the trigger set 314 for putting the processor 110 to sleep. Block 505 is the first step of method 500. At block 505, each processor 110 may update the trigger set 314 and resource set 304 in the controller 101 (FIGS. 1-2) as needed based on data from previous use cases of the PCD 100.

ブロック510において、プロセッサ110はSPM157(図2)に対し、コントローラ101へのシャットダウン信号を生成するように要求することができる。ブロック515において、SPM157はシャットダウン信号をコントローラ101に送ることができる。   At block 510, the processor 110 may request the SPM 157 (FIG. 2) to generate a shutdown signal to the controller 101. In block 515, the SPM 157 may send a shutdown signal to the controller 101.

コントローラ101は、ブロック520においてシャットダウン信号を受信することができ、図4に示すようにシャットダウンイベントに割り当てられ得るトリガセット314をアクティブ化することができる。図4に示す例示的な実施形態では、シャットダウン信号は、トリガセット314の割込みフィールド316と突き合わせられる。トリガセット314はコントローラ101に対し、「セットへ」フィールド320に示されているようにスリープセット308にアクセスするように指示する。ブロック525において、コントローラ101は早急に、確認応答信号をSPM157に送ることができ、コントローラ101は引き続き、シャットダウン信号イベントとマッチするトリガセット314によって参照されるリソースセット304をアクティブ化することができる。   The controller 101 can receive a shutdown signal at block 520 and can activate a trigger set 314 that can be assigned to a shutdown event as shown in FIG. In the exemplary embodiment shown in FIG. 4, the shutdown signal is matched with the interrupt field 316 of the trigger set 314. Trigger set 314 instructs controller 101 to access sleep set 308 as shown in “To Set” field 320. At block 525, the controller 101 can immediately send an acknowledgment signal to the SPM 157, and the controller 101 can subsequently activate the resource set 304 referenced by the trigger set 314 that matches the shutdown signal event.

ブロック530において、図4に示す対応する割込みフィールド316に「シャットダウン」イベントを記載しているマッチングトリガセット314のような各マッチングトリガセット314について、コントローラ101は、現在のリソースセット304を、図3のマスタプロセッサ110Aの第1のリソースセット304Aのスリープセット308Aのようなスリープセット308に切り替えることができる。   At block 530, for each matching trigger set 314, such as matching trigger set 314 that lists the “shutdown” event in the corresponding interrupt field 316 shown in FIG. 4, the controller 101 assigns the current resource set 304 to FIG. The master processor 110A can switch to a sleep set 308 such as the sleep set 308A of the first resource set 304A.

次に、ブロック535において、コントローラ101は、図2に示すような低レベルドライバ103にスリープ要求状態を伝えることができる。低レベルドライバ103は、要求された状態を、対応するリソース105に伝達することができる。   Next, at block 535, the controller 101 can communicate the sleep request state to the low level driver 103 as shown in FIG. The low level driver 103 can communicate the requested state to the corresponding resource 105.

ブロック540において、各リソース105はシャットダウン信号確認応答をコントローラ101およびSPM157に出すことができる。そして、方法500は終了することができる。   In block 540, each resource 105 can issue a shutdown signal acknowledgment to the controller 101 and SPM 157. The method 500 can then end.

図6は、プロセッサ110をスリープ状態からアクティブ状態に置くためのトリガセット314を管理するための方法600を示す論理フローチャートである。ブロック605が、方法600の最初のステップである。ブロック605において、ウェイクアップ状態またはウェイクアップイベントが、SPM157により検出されるか、ウェイクアップイベントが、それ自体の割込みコントローラ(不図示)を有し得るコントローラ101によって直接検出される。例示的な実施形態は、ウェイクアップ割込みがSPM157によって検出可能ではないように設計され得る。そのような例示的な実施形態では、コントローラ101はその割込みコントローラを使用して、それらを検出し、これらをマスタプロセッサ110のスリープセット要件に「マッピング」させることができる。   FIG. 6 is a logic flow diagram illustrating a method 600 for managing a trigger set 314 for putting the processor 110 from a sleep state to an active state. Block 605 is the first step of method 600. At block 605, a wake-up condition or wake-up event is detected by the SPM 157 or a wake-up event is detected directly by the controller 101, which may have its own interrupt controller (not shown). The exemplary embodiment may be designed such that wake-up interrupts are not detectable by SPM 157. In such an exemplary embodiment, the controller 101 can use its interrupt controller to detect them and “map” them to the sleep set requirements of the master processor 110.

次に、ブロック610において、SPM157はウェイクアップ信号をコントローラ101に送ることができる。ブロック615において、コントローラ101は、SPM157からウェイクアップ信号を受信し、ウェイクアップ信号とマッチした1つまたは複数のトリガセット314をアクティブ化することができる。たとえば、コントローラ101はウェイクアップ信号を、図4のトリガセット314の「アクティブ」列内の割込みフィールド316に記載されている「立ち上げ」イベントと突き合わせることができる。図4の例示的な実施形態では、アクティブ列324内の「セットへ」フィールド320はコントローラを、現在のプロセッサ110によって使用された最後のリソースセット304に案内する。   Next, at block 610, the SPM 157 can send a wake-up signal to the controller 101. In block 615, the controller 101 may receive a wakeup signal from the SPM 157 and activate one or more trigger sets 314 that match the wakeup signal. For example, the controller 101 can match the wake-up signal with a “rise” event described in the interrupt field 316 in the “active” column of the trigger set 314 of FIG. In the exemplary embodiment of FIG. 4, the “to set” field 320 in the active column 324 guides the controller to the last resource set 304 used by the current processor 110.

そのため、ブロック620において、コントローラ101は、このマッチングトリガセット314に基づいて、プロセッサ110の現在のリソースセット304を変更する。当業者は、コントローラ101が図3に示すように維持するそのトリガセットのすべてを巡回することを認識する。   Therefore, at block 620, the controller 101 changes the current resource set 304 of the processor 110 based on the matching trigger set 314. Those skilled in the art will recognize that the controller 101 cycles through all of its trigger sets that it maintains as shown in FIG.

次に、ブロック625において、コントローラ101はSPM157に対し、どのマスタプロセッサ110がスリープ状態からアウェイクしたかを識別するウェイクアップ確認応答を送ることができる。次に、ブロック630において、マッチングウェイクアップトリガセット314を有する各プロセッサ110は、スリープ状態から解放され、SPM157によって電力を供給されてアクティブ状態に戻される。そして、方法600は終了する。   Next, at block 625, the controller 101 can send a wakeup acknowledgment to the SPM 157 that identifies which master processor 110 has awakened from the sleep state. Next, at block 630, each processor 110 having a matching wakeup trigger set 314 is released from the sleep state and powered by the SPM 157 and returned to the active state. The method 600 then ends.

図7〜10は、本明細書では「次のアクティブリソース状態セット」または「次のアクティブセット」と呼ばれる別の特徴を示している。次のアクティブセットの一例は、次のアウェイクセットである。次のアウェイクセットまたは他の次のアクティブセットは、図6およびウェイクアップイベントに伴うコントローラ101による切替え後のリソースセット304に関して上記で説明したのと同じ方法で使用され得る。   FIGS. 7-10 illustrate another feature referred to herein as a “next active resource state set” or “next active set”. An example of the next active set is the next awake set. The next awake set or other next active set may be used in the same manner as described above with respect to FIG. 6 and the resource set 304 after switching by the controller 101 associated with the wake-up event.

図7は、コントローラ101に記憶された情報を表すという点で、図3と同様である。例示的な実施形態では、コントローラ101は、本明細書では便宜上「A」メモリバッファ702、「B」メモリバッファ704および「C」メモリバッファ706と呼ばれる3つのメモリバッファを含むことができる。   FIG. 7 is the same as FIG. 3 in that the information stored in the controller 101 is represented. In the exemplary embodiment, controller 101 may include three memory buffers, referred to herein as “A” memory buffer 702, “B” memory buffer 704, and “C” memory buffer 706 for convenience.

図8は、プロセッサをスリープ状態に置くための方法800を示しているという点で、図5と同様の論理フローチャートである。ブロック805が、方法800の最初のステップであり、図5に関して上述したブロック505に類似している。ブロック805は、プロセッサ110がアクティブまたはアウェイクリソース状態セットおよびスリープリソース状態セットだけでなく、次のアウェイクリソース状態セットも更新し得ることを示している。図8に示すように、プロセッサは、アクティブセットをコントローラ101の「A」バッファ702(図7)に記憶させ、スリープセットをコントローラ101の「B」バッファ704(図7)に記憶させ、次のアウェイクセットをコントローラ101の「C」バッファ706(図7)に記憶させることができる。ブロック805の他の態様は、ブロック505(図5)に関して上述したのと同じであるので、ここでは説明しない。   FIG. 8 is a logic flow diagram similar to FIG. 5 in that it illustrates a method 800 for placing a processor in a sleep state. Block 805 is the first step of the method 800 and is similar to block 505 described above with respect to FIG. Block 805 indicates that the processor 110 may update not only the active or awake resource state set and sleep resource state set, but also the next awake resource state set. As shown in FIG. 8, the processor stores the active set in the “A” buffer 702 (FIG. 7) of the controller 101, and stores the sleep set in the “B” buffer 704 (FIG. 7) of the controller 101. The awake set can be stored in the “C” buffer 706 (FIG. 7) of the controller 101. Other aspects of block 805 are the same as described above with respect to block 505 (FIG. 5) and will not be described here.

ブロック810、815、820、825、830、835および840は、それぞれ図5のブロック510、515、520、525、530、535および540と同じであるので、ここでは説明しない。プロセッサは、シャットダウンを始めるとき、「A」バッファ702(図7)に記憶されているアウェイクセットに対応するアウェイクアプリケーション状態にあることに留意されたい。次いでプロセッサは、図5に関して上述したのと同様に、「B」バッファ704(図7)に記憶されているスリープセットに対応するスリープアプリケーション状態に入る。プロセッサは、「C」バッファ706(図7)に記憶されている次のアウェイクセットに対応する次のアウェイクアプリケーション状態においてスリープアプリケーション状態からアウェイクする(図6)。「C」バッファ706(図7)に次のアウェイクセット更新を事前記憶し、それらをできるだけ早く適用することによって、コントローラ101はウェイクアップイベントに伴い、かかる次のアウェイクセットによって指定されたリソースを直ちに構成し始めることができ、それによってリソース待ち時間を最小化することができる。   Blocks 810, 815, 820, 825, 830, 835 and 840 are the same as blocks 510, 515, 520, 525, 530, 535 and 540, respectively, in FIG. 5 and will not be described here. Note that when the processor initiates shutdown, it is in an awake application state that corresponds to the awake set stored in the “A” buffer 702 (FIG. 7). The processor then enters a sleep application state corresponding to the sleep set stored in the “B” buffer 704 (FIG. 7), as described above with respect to FIG. The processor wakes up from the sleep application state in the next awake application state corresponding to the next awake set stored in the “C” buffer 706 (FIG. 7) (FIG. 6). By pre-storing the next awake set update in the “C” buffer 706 (FIG. 7) and applying them as soon as possible, the controller 101 immediately follows the resource specified by such next awake set upon a wake-up event. You can begin to configure, thereby minimizing resource latency.

図9は、別の例示的な実施形態に関係し、ここでは、コントローラ101は上述のリソース状態セットの3つすべてを同時に記憶するのに十分なメモリを有していない。この実施形態では、コントローラ101'は「A」バッファ902および「B」バッファ904のみを有し、「C」バッファのために利用可能なメモリ空間がない。そのような場合、「A」バッファ902は、異なる時間に(その時点で最新の)アウェイクセットおよび次のアウェイクセットを記憶するように再利用される。   FIG. 9 relates to another exemplary embodiment, where the controller 101 does not have enough memory to store all three of the resource state sets described above simultaneously. In this embodiment, the controller 101 ′ only has an “A” buffer 902 and a “B” buffer 904, and no memory space is available for the “C” buffer. In such a case, the “A” buffer 902 is reused to store the awake set (currently current) and the next awake set at different times.

図10は、プロセッサをスリープ状態に置くための方法1000を示しているという点で、図5および図9と同様の論理フローチャートである。ブロック1005が、方法800の最初のステップであり、図8に関して上述したブロック805に類似しているが、「C」バッファに次のアウェイクセットを記憶するステップを含まない。実際にはプロセッサは、アクティブセットをコントローラ101'の「A」バッファ902(図9)に記憶させ、スリープセットをコントローラ101'の「B」バッファ904(図9)に記憶させることができるが、プロセッサは、次のアウェイクセットを記憶するために「A」バッファを再利用する前に、スリープアプリケーション状態に移行するステップで「復帰不能点」(この用語は当業者によって理解される)に達するまで待つ。ブロック1005の他の態様は、ブロック505(図5)に関して上述したのと同じであるので、ここでは説明しない。   FIG. 10 is a logic flow diagram similar to FIGS. 5 and 9 in that it illustrates a method 1000 for placing a processor in a sleep state. Block 1005 is the first step of the method 800 and is similar to block 805 described above with respect to FIG. 8, but does not include storing the next awake set in the “C” buffer. In practice, the processor can store the active set in the “A” buffer 902 (FIG. 9) of the controller 101 ′ and the sleep set in the “B” buffer 904 (FIG. 9) of the controller 101 ′, The processor proceeds to the sleep application state before reusing the “A” buffer to store the next awake set until it reaches an “unrecoverable point” (this term is understood by those skilled in the art). wait. Other aspects of block 1005 are the same as described above with respect to block 505 (FIG. 5) and will not be described here.

ブロック1008において、プロセッサは、次のアウェイクセットの擬更新または仮想更新と呼ばれ得るステップを実行する。上述のブロック1005において、プロセッサは、コントローラ101'の「A」バッファ902および「B」バッファ904にリソース状態セットを書き込むことによって、リソース状態セットの実際の更新を実行し得ることに留意されたい。コントローラ101'は、バッファコンテンツが更新されていることを通知する割込みをプロセッサから受信するので、更新は実際のものであり、コントローラ101'は、更新に伴うアクションまたは更新の適用を行うことになる。コントローラ101'は、使用できるように更新リソース状態セット情報を準備するのに必要であり得る様々なタスクを実行することによって、更新を適用する。バッファ「B」におけるスリープセットが更新された場合、コントローラ101'は、リソース状態セットの切替えを必要とするシャットダウンイベントまたは同様のイベントが後に生じた場合に使用できるように更新スリープセット情報を準備することができる。「A」バッファ902におけるアクティブセットが更新された場合、コントローラ101'はリソースを相応に調整させることができる。プロセッサがブロック1008で実行する擬更新は、コントローラ101'に割込みを送ることなしに、「A」バッファ902(図9)に次のアウェイクセットのための更新を記憶するステップを含む。コントローラ101'は割込みを受信していないので、「A」バッファ902(図9)で生じた更新をまだ適用しない。この擬更新は、プロセッサ110がSPM157(図2)に対し、コントローラ101'にシャットダウンを知らせるように要求する復帰不能点の後に生じ、「A」バッファ902におけるその時点のアクティブリソースセット状態情報に対するさらなる更新を行わないことが保証される。   At block 1008, the processor performs a step that may be referred to as a pseudo-update or virtual update of the next awake set. Note that in block 1005 above, the processor may perform the actual update of the resource state set by writing the resource state set to the “A” buffer 902 and “B” buffer 904 of the controller 101 ′. Since the controller 101 ′ receives an interrupt from the processor notifying that the buffer content has been updated, the update is actual, and the controller 101 ′ will perform the action or application of the update. . The controller 101 ′ applies the updates by performing various tasks that may be necessary to prepare the update resource state set information for use. If the sleep set in buffer “B” is updated, the controller 101 ′ prepares the updated sleep set information so that it can be used if a shutdown event or similar event that later requires a switch of the resource state set occurs. be able to. If the active set in the “A” buffer 902 is updated, the controller 101 ′ can adjust the resources accordingly. The pseudo update that the processor performs at block 1008 includes storing the update for the next awake set in the “A” buffer 902 (FIG. 9) without sending an interrupt to the controller 101 ′. Since controller 101 ′ has not received an interrupt, it has not yet applied the update that occurred in “A” buffer 902 (FIG. 9). This pseudo-update occurs after a non-recoverable point where the processor 110 requests the SPM 157 (FIG. 2) to inform the controller 101 ′ of the shutdown, and additional It is guaranteed not to update.

ブロック1010、1015、1020、および1025は、それぞれ図5のブロック510、515、520、および525に関して上述したのと同じであるので、ここでは説明しない。   Blocks 1010, 1015, 1020, and 1025 are the same as described above with respect to blocks 510, 515, 520, and 525, respectively, in FIG. 5 and will not be described here.

次いで、ブロック1027において、コントローラ101'は、更新に関して「A」バッファ902(図9)をチェックすることによって、コントローラ101'とプロセッサとの間で生じるハンドシェイク(ブロック1020、1025)に応答し、図6のウェイクアップ方法で使用される更新を記憶する。(プロセッサがバッファに書き込んだ「メッセージ」を受信コントローラ101'に通知するために割込みが使用される方法から、メモリバッファは「メッセージRAM」とも呼ばれることが留意され得る。)したがって、「A」バッファ902(図9)に次のアウェイクセットを事前記憶することによって、コントローラ101'はウェイクアップイベントに伴い、かかる次のアウェイクセットによって指定されたリソースを直ちに構成し始めることができ、それによってリソース待ち時間を最小化することができる。   Then, in block 1027, the controller 101 ′ responds to the handshake that occurs between the controller 101 ′ and the processor (blocks 1020, 1025) by checking the “A” buffer 902 (FIG. 9) for updates, Updates used in the wake-up method of FIG. 6 are stored. (It may be noted that the memory buffer is also referred to as “message RAM” because of the way interrupts are used to notify the receiving controller 101 ′ of “messages” that the processor has written to the buffer.) Thus, the “A” buffer By pre-storing the next awake set in 902 (Figure 9), controller 101 'can immediately begin configuring the resource specified by such next awake set in response to a wake-up event, thereby waiting for a resource. Time can be minimized.

ブロック1030、1035、および1040は、それぞれ図5のブロック530、535、および540と同じであるので、ここでは説明しない。次いでプロセッサは相応に、図5に関して上述したのと同様に、「B」バッファ904(図9)に記憶されているスリープセットに対応するスリープアプリケーション状態に入る。プロセッサは、「B」バッファ904(図9)に記憶されている次のアウェイクセットに対応する次のアウェイクアプリケーション状態においてスリープアプリケーション状態からアウェイクする(図6)。次のアウェイクセットを事前記憶し、それをできるだけ早く適用することによって、コントローラ101'はウェイクアップイベントに伴い、かかる次のアウェイクセットによって指定されたリソースを直ちに構成し始めることができ、それによってリソース待ち時間を最小化することができる。   Blocks 1030, 1035, and 1040 are the same as blocks 530, 535, and 540, respectively, in FIG. 5 and will not be described here. The processor then correspondingly enters the sleep application state corresponding to the sleep set stored in the “B” buffer 904 (FIG. 9), as described above with respect to FIG. The processor wakes from the sleep application state in the next awake application state corresponding to the next awake set stored in the “B” buffer 904 (FIG. 9) (FIG. 6). By pre-storing the next awake set and applying it as soon as possible, controller 101 'can immediately begin configuring the resource specified by such next awake set in response to a wake-up event, thereby Latency can be minimized.

図11〜図23は、上記のリソースセット移行をスケジュールすることに関係する別の特徴を示している。当業者は、多くの場合にプロセッサアプリケーションプログラム状態の上記変更が比較的予測可能な周期で生じ得ることを理解している。たとえば、PCD100(図1)において、ビデオプレーヤアプリケーションプログラムを実行しているプロセッサが、プロセッサが周期的に(たとえば、Xミリ秒ごとに)ビデオデータのフレームを復号し得る状態において、あるいはかかる状態に移行中にウェイクアップすることが必要であり得る。同様に、PCD100のセルラー電話機能を制御しているプロセッサが、たとえば、プロセッサが周期的に(たとえば、Xミリ秒ごとに)RF通信信号を検査し得る状態において、あるいはかかる状態に移行中にウェイクアップすることが必要であり得る。アプリケーションプログラム状態の周期的変更が生じる時間は予測され得るので、またリソースが次のアプリケーションプログラム状態に対応する状態への移行を完了させるのに必要な時間量は実質的に固定されているか、一定であるので、リソース状態セットを切り替えるプロセスを始めるのに必要な時間は予測され得る。たとえば、プロセッサは時間tdeadlineに例示的なリソース状態セット(「R」)によって示される状態におけるリソースのセットを有する必要があると予測され得る。この例示的なリソース状態セット「R」は、バスクロックリソースをたとえば100MHzに変更し、電源リソースをたとえば3Vに変更することを指定することがある。バスクロックリソースおよび電源リソースがこれらの移行を完了させたことをコントローラ101が確認するのに要する時間量(「作業_時間」)が判断され得る。(「作業」という用語は、コントローラ101がリソース状態移行を実現するために実行しなければならない処理、構成およびハードウェア制御を指す。)したがって、リソースが時間tdeadlineまでにリソース状態セット「R」によって示される状態にあるようにするために、この例ではコントローラ101は、tdeadlineの前の時間量が少なくとも作業_時間に等しくなる時間までに、バスクロックおよび電源リソースを移行させるプロセス(たとえば、図5のステップ530および535、図8のステップ830および835など)を開始する必要がある。 FIGS. 11-23 illustrate another feature related to scheduling the above resource set migration. Those skilled in the art understand that in many cases such changes in the processor application program state can occur at a relatively predictable period. For example, in the PCD 100 (FIG. 1), a processor executing a video player application program may or may not be able to decode a frame of video data periodically (e.g., every X milliseconds). It may be necessary to wake up during the transition. Similarly, the processor controlling the cellular telephone function of the PCD 100 may wake, for example, in a state where the processor can periodically inspect RF communication signals (e.g., every X milliseconds) or during transition to such a state. It may be necessary to up. The time at which the application program state changes periodically can be predicted, and the amount of time required for the resource to complete the transition to the state corresponding to the next application program state is substantially fixed or constant. As such, the time required to begin the process of switching the resource state set can be predicted. For example, a processor may be predicted to need to have a set of resources in a state indicated by an exemplary resource state set (“R”) at time t deadline . This example resource state set “R” may specify changing the bus clock resource to, for example, 100 MHz and changing the power supply resource to, for example, 3V. The amount of time (“work_time”) required for the controller 101 to confirm that the bus clock resource and the power resource have completed these transitions can be determined. (The term “work” refers to the processing, configuration and hardware control that the controller 101 must perform to achieve resource state transition.) Thus, the resource state set “R” by the time t deadline In this example, the controller 101 is in the process of migrating the bus clock and power resources by a time at which the amount of time before t deadline is at least equal to work_time (e.g., Steps 530 and 535 in FIG. 5, steps 830 and 835 in FIG.

PCD100において、2つ以上のプロセッサ(たとえば、図2のマスタプロセッサ110A、110B、110Cなど)は互いにかなり接近した時間にリソース状態セット移行を要求することがあり、それによりコントローラ101は1つのプロセッサのリソースを移行させる作業を行う一方で、別のプロセッサのリソースを移行させる作業を同時に行う必要がある。同様に、コントローラ101がリソースを移行させる作業を行っているか、リソースを移行させる作業を行う予定である間に、SPM157のような別の要素は、リソース状態セット移行を要求し得る。例示的な実施形態では、コントローラ101はこれらのタスクを同時に実行することができないので、そのような「競合」状態は望ましくない。   In PCD 100, two or more processors (e.g., master processors 110A, 110B, 110C, etc. in FIG. 2) may require a resource state set transition at times that are fairly close to each other, which causes controller 101 to While performing the task of migrating resources, it is necessary to simultaneously perform the task of migrating resources of another processor. Similarly, another element, such as SPM 157, may request a resource state set transition while the controller 101 is performing the task of migrating resources or is scheduled to perform the task of migrating resources. In the exemplary embodiment, such a “race” condition is undesirable because the controller 101 cannot perform these tasks simultaneously.

図11は、上記の競合状態の一例を示すタイムラインである。コントローラ101が下記のスケジューリング方法を始め、競合状態を検出するおおよその時間は「tnow」と標示される。図11に示す例では、コントローラ101は、リソースが時間tdeadline_0に、第1のプロセッサによって要求される状態にあるために、コントローラ101が時間tstart_0に、要求された状態にこれらのリソースを移行させるプロセスまたは作業(「作業_0」)を開始する必要があると判断する。同様に、コントローラ101は、リソースが時間tdeadline_1に、第2のプロセッサによって要求される状態にあるために、コントローラ101が時間tstart_1に、要求された状態にこれらのリソースを移行させるプロセスまたは作業(「作業_1」)を開始する必要があると判断する。作業_0と作業_1との間の重複が競合状態を表すことが留意され得る。 FIG. 11 is a timeline showing an example of the above-described race condition. The approximate time for the controller 101 to start the following scheduling method and detect a race condition is labeled “t now ”. In the example shown in FIG. 11, the controller 101 has these resources in the requested state at time t start _0 because the resources are in the state requested by the first processor at time t deadline _0. It is determined that it is necessary to start a process or work ("work_0") for migrating. Similarly, controller 101 is the process in which controller 101 transitions these resources to the requested state at time t start _1 because the resources are in the state requested by the second processor at time t deadline _1. Alternatively, it is determined that work ("work_1") needs to be started. It can be noted that the overlap between work_0 and work_1 represents a race condition.

図12は、図11に示す競合状態を緩和するための方法をタイムライン形式で示している。競合を緩和するために、コントローラは作業_0を、作業_1を始める前に完了させるようにスケジュールし得る。したがってコントローラ101は、tstart_1(すなわち、修正最終期限tdeadline_0')の前に作業_0を完了させるために、これらのリソースを要求された状態に移行させるステップを開始する修正時間tstart_0'を、以下の式で計算する。
tstart_0'=tdeadline_0-(tdeadline_1-作業_1)
上記の計算のtstart_0'はtnowと対比されていることが留意され得る。
FIG. 12 shows a method for alleviating the race condition shown in FIG. 11 in a timeline format. To mitigate contention, the controller may schedule work_0 to complete before starting work_1. Thus controller 101, t start _1 (i.e., modified deadline t deadline _0 ') in order to complete the work _0 before, modification time t start to begin the step of shifting these resources to state requests _0 'is calculated by the following formula.
t start _0 '= t deadline _0- (t deadline _1-task_1)
It can be noted that t start — 0 ′ in the above calculation is contrasted with t now .

図13は、プロセッサ110をスリープリソース状態セットに対応するスリープアプリケーション状態からアクティブリソース状態セットに対応するアクティブアプリケーション状態に移行させるための方法1300を示す論理フローチャートである。方法1300が、コントローラ101がリソース状態の変更または移行のために実行する処理または作業をスケジュールするステップを含むことを除いて、方法1300は、図6の上記の方法600と同様である。ブロック1305、1310、および1315は、それぞれ図6のブロック605、610、および615と同じであるので、ここでは説明しない。ブロック1318において、コントローラ101は、コントローラ101の判断により周期的にアプリケーション状態を変更する1つまたは複数のプロセッサのリソース状態セット移行をスケジュールする。上述のように、アプリケーション状態の予測される変更は、次のアプリケーション状態に対応するリソースセットのリソースが完全に移行する関連最終期限を有する。このスケジュールするステップは、リソース状態セット移行が完了するのに要する時間量(「作業」)、ひいてはコントローラ101が最終期限までに移行を完了させるために移行プロセスまたは「作業」を開始する必要がある時間を計算するステップを含むことができる。このスケジュールするステップはまた、上記で説明した方法で、または代替方法を使用してスケジューリング競合を緩和するステップを含むことができる。ブロック1320、1325および1330は、それぞれブロック620、625および630と同じであるので、ここでは説明しない。   FIG. 13 is a logic flow diagram illustrating a method 1300 for transitioning the processor 110 from a sleep application state corresponding to a sleep resource state set to an active application state corresponding to an active resource state set. The method 1300 is similar to the method 600 described above in FIG. 6 except that the method 1300 includes the step of scheduling a process or task that the controller 101 performs for a resource state change or transition. Blocks 1305, 1310, and 1315 are the same as blocks 605, 610, and 615, respectively, in FIG. 6 and will not be described here. At block 1318, the controller 101 schedules a resource state set transition for one or more processors that periodically change the application state at the discretion of the controller 101. As described above, an expected change in application state has an associated deadline for the complete transition of the resources of the resource set corresponding to the next application state. This scheduling step requires the amount of time it takes for the resource state set transition to complete ("work"), and thus the controller 101 must initiate a migration process or "work" to complete the transition by the deadline. A step of calculating time may be included. This scheduling step may also include mitigating scheduling contention in the manner described above or using alternative methods. Blocks 1320, 1325 and 1330 are the same as blocks 620, 625 and 630, respectively, and will not be described here.

図14は、リソース状態セット移行をスケジュールする図13のブロック1318に含まれ得る方法1400を示す論理フローチャートである。ブロック1405は、コントローラ101が次の式を評価し得ることを示している。
tdeadline_x-作業_x<tdeadline_y
ここでxおよびyは、(たとえば第1のプロセッサxおよび第2のプロセッサyからの)2つのリソース状態移行要求を表すインデックスであり、x>yである。
式が偽であると評価した場合、2つの要求間に競合状態がなく、本方法は終了する。式が真であると評価した場合、図11に関して上述したタイプの競合状態がある。競合状態が存在すると判断された場合、コントローラ101は競合を緩和するために修正開始時間を次の式で計算することができる。
tstart_x'=tdeadline_x-(tdeadline_y-作業_y)
コントローラ101は、当初スケジュールされたリソース状態セット移行開始時間の代わりに、修正開始時間を使用することができる。
FIG. 14 is a logic flow diagram illustrating a method 1400 that may be included in block 1318 of FIG. 13 for scheduling resource state set transitions. Block 1405 indicates that the controller 101 may evaluate the following equation:
t deadline _x-work_x <t deadline _y
Here, x and y are indexes representing two resource state transition requests (for example, from the first processor x and the second processor y), and x> y.
If the expression evaluates to false, there is no race condition between the two requests and the method ends. If the expression evaluates to true, there is a race condition of the type described above with respect to FIG. If it is determined that a race condition exists, the controller 101 can calculate the correction start time by the following formula in order to reduce the race.
t start _x '= t deadline _x- (t deadline _y-work_y)
The controller 101 can use the modified start time instead of the originally scheduled resource state set transition start time.

スケジューリング競合を緩和するための方法はまた、スケジュールされていないリソース状態セット移行要求を考慮することができる。上記のように、スケジュールされたリソース状態セット移行要求は、周期的に生じるか、あるいは予測可能な要求を含む。スケジュールされていないリソース状態セット移行要求は、ユーザがタッチスクリーン132(図2)を使用して、PCD100に1つまたは複数のプロセッサをウェイクアップさせるアクションを実行するなど、予測不可能なイベントの結果として生じ得る。スケジュールされていない要求は、リソース状態セット移行が完了しなければならない関連最終期限(「tdeadline」)を有さない。実際にはそれは、リソース状態セット移行が特定の時間に開始された場合に完了する時間(「tdone」)を指すことに関係するだけである。 The method for mitigating scheduling contention can also consider unscheduled resource state set transition requests. As mentioned above, scheduled resource state set transition requests occur periodically or include predictable requests. An unscheduled resource state set transition request results in an unpredictable event, such as the user performing an action that causes the PCD 100 to wake up one or more processors using the touch screen 132 (Figure 2) Can occur as Unscheduled requests do not have an associated deadline ("t deadline ") at which the resource state set transition must be completed. In practice it is only concerned with pointing to the time to complete ("t done ") if the resource state set transition is initiated at a particular time.

図15は、コントローラ101がスケジュールされていないリソース状態セット移行要求に対する処理、すなわち作業を、要求がtnon-scheduled_1で生じるとすぐに始め、リソース状態セット移行がtdone_1に完了するまで要求に対する作業を続けた場合に、競合状態が生じ得ることを示すタイムラインである。tstart_0に始まりtdeadline_0に終わるスケジュールされた要求の処理(「作業_0」)が、スケジュールされていない要求の処理(「作業_1」)と重複することに留意されたい。 Figure 15 shows that the controller 101 begins processing the unscheduled resource state set transition request, i.e., as soon as the request occurs at t non-scheduled_1 , and requests until the resource state set transition completes at t done_1 It is a timeline which shows that a race condition may arise when work with respect to is continued. Note that the processing of scheduled requests beginning at t start _0 and ending at t deadline _0 (“Work_0”) overlaps with the processing of unscheduled requests (“Work_1”).

図16は、図15の競合状態を緩和するための簡単な例示的な方法を示すタイムラインである。競合状態を緩和するために、コントローラ101は、最初に、スケジュールされた要求に関連するリソースを移行させ、次いで、スケジュールされていない要求に関連するリソースを移行させることができる。   FIG. 16 is a timeline illustrating a simple exemplary method for mitigating the race condition of FIG. To alleviate the race condition, the controller 101 can first migrate resources associated with scheduled requests and then migrate resources associated with unscheduled requests.

図17は、図15の競合状態を緩和するための第2の簡単な例示的な方法を示すタイムラインである。競合状態を緩和するために、コントローラ101は、最初に、スケジュールされた要求に関連するリソースを移行させ、次いで、スケジュールされていない要求に関連するリソースを移行させることができる。ただし、図16に示す方法とは異なり、作業_0の開始tstart_0をtstart_0'へと早めて、作業_1が早期に完了できるようにすることで、スケジュールされていない作業の遅延を回避する。 FIG. 17 is a timeline illustrating a second simple exemplary method for mitigating the race condition of FIG. To alleviate the race condition, the controller 101 can first migrate resources associated with scheduled requests and then migrate resources associated with unscheduled requests. However, unlike the method shown in Figure 16, the delay of unscheduled work is enabled by having work _0 start t start _0 advanced to t start _0 'so that work _1 can be completed early. To avoid.

図18は、図15の競合状態を緩和するための別の例示的な方法を示すタイムラインである。競合状態を緩和するために、コントローラ101は最初に、修正開始時間を次の式で計算することができる。
tstart_1=(tdeadline_0-作業_0)-tnow
FIG. 18 is a timeline illustrating another exemplary method for mitigating the race condition of FIG. To alleviate the race condition, the controller 101 can first calculate the correction start time with the following formula:
t start _1 = (t deadline _0-work_0) -t now

コントローラ101は修正開始時間tstart_1に、スケジュールされていない要求に関連するリソースを移行させる作業のサブセットまたは部分を始めることができる。次いでtstart_0において、コントローラ101は、スケジュールされていない要求に関連するリソースを移行させる作業を停止し、代わりに、スケジュールされた要求に関連するリソースの移行に切り替える。コントローラ101がtdeadline_0に、スケジュールされた要求に関連するリソースの移行を完了させた後、コントローラ101は、スケジュールされていない要求に関連するリソースを移行させる作業に戻ることができる。 The controller 101 can begin a subset or portion of work to migrate resources associated with unscheduled requests at the modification start time t start — 1. Then, at t start — 0, the controller 101 stops the work of migrating resources associated with unscheduled requests and instead switches to migrating resources associated with scheduled requests. After the controller 101 completes the migration of resources associated with the scheduled request at t deadline_0 , the controller 101 can return to the task of migrating the resources associated with the unscheduled request.

図19は、リソース状態セット変更要求に関連するリソースの移行に関わる作業または処理が、多くの場合、サブセットまたは部分「作業0」〜「作業N」に分割され得ることを示している。リソース状態セット変更に関連するリソースの移行に関わる作業または処理は、多くの個別のタスクを伴い得る。したがって、コントローラ101は容易に、そのような個別のタスク間で別のリソース状態セットに移行するプロセスを一時的に停止させることができる。たとえば、図18のtstart_1とtstart_0との間で生じる処理または作業の部分は、1つまたは複数のそのような個別のタスクを含むことができる。 FIG. 19 illustrates that the work or processing involved in the resource transition associated with the resource state set change request can often be divided into subsets or portions “work 0 ” to “work N ”. The work or processing involved in migrating resources associated with resource state set changes can involve many individual tasks. Thus, the controller 101 can easily stop temporarily the process of transitioning to another resource state set between such individual tasks. For example, the portion of processing or work that occurs between t start — 1 and t start — 0 in FIG. 18 may include one or more such individual tasks.

図20は、作業のサブセットまたは部分が予定よりも早く完了することがあり、結果的に、作業が最終期限tdeadlineよりも早くtdoneに終了することがあることを示すタイムラインである。そのため、(当業者によって理解されるように)最終期限を守るために必要な時間よりも早く電力を消費する作業にリソースが関わった結果、電力が浪費され得る。 FIG. 20 is a timeline showing that a subset or portion of work may be completed earlier than scheduled, and as a result, work may be completed at t done earlier than the deadline t deadline . As a result, power can be wasted as a result of resources involved in the task of consuming power faster than necessary to meet the deadline (as understood by those skilled in the art).

図21は、図20の浪費された電力状態を緩和するための例示的な方法を示している。状態を緩和するために、早く完了した作業のサブセットまたは部分の後に続く作業のサブセットまたは部分を延期または「先延ばし」することができる。「作業N+1」は、「作業N」の後の作業でリソースを変更することによる電力の影響を回避するために、「作業N」の完了予定時間まで延期され得る。 FIG. 21 illustrates an exemplary method for mitigating the wasted power state of FIG. To alleviate the situation, a subset or portion of the work that follows the subset or portion of the work that was completed earlier may be postponed or “deferred”. "Working N + 1", in order to avoid the work power influence of by changing the resources in after the "work N", may be postponed until the scheduled completion time of "work N".

図22は、個別のタスクのコンセプトをより十分に示しており、たとえば、部分である作業2_1がtstart_1とtstart_0との間に実行され得ることを示している。リソース状態セットのリソースの移行に関わる個別のタスクのいくつかが他のタスクに依存しないので、そのようなタスクが任意の適切な順序で実行され得ることに留意されたい。したがって、たとえば、作業は図19において連続的なタスクに関わるとして示され得るが、作業0_1の前に作業2_1を実行するように、タスクを非連続的に実行しても悪影響がない場合もある。個別のタスクまたは部分の長さが互いに等しくないことがあることにも留意されたい。したがって、作業2_1のような個別のタスクまたは部分のうちの1つが、図22に示す例のtstart_1とtstart_0との間の時間間隔に、当該リソース状態セット移行の他の部分よりも上手く適合する場合、コントローラ101は、そのような順序で部分を実行することによって、方法を最適化することができる。一般に、できるだけ早くリソース状態セット移行に対する可能な最も多くの作業を実行するのが望ましいことがある。したがって、図22に示す例のtstart_1とtstart_0との間の時間間隔にちょうど適合するより長い部分を実行する方が、当該間隔でより短い部分を実行し、その結果、tstart_0の直前に作業が実行されずに隙間が残るよりも望ましいことがある。 Figure 22 shows more fully the concept of individual tasks, for example, indicates that the work 2 _1 is a partial may be performed between the t start _1 and t start _0. Note that such tasks can be performed in any suitable order, as some of the individual tasks involved in migrating resources in the resource state set are independent of other tasks. Thus, for example, work if it can be shown as involved in continuous task 19, to perform the work 2 _1 prior work 0 _1, no adverse effect to perform tasks discontinuously There is also. Note also that the lengths of the individual tasks or parts may not be equal to each other. Therefore, one of the individual tasks or portions such as the work 2 _1, the time interval between t start _1 and t start _0 example shown in FIG. 22, the rest of the of the resource status set migration If they fit well, the controller 101 can optimize the method by executing the parts in such an order. In general, it may be desirable to perform as much work as possible for the resource state set transition as soon as possible. Therefore, executing the longer part that just fits the time interval between t start _1 and t start _0 in the example shown in FIG. 22 executes the shorter part in the interval, resulting in t start _0 It may be preferable to leave no gaps just before the work is not performed.

図23は、リソース状態移行の処理をスケジュールするための方法2300を示す論理フローチャートである。方法2300は、スケジュールされたかスケジュールされていない3つ以上の要求が同時に処理される必要があり得るというコンセプトをより一般的に伝える。(明快にするために、図11〜図22に関して上述した方法は、たった1つまたは2つの要求の処理およびそれらの間の競合状態の可能性に関係する。)   FIG. 23 is a logic flow diagram illustrating a method 2300 for scheduling resource state transition processing. Method 2300 more generally conveys the concept that more than two requests, scheduled or unscheduled, may need to be processed simultaneously. (For clarity, the methods described above with respect to FIGS. 11-22 relate to the processing of just one or two requests and the possibility of a race condition between them.)

方法2300は状態2305に始まり、この状態は、以下の状態のいずれかが生じた結果として到達し得る。コントローラ101が、要求に応答してリソース状態を移行させることに関わる処理または作業を終えている。コントローラ101が、スケジュールされていないリソース状態セット移行要求を受信する。またはコントローラ101が、リソース状態移行を処理するスケジュールされた開始時間(「tstart」)が迫っていると判断する。方法2300の始まりを表すブロック2310において、コントローラ101は、何らかの処理または作業がスケジュールされているか否かを判断する。上記のように、そのような処理または作業を周期的間隔で開始するようにスケジュールできるが、競合状態を緩和するためにスケジュールされた開始時間を修正することができる。 Method 2300 begins at state 2305, which may be reached as a result of any of the following conditions occurring: The controller 101 has finished processing or work related to transitioning the resource state in response to the request. The controller 101 receives an unscheduled resource state set transition request. Alternatively, the controller 101 determines that the scheduled start time (“t start ”) for processing the resource state transition is approaching. In block 2310, which represents the beginning of the method 2300, the controller 101 determines whether any processing or work is scheduled. As described above, such processing or work can be scheduled to start at periodic intervals, but the scheduled start time can be modified to mitigate race conditions.

コントローラ101が、そのようなスケジュールされた処理または作業を実行する時間(「tnow」)であると判断した場合、コントローラ101は、ブロック2315によって示されるように処理または作業を実行する。コントローラ101が、何らかのスケジュールされた処理または作業を実行する時間ではないと判断した場合、コントローラ101は、ブロック2320によって示されるように保留中のスケジュールされていない要求を処理することができる。保留中のスケジュールされていない要求が2つ以上存在することがある。また、スケジュールされていない要求は、それらに関連する優先順位を有し得る。2つ以上のスケジュールされていない要求が保留中である場合、コントローラ101は、そのときから次のスケジュールされた作業開始時間(tstart)まで、最優先の保留中のスケジュールされていない要求の部分に対する作業を行う。次の開始時間tstart_nextは以下の通りである。
tstart_next=(tdeadline_next-作業_next)-tnow
上記の計算のtstart_nextはtnowと対比されていることに留意されたい。
If the controller 101 determines that it is time to perform such a scheduled process or task (“t now ”), the controller 101 performs the process or task as indicated by block 2315. If the controller 101 determines that it is not time to perform any scheduled processing or work, the controller 101 may process the pending unscheduled request as indicated by block 2320. There can be more than one pending unscheduled request. Also, unscheduled requests can have priorities associated with them. If two or more unscheduled requests are pending, the controller 101 determines the portion of the highest priority pending unscheduled request from then to the next scheduled work start time (t start ). Work on. The next start time t start _next is as follows.
t start _next = (t deadline _next-work_next) -t now
Note that t start _next in the above calculation is contrasted with t now .

コントローラ101がスケジュールされていない要求に関連する作業の一部分(図19参照)に対する処理または作業を完了させると、コントローラ101は、ブロック2325によって示されるように、処理または作業がさらなる部分を含むか否かを判断する。さらなる部分が存在する場合、コントローラ101は、ブロック2320に関して上述したのと同様に次の部分に対する作業を行う。上記の「最優先」という用語は、一部の実施形態に含まれ得る優先順位付け方式を指す。たとえば、ユーザがPCD100を「オフにすること」、すなわち、タッチスクリーン132(図1)を通じて低電力状態を開始することから生じるスケジュールされていない要求には、他のスケジュールされていない要求よりも低い優先順位が割り当てられ得る。   When controller 101 completes a process or work for a portion of work associated with an unscheduled request (see FIG. 19), controller 101 determines whether the process or work includes additional parts, as indicated by block 2325. Determine whether. If there are additional parts, the controller 101 operates on the next part in the same manner as described above with respect to block 2320. The term “top priority” above refers to a prioritization scheme that may be included in some embodiments. For example, unscheduled requests that result from a user "turning off" PCD 100, i.e., starting a low power state through touch screen 132 (Fig. 1), are lower than other unscheduled requests A priority may be assigned.

本発明が説明通りに機能するように、本明細書で説明したプロセスまたはプロセスの流れの特定のステップが他のステップよりも前に行われるのは当然である。しかしながら、そのようなステップの順序または順番によって本発明の機能が変わることがない場合、本発明は説明したステップの順序に限定されない。つまり、開示されたシステムおよび方法から逸脱することなく、一部のステップを他のステップの前に実行しても、後に実行してもよく、あるいは各ステップを並行して(実質的に同時に)実行してもよいことを認識されたい。場合によっては、当業者によって理解されるように方法から逸脱することなく、特定のステップを省略してよく、実行しなくてもよい。さらに、「その後」、「次いで」、「次に」などの語は、ステップの順序を限定することを意図していない。これらの語は、単に例示的な方法の説明を通じて読者を導くために使用されている。   Of course, certain steps of the process or process flow described herein may occur before other steps so that the present invention functions as described. However, the present invention is not limited to the described order of steps if the order or order of such steps does not change the function of the present invention. That is, some steps may be performed before or after other steps, or steps may be performed in parallel (substantially simultaneously) without departing from the disclosed systems and methods. It should be appreciated that it may be performed. In some cases, certain steps may be omitted or not performed without departing from the method as will be appreciated by those skilled in the art. Furthermore, terms such as “after”, “next”, “next” are not intended to limit the order of the steps. These terms are only used to guide the reader through the description of exemplary methods.

上記の開示に鑑みて、プログラミングの当業者は、たとえば本明細書のフローチャートおよび関連する説明に基づいて、コンピュータコードを書くか、または適切なハードウェアおよび/もしくは回路を特定し、開示された発明を容易に実施することができる。したがって、特定の1組のプログラムコード命令または詳細なハードウェアデバイスの開示が、本発明をどのように製作し使用すべきかについて適切に理解するうえで必要であるとはみなされない。コンピュータによって実施される特許請求されるプロセスの発明性のある機能が、上の説明において、かつ、様々なプロセスの流れを示し得る各図面に関連して、より詳細に説明される。   In view of the above disclosure, those skilled in the art of programming can write computer code or identify appropriate hardware and / or circuitry, for example, based on the flowcharts and associated descriptions herein, and the disclosed invention. Can be easily implemented. Thus, disclosure of a specific set of program code instructions or detailed hardware devices is not deemed necessary to properly understand how the present invention should be made and used. Inventive features of the claimed process implemented by a computer are described in more detail in the above description and in conjunction with the drawings, which may show various process flows.

1つまたは複数の例示的な態様では、説明した機能は、ハードウェア、ソフトウェア、ファームウェア、またはそれらの任意の組合せで実装することができる。ソフトウェアで実装される場合、機能は、1つまたは複数の命令またはコードとしてコンピュータ可読媒体上に記憶され得る。コンピュータ可読媒体は、コンピュータによってアクセスされ得る任意の利用可能な非一時的媒体を含み得る。限定ではなく例として、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD-ROMもしくは他の光ディスク記憶装置、磁気ディスク記憶装置もしくは他の磁気記憶デバイス、または、命令もしくはデータ構造の形式で所望のプログラムコードを搬送もしくは記憶するために使用され得るとともに、コンピュータによってアクセスされ得る任意の他の媒体を含み得る。   In one or more exemplary aspects, the functions described can be implemented in hardware, software, firmware, or any combination thereof. If implemented in software, the functions may be stored on a computer-readable medium as one or more instructions or code. Computer-readable media can include any available non-transitory media that can be accessed by a computer. By way of example, and not limitation, such computer readable media can be in the form of RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage device, or instructions or data structures. Any other medium that can be used to carry or store the desired program code and that can be accessed by the computer can be included.

本明細書で使用する場合、ディスク(disk)およびディスク(disc)は、コンパクトディスク(「CD」)、レーザディスク、光ディスク、デジタル多用途ディスク(「DVD」)、フレキシブルディスク、およびブルーレイディスクを含み、ディスク(disk)は、通常、磁気的にデータを再生し、ディスク(disc)は、レーザで光学的にデータを再生する。上記の組合せもコンピュータ可読媒体の範囲内に含めるべきである。   As used herein, disk and disc include compact disc (“CD”), laser disc, optical disc, digital versatile disc (“DVD”), flexible disc, and Blu-ray disc. The disk normally reproduces data magnetically, and the disc optically reproduces data with a laser. Combinations of the above should also be included within the scope of computer-readable media.

選択された態様について詳細に図示し説明したが、以下の特許請求の範囲によって定義されるような本発明の趣旨および範囲から逸脱することなく、各態様において様々な置換および改変を実施できることが理解されよう。   Although selected embodiments have been illustrated and described in detail, it will be understood that various substitutions and modifications may be made in each embodiment without departing from the spirit and scope of the invention as defined by the following claims. Let's be done.

100 PCD
101 コントローラモジュール、コントローラ
102 オンチップシステム
103 低レベルドライバ、システム
105 リソース
105A〜C 共用リソース
105A 第1の共用リソース(SR#1)
105B 第2の共用リソース(SR#2)
105C 第Nの共用リソース(SR#N)
105D〜H ローカルリソース
105D 第1のローカルリソース(LR#1)
110 プロセッサ、CPU、マスタプロセッサ、デジタル信号プロセッサ、マルチコア中央処理装置(「CPU」)
110A 第1の中央処理装置(「CPU」)、プロセッサ、第1のマスタプロセッサ(A)
110B 第2のCPU、プロセッサ、マスタプロセッサ
110C マスタプロセッサ
112 メモリ
113A クロックコード
126 プロセッサ、アナログ信号プロセッサ、マスタプロセッサ
128 ディスプレイコントローラ
130 タッチスクリーンドライバ/コントローラ
132 タッチスクリーンディスプレイ、タッチスクリーン
134 ビデオコーダ/デコーダ(「コーデック」)、ビデオエンコーダ
136 ビデオ増幅器
138 ビデオポート
140 ユニバーサルシリアルバス(「USB」)コントローラ
142 USBポート
146 加入者識別モジュール(SIM)カード
148 デジタルカメラ、カメラ
150 ステレオオーディオコーデック
152 オーディオ増幅器
154 第1のステレオスピーカー
156 第2のステレオスピーカー
157 システムパワーマネージャ(「SPM」)
157B 熱センサ
158 マイクロフォン増幅器
160 マイクロフォン
162 周波数変調(「FM」)ラジオチューナー
164 FMアンテナ
166 ステレオヘッドフォン
168 高周波(「RF」)トランシーバ
170 RFスイッチ
172 RFアンテナ
174 キーパッド
176 マイクロフォンを備えたモノヘッドセット
178 バイブレータデバイス、バイブレータ
180 電源
222 第0のコア
224 第1のコア
230 第Nのコア
302 リソーステーブル
304 リソースセット、マスタリソースセット
306 アクティブリソースセット、アクティブセット
308 スリープリソースセット、スリープセット
314 トリガセット
316 割込みフィールド
318 「セットから」フィールド、
320 「セットへ」フィールド
322 トリガ開始列、トリガ開始フィールド
324 クリア列、クリアフィールド、アクティブ列
326 タイマー列、タイマーフィールド、タイマートリガ
702 「A」メモリバッファ、「A」バッファ
704 「B」メモリバッファ、「B」バッファ
706 「C」メモリバッファ、「C」バッファ
902 「A」バッファ
904 「B」バッファ
100 PCD
101 Controller module, controller
102 On-chip system
103 Low-level driver, system
105 Resources
105A ~ C Shared resources
105A First shared resource (SR # 1)
105B Second shared resource (SR # 2)
105C Nth shared resource (SR # N)
105D ~ H Local resource
105D first local resource (LR # 1)
110 processor, CPU, master processor, digital signal processor, multi-core central processing unit (“CPU”)
110A First central processing unit (“CPU”), processor, first master processor (A)
110B Second CPU, processor, master processor
110C master processor
112 memory
113A clock code
126 processors, analog signal processors, master processors
128 display controller
130 Touch Screen Driver / Controller
132 Touch screen display, touch screen
134 Video coder / decoder (`` codec ''), video encoder
136 Video amplifier
138 video port
140 Universal Serial Bus (“USB”) controller
142 USB port
146 Subscriber Identification Module (SIM) card
148 Digital camera, camera
150 stereo audio codecs
152 audio amplifier
154 1st stereo speaker
156 Second stereo speaker
157 System Power Manager (“SPM”)
157B thermal sensor
158 Microphone amplifier
160 microphone
162 Frequency modulation (“FM”) radio tuner
164 FM antenna
166 Stereo headphones
168 radio frequency (“RF”) transceivers
170 RF switch
172 RF antenna
174 keypad
Mono headset with 176 microphone
178 Vibrator device, vibrator
180 power
222 0th core
224 1st core
230 Nth core
302 Resource table
304 resource set, master resource set
306 Active resource set, active set
308 Sleep resource set, sleep set
314 Trigger set
316 Interrupt field
318 From Set field,
320 To Set field
322 Trigger start column, trigger start field
324 Clear column, clear field, active column
326 Timer column, timer field, timer trigger
702 “A” memory buffer, “A” buffer
704 “B” memory buffer, “B” buffer
706 “C” memory buffer, “C” buffer
902 "A" buffer
904 'B' buffer

Claims (28)

少なくとも1つのプロセッサおよび複数のプロセッサリソースを有するポータブルコンピューティングデバイスのアプリケーション状態を管理するための方法であって、
メモリで第1のリソース状態セットおよび第2のリソース状態セットを維持するステップと、
前記第1のリソース状態セットに対応する第1のアプリケーション状態で動作するプロセッサに対し、前記第1のアプリケーション状態から前記第2のリソース状態セットに対応する第2のアプリケーション状態に移行することを求める要求を出すステップと、
前記第2のリソース状態セットで示される状態へのリソース移行を始める開始時間を、前記第2のリソース状態セットで示される状態へのリソース移行を完了させるまでの推定処理時間量に基づいてスケジュールするステップと、
スケジュールされた開始時間に、1つまたは複数のリソースの状態を、前記第1のリソース状態セットによって示される状態から前記第2のリソース状態セットによって示される状態に切り替えるプロセスを始めるステップと
を含む方法。
A method for managing an application state of a portable computing device having at least one processor and a plurality of processor resources comprising:
Maintaining a first resource state set and a second resource state set in memory;
Requesting a processor operating in a first application state corresponding to the first resource state set to transition from the first application state to a second application state corresponding to the second resource state set Making a request;
Schedule the start time for starting the resource transition to the state indicated by the second resource state set based on the estimated amount of processing time until the resource transition to the state indicated by the second resource state set is completed Steps,
Starting a process of switching a state of one or more resources from a state indicated by the first resource state set to a state indicated by the second resource state set at a scheduled start time .
前記第1のリソース状態セットは、前記プロセッサのスリープアプリケーション状態に対応するスリープリソース状態セットであり、
前記第2のリソース状態セットは、前記プロセッサのアクティブアプリケーション状態に対応するアクティブリソース状態セットである、請求項1に記載の方法。
The first resource state set is a sleep resource state set corresponding to a sleep application state of the processor;
The method of claim 1, wherein the second resource state set is an active resource state set corresponding to an active application state of the processor.
開始時間をスケジュールする前記ステップ、および状態を切り替える前記プロセスを始める前記ステップは、コントローラによって実行される、請求項1に記載の方法。   The method of claim 1, wherein the steps of scheduling a start time and starting the process of switching states are performed by a controller. 開始時間をスケジュールするステップは、
第1のプロセッサに対して出される第1の要求に関連する状態を切り替える第1のプロセスと第2のプロセッサに対して出される第2の要求に関連する状態を切り替える第2のプロセスとの間に競合状態が存在するか否かを判断するステップと、
競合状態が存在すると判断された場合に、開始時間を修正することによって前記競合状態を緩和するステップと
を含む、請求項1に記載の方法。
The step of scheduling the start time is
Between a first process that switches state associated with a first request issued to a first processor and a second process that switches state associated with a second request issued to a second processor Determining whether a race condition exists in the
2. The method of claim 1, comprising mitigating the race condition by modifying a start time if it is determined that a race condition exists.
開始時間を修正するステップは、前記第1のプロセスおよび前記第2のプロセスのうちの1つの開始時間を修正して、前記第1のプロセスに関連する処理時間が、前記第2のプロセスに関連する処理時間と重複しないようにするステップを含む、請求項4に記載の方法。   The step of modifying the start time is modifying the start time of one of the first process and the second process, so that the processing time associated with the first process is associated with the second process. 5. The method of claim 4, comprising the step of not overlapping with the processing time to be performed. 開始時間を修正するステップは、前記第1のプロセスおよび前記第2のプロセスのうちの1つの開始時間を修正して、前記第2のプロセスのうちのいずれかが実行される前に前記第1のプロセスの第1の部分が実行され、前記第2のプロセスの少なくとも一部分が実行された後に前記第1のプロセスの第2の部分が実行されるようにするステップを含む、請求項5に記載の方法。   Modifying a start time includes modifying the start time of one of the first process and the second process so that any of the second processes is executed before the first process is executed. 6. The method of claim 5, comprising performing a first portion of the second process and executing a second portion of the first process after at least a portion of the second process is performed. the method of. 前記ポータブルコンピューティングデバイスは、セルラー電話、衛星電話、ページャ、携帯情報端末(PDA)、スマートフォン、ナビゲーションデバイス、スマートブックまたはリーダー、メディアプレーヤ、およびワイヤレス接続を有するラップトップコンピュータのうちの少なくとも1つを含む、請求項1に記載の方法。   The portable computing device comprises at least one of a cellular phone, a satellite phone, a pager, a personal digital assistant (PDA), a smartphone, a navigation device, a smart book or reader, a media player, and a laptop computer having a wireless connection. The method of claim 1 comprising: 少なくとも1つのプロセッサおよび複数のプロセッサリソースを有するポータブルコンピューティングデバイスのアプリケーション状態を管理するためのコンピュータシステムであって、
処理エンティティを含み、前記処理エンティティは、
メモリで第1のリソース状態セットおよび第2のリソース状態セットを維持するステップと、
前記第1のリソース状態セットに対応する第1のアプリケーション状態で動作するプロセッサに対し、前記第1のアプリケーション状態から前記第2のリソース状態セットに対応する第2のアプリケーション状態に移行することを求める要求を出すステップと、
前記第2のリソース状態セットで示される状態へのリソース移行を始める開始時間を、前記第2のリソース状態セットで示される状態へのリソース移行を完了させるまでの推定処理時間量に基づいてスケジュールするステップと、
スケジュールされた開始時間に、1つまたは複数のリソースの状態を、前記第1のリソース状態セットによって示される状態から前記第2のリソース状態セットによって示される状態に切り替えるプロセスを始めるステップと
を行うように動作可能である、コンピュータシステム。
A computer system for managing an application state of a portable computing device having at least one processor and a plurality of processor resources comprising:
Including a processing entity, the processing entity comprising:
Maintaining a first resource state set and a second resource state set in memory;
Requesting a processor operating in a first application state corresponding to the first resource state set to transition from the first application state to a second application state corresponding to the second resource state set Making a request;
Schedule the start time for starting the resource transition to the state indicated by the second resource state set based on the estimated amount of processing time until the resource transition to the state indicated by the second resource state set is completed Steps,
Starting a process of switching the state of one or more resources from the state indicated by the first resource state set to the state indicated by the second resource state set at a scheduled start time A computer system that is operable on.
前記第1のリソース状態セットは、前記プロセッサのスリープアプリケーション状態に対応するスリープリソース状態セットであり、
前記第2のリソース状態セットは、前記プロセッサのアクティブアプリケーション状態に対応するアクティブリソース状態セットである、請求項8に記載のコンピュータシステム。
The first resource state set is a sleep resource state set corresponding to a sleep application state of the processor;
9. The computer system of claim 8, wherein the second resource state set is an active resource state set corresponding to an active application state of the processor.
開始時間をスケジュールする前記ステップ、および状態を切り替える前記プロセスを始める前記ステップは、コントローラによって実行される、請求項8に記載のコンピュータシステム。   9. The computer system of claim 8, wherein the step of scheduling a start time and the step of initiating the process of switching states are performed by a controller. 開始時間をスケジュールするステップは、
第1のプロセッサに対して出される第1の要求に関連する状態を切り替える第1のプロセスと第2のプロセッサに対して出される第2の要求に関連する状態を切り替える第2のプロセスとの間に競合状態が存在するか否かを判断するステップと、
競合状態が存在すると判断された場合に、開始時間を修正することによって前記競合状態を緩和するステップと
を含む、請求項8に記載のコンピュータシステム。
The step of scheduling the start time is
Between a first process that switches state associated with a first request issued to a first processor and a second process that switches state associated with a second request issued to a second processor Determining whether a race condition exists in the
9. The computer system according to claim 8, further comprising: relieving the race condition by correcting a start time when it is determined that a race condition exists.
開始時間を修正するステップは、前記第1のプロセスおよび前記第2のプロセスのうちの1つの開始時間を修正して、前記第1のプロセスに関連する処理時間が、前記第2のプロセスに関連する処理時間と重複しないようにするステップを含む、請求項11に記載のコンピュータシステム。   The step of modifying the start time is modifying the start time of one of the first process and the second process, so that the processing time associated with the first process is associated with the second process. 12. The computer system according to claim 11, comprising a step of not overlapping with a processing time to be performed. 開始時間を修正するステップは、前記第1のプロセスおよび前記第2のプロセスのうちの1つの開始時間を修正して、前記第2のプロセスのうちのいずれかが実行される前に前記第1のプロセスの第1の部分が実行され、前記第2のプロセスの少なくとも一部分が実行された後に前記第1のプロセスの第2の部分が実行されるようにするステップを含む、請求項11に記載のコンピュータシステム。   Modifying a start time includes modifying the start time of one of the first process and the second process so that any of the second processes is executed before the first process is executed. The method of claim 11, comprising performing a first portion of the first process and executing a second portion of the first process after at least a portion of the second process is performed. Computer system. 前記ポータブルコンピューティングデバイスは、セルラー電話、衛星電話、ページャ、携帯情報端末(PDA)、スマートフォン、ナビゲーションデバイス、スマートブックまたはリーダー、メディアプレーヤ、およびワイヤレス接続を有するラップトップコンピュータのうちの少なくとも1つを含む、請求項8に記載のコンピュータシステム。   The portable computing device comprises at least one of a cellular phone, a satellite phone, a pager, a personal digital assistant (PDA), a smartphone, a navigation device, a smart book or reader, a media player, and a laptop computer having a wireless connection. 9. The computer system according to claim 8, comprising: 少なくとも1つのプロセッサおよび複数のプロセッサリソースを有するポータブルコンピューティングデバイスのアプリケーション状態を管理するためのコンピュータシステムであって、
少なくとも1つのプロセッサおよび複数のプロセッサリソースを有するポータブルコンピューティングデバイスのアプリケーション状態を管理するための手段を含み、前記手段は、
メモリで第1のリソース状態セットおよび第2のリソース状態セットを維持するための手段と、
前記第1のリソース状態セットに対応する第1のアプリケーション状態で動作するプロセッサに対し、前記第1のアプリケーション状態から前記第2のリソース状態セットに対応する第2のアプリケーション状態に移行することを求める要求を出すための手段と、
前記第2のリソース状態セットで示される状態へのリソース移行を始める開始時間を、前記第2のリソース状態セットで示される状態へのリソース移行を完了させるまでの推定処理時間量に基づいてスケジュールするための手段と、
スケジュールされた開始時間に、1つまたは複数のリソースの状態を、前記第1のリソース状態セットによって示される状態から前記第2のリソース状態セットによって示される状態に切り替えるプロセスを始めるための手段と
を含む、コンピュータシステム。
A computer system for managing an application state of a portable computing device having at least one processor and a plurality of processor resources comprising:
Means for managing an application state of a portable computing device having at least one processor and a plurality of processor resources, the means comprising:
Means for maintaining a first resource state set and a second resource state set in memory;
Requesting a processor operating in a first application state corresponding to the first resource state set to transition from the first application state to a second application state corresponding to the second resource state set Means for making a request;
Schedule the start time for starting the resource transition to the state indicated by the second resource state set based on the estimated amount of processing time until the resource transition to the state indicated by the second resource state set is completed Means for
Means for initiating a process of switching the state of one or more resources from the state indicated by the first resource state set to the state indicated by the second resource state set at a scheduled start time; Including computer system.
前記第1のリソース状態セットは、前記プロセッサのスリープアプリケーション状態に対応するスリープリソース状態セットであり、
前記第2のリソース状態セットは、前記プロセッサのアクティブアプリケーション状態に対応するアクティブリソース状態セットである、請求項15に記載のコンピュータシステム。
The first resource state set is a sleep resource state set corresponding to a sleep application state of the processor;
The computer system of claim 15, wherein the second resource state set is an active resource state set corresponding to an active application state of the processor.
開始時間をスケジュールするための前記手段、および状態を切り替える前記プロセスを始めるための前記手段は、コントローラを含む、請求項15に記載のコンピュータシステム。   The computer system of claim 15, wherein the means for scheduling a start time and the means for initiating the process of switching states includes a controller. 開始時間をスケジュールするための前記手段は、
第1のプロセッサに対して出される第1の要求に関連する状態を切り替える第1のプロセスと第2のプロセッサに対して出される第2の要求に関連する状態を切り替える第2のプロセスとの間に競合状態が存在するか否かを判断するための手段と、
競合状態が存在すると判断された場合に、開始時間を修正することによって前記競合状態を緩和するための手段と
を含む、請求項15に記載のコンピュータシステム。
Said means for scheduling a start time comprises:
Between a first process that switches state associated with a first request issued to a first processor and a second process that switches state associated with a second request issued to a second processor Means for determining whether or not a race condition exists,
16. The computer system of claim 15, comprising means for mitigating the race condition by modifying a start time if it is determined that a race condition exists.
開始時間を修正するための前記手段は、前記第1のプロセスおよび前記第2のプロセスのうちの1つの開始時間を修正して、前記第1のプロセスに関連する処理時間が、前記第2のプロセスに関連する処理時間と重複しないようにするための手段を含む、請求項18に記載のコンピュータシステム。   The means for correcting a start time corrects a start time of one of the first process and the second process so that a processing time associated with the first process is equal to the second process. 19. The computer system of claim 18, comprising means for avoiding overlap with processing time associated with the process. 開始時間を修正するための前記手段は、前記第1のプロセスおよび前記第2のプロセスのうちの1つの開始時間を修正して、前記第2のプロセスのうちのいずれかが実行される前に前記第1のプロセスの第1の部分が実行され、前記第2のプロセスの少なくとも一部分が実行された後に前記第1のプロセスの第2の部分が実行されるようにするための手段を含む、請求項19に記載のコンピュータシステム。   The means for correcting a start time corrects the start time of one of the first process and the second process before any of the second processes are executed. Means for causing a second part of the first process to be executed after a first part of the first process is executed and at least a part of the second process is executed; The computer system according to claim 19. 前記ポータブルコンピューティングデバイスは、セルラー電話、衛星電話、ページャ、携帯情報端末(PDA)、スマートフォン、ナビゲーションデバイス、スマートブックまたはリーダー、メディアプレーヤ、およびワイヤレス接続を有するラップトップコンピュータのうちの少なくとも1つを含む、請求項15に記載のコンピュータシステム。   The portable computing device comprises at least one of a cellular phone, a satellite phone, a pager, a personal digital assistant (PDA), a smartphone, a navigation device, a smart book or reader, a media player, and a laptop computer having a wireless connection. The computer system of claim 15, comprising: コンピュータ可読プログラムコードからなるコンピュータプログラムであって、前記コンピュータ可読プログラムコードは、少なくとも1つのプロセッサおよび複数のプロセッサリソースを有するポータブルコンピューティングデバイスのアプリケーション状態を管理するための方法を実施するために実行されるように適合される、コンピュータプログラムにおいて、前記方法は、
メモリで第1のリソース状態セットおよび第2のリソース状態セットを維持するステップと、
前記第1のリソース状態セットに対応する第1のアプリケーション状態で動作するプロセッサに対し、前記第1のアプリケーション状態から前記第2のリソース状態セットに対応する第2のアプリケーション状態に移行することを求める要求を出すステップと、
前記第2のリソース状態セットで示される状態へのリソース移行を始める開始時間を、前記第2のリソース状態セットで示される状態へのリソース移行を完了させるまでの推定処理時間量に基づいてスケジュールするステップと、
スケジュールされた開始時間に、1つまたは複数のリソースの状態を、前記第1のリソース状態セットによって示される状態から前記第2のリソース状態セットによって示される状態に切り替えるプロセスを始めるステップと
を含む、コンピュータプログラム。
A computer program comprising computer readable program code, the computer readable program code being executed to implement a method for managing an application state of a portable computing device having at least one processor and a plurality of processor resources. In a computer program adapted to:
Maintaining a first resource state set and a second resource state set in memory;
Requesting a processor operating in a first application state corresponding to the first resource state set to transition from the first application state to a second application state corresponding to the second resource state set Making a request;
Schedule the start time for starting the resource transition to the state indicated by the second resource state set based on the estimated amount of processing time until the resource transition to the state indicated by the second resource state set is completed Steps,
Starting a process of switching a state of one or more resources from a state indicated by the first resource state set to a state indicated by the second resource state set at a scheduled start time; Computer program.
前記第1のリソース状態セットは、前記プロセッサのスリープアプリケーション状態に対応するスリープリソース状態セットであり、
前記第2のリソース状態セットは、前記プロセッサのアクティブアプリケーション状態に対応するアクティブリソース状態セットである、請求項22に記載のコンピュータプログラム。
The first resource state set is a sleep resource state set corresponding to a sleep application state of the processor;
23. The computer program according to claim 22, wherein the second resource state set is an active resource state set corresponding to an active application state of the processor.
開始時間をスケジュールする前記ステップ、および状態を切り替える前記プロセスを始める前記ステップは、コントローラによって実行される、請求項22に記載のコンピュータプログラム。   23. The computer program product of claim 22, wherein the step of scheduling a start time and the step of initiating the process of switching states are performed by a controller. 開始時間をスケジュールするステップは、
第1のプロセッサに対して出される第1の要求に関連する状態を切り替える第1のプロセスと第2のプロセッサに対して出される第2の要求に関連する状態を切り替える第2のプロセスとの間に競合状態が存在するか否かを判断するステップと、
競合状態が存在すると判断された場合に、開始時間を修正することによって前記競合状態を緩和するステップと
を含む、請求項22に記載のコンピュータプログラム。
The step of scheduling the start time is
Between a first process that switches state associated with a first request issued to a first processor and a second process that switches state associated with a second request issued to a second processor Determining whether a race condition exists in the
23. The computer program according to claim 22, further comprising the step of relieving the race condition by correcting a start time when it is determined that a race condition exists.
開始時間を修正するステップは、前記第1のプロセスおよび前記第2のプロセスのうちの1つの開始時間を修正して、前記第1のプロセスに関連する処理時間が、前記第2のプロセスに関連する処理時間と重複しないようにするステップを含む、請求項25に記載のコンピュータプログラム。   The step of modifying the start time is modifying the start time of one of the first process and the second process, so that the processing time associated with the first process is associated with the second process. 26. The computer program according to claim 25, further comprising a step of not overlapping with a processing time to be performed. 開始時間を修正するステップは、前記第1のプロセスおよび前記第2のプロセスのうちの1つの開始時間を修正して、前記第2のプロセスのうちのいずれかが実行される前に前記第1のプロセスの第1の部分が実行され、前記第2のプロセスの少なくとも一部分が実行された後に前記第1のプロセスの第2の部分が実行されるようにするステップを含む、請求項26に記載のコンピュータプログラム。   Modifying a start time includes modifying the start time of one of the first process and the second process so that any of the second processes is executed before the first process is executed. 27. The method of claim 26, comprising performing a first portion of the second process and executing a second portion of the first process after at least a portion of the second process is performed. Computer program. 前記ポータブルコンピューティングデバイスは、セルラー電話、衛星電話、ページャ、携帯情報端末(PDA)、スマートフォン、ナビゲーションデバイス、スマートブックまたはリーダー、メディアプレーヤ、およびワイヤレス接続を有するラップトップコンピュータのうちの少なくとも1つを含む、請求項22に記載のコンピュータプログラム。   The portable computing device comprises at least one of a cellular phone, satellite phone, pager, personal digital assistant (PDA), smart phone, navigation device, smart book or reader, media player, and laptop computer having a wireless connection. 23. The computer program according to claim 22, comprising:
JP2013541076A 2010-12-21 2011-12-01 Minimizing resource latency between processor application states in portable computing devices by scheduling resource set migration Expired - Fee Related JP5605970B2 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201061425677P 2010-12-21 2010-12-21
US61/425,677 2010-12-21
US201161544927P 2011-10-07 2011-10-07
US61/544,927 2011-10-07
US13/291,767 US9104499B2 (en) 2010-12-21 2011-11-08 System for minimizing resource latency between processor application states in a portable computing device by scheduling resource state set transitions
US13/291,767 2011-11-08
PCT/US2011/062940 WO2012087534A1 (en) 2010-12-21 2011-12-01 Minimizing resource latency between processor application states in a portable computing device by scheduling resource set transitions

Publications (2)

Publication Number Publication Date
JP2013544006A true JP2013544006A (en) 2013-12-09
JP5605970B2 JP5605970B2 (en) 2014-10-15

Family

ID=45319404

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013541076A Expired - Fee Related JP5605970B2 (en) 2010-12-21 2011-12-01 Minimizing resource latency between processor application states in portable computing devices by scheduling resource set migration

Country Status (6)

Country Link
US (1) US9104499B2 (en)
EP (1) EP2656170B1 (en)
JP (1) JP5605970B2 (en)
KR (1) KR101503627B1 (en)
CN (1) CN103270471B (en)
WO (1) WO2012087534A1 (en)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9285856B2 (en) 2010-12-21 2016-03-15 Qualcomm Incorporated Method and system for rapid entry into and for rapid exiting from sleep states for processors of a portable computing device
US8966625B1 (en) 2011-05-24 2015-02-24 Palo Alto Networks, Inc. Identification of malware sites using unknown URL sites and newly registered DNS addresses
US8555388B1 (en) 2011-05-24 2013-10-08 Palo Alto Networks, Inc. Heuristic botnet detection
US8954980B2 (en) 2011-11-11 2015-02-10 Qualcomm Incorporated Conserving power through work load estimation for a portable computing device using scheduled resource set transitions
US9215239B1 (en) 2012-09-28 2015-12-15 Palo Alto Networks, Inc. Malware detection based on traffic analysis
US9104870B1 (en) 2012-09-28 2015-08-11 Palo Alto Networks, Inc. Detecting malware
US9811665B1 (en) 2013-07-30 2017-11-07 Palo Alto Networks, Inc. Static and dynamic security analysis of apps for mobile devices
US9613210B1 (en) 2013-07-30 2017-04-04 Palo Alto Networks, Inc. Evaluating malware in a virtual machine using dynamic patching
US10019575B1 (en) 2013-07-30 2018-07-10 Palo Alto Networks, Inc. Evaluating malware in a virtual machine using copy-on-write
KR20150017897A (en) * 2013-08-08 2015-02-23 삼성전자주식회사 User equipment and method to process sensor output using a plurality of processors
US9927866B2 (en) * 2013-11-21 2018-03-27 Qualcomm Incorporated Method and system for optimizing a core voltage level and enhancing frequency performance of individual subcomponents for reducing power consumption within a PCD
US9489516B1 (en) 2014-07-14 2016-11-08 Palo Alto Networks, Inc. Detection of malware using an instrumented virtual machine environment
WO2016026108A1 (en) * 2014-08-20 2016-02-25 华为技术有限公司 Application program switch method, apparatus and electronic terminal
US9805193B1 (en) * 2014-12-18 2017-10-31 Palo Alto Networks, Inc. Collecting algorithmically generated domains
US9542554B1 (en) 2014-12-18 2017-01-10 Palo Alto Networks, Inc. Deduplicating malware
CN106599007B (en) * 2015-10-20 2020-10-02 阿里巴巴集团控股有限公司 Method and device for inquiring related resources of internet information resources
CN106569411B (en) * 2016-10-31 2020-01-10 北京小米移动软件有限公司 Intelligent cooking utensil reminding method and device
US10990467B2 (en) 2016-12-15 2021-04-27 Nutanix, Inc. Accessing computing resource attributes of an external service provider
US10067691B1 (en) 2017-03-02 2018-09-04 Qualcomm Incorporated System and method for dynamic control of shared memory management resources
CN107273092B (en) * 2017-05-03 2020-09-01 北京中科睿芯科技有限公司 Method and system for optimizing memory access delay of data stream architecture
US10956573B2 (en) 2018-06-29 2021-03-23 Palo Alto Networks, Inc. Dynamic analysis techniques for applications
US11010474B2 (en) 2018-06-29 2021-05-18 Palo Alto Networks, Inc. Dynamic analysis techniques for applications
US11196765B2 (en) 2019-09-13 2021-12-07 Palo Alto Networks, Inc. Simulating user interactions for malware analysis
KR102287318B1 (en) * 2019-11-15 2021-08-09 현대자동차주식회사 Apparatus for synchronizing runnable based on AUTOSAR, and method thereof
US11750714B2 (en) * 2020-03-31 2023-09-05 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Fast resumption of dormant sessions on a client device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060146769A1 (en) * 2004-12-31 2006-07-06 Patel Anil N Method of operating a WLAN mobile station

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461266A (en) 1990-11-27 1995-10-24 Hitachi, Ltd. Power consumption control system
US5692197A (en) 1995-03-31 1997-11-25 Sun Microsystems, Inc. Method and apparatus for reducing power consumption in a computer network without sacrificing performance
US5812860A (en) 1996-02-12 1998-09-22 Intel Corporation Method and apparatus providing multiple voltages and frequencies selectable based on real time criteria to control power consumption
JPH09244940A (en) 1996-03-12 1997-09-19 Hitachi Ltd Method for managing distributed computer resource
WO1997044737A1 (en) 1996-05-22 1997-11-27 Geovector Corporation Mehtod and apparatus for controlling electrical devices in response to sensed conditions
JP3463555B2 (en) 1998-03-17 2003-11-05 ソニー株式会社 Wireless communication method, wireless communication system, communication station, and control station
SG83684A1 (en) 1998-07-07 2001-10-16 Compaq Computer Corp Computer system performing machine specific tasks before going to a low power state
US6535798B1 (en) 1998-12-03 2003-03-18 Intel Corporation Thermal management in a system
US6823516B1 (en) 1999-08-10 2004-11-23 Intel Corporation System and method for dynamically adjusting to CPU performance changes
GB2360670B (en) * 2000-03-22 2004-02-04 At & T Lab Cambridge Ltd Power management system
US7062302B2 (en) 2000-05-12 2006-06-13 Denso Corporation Mobile terminal having power saving function variable with microphone usage conditions
US20030061383A1 (en) * 2001-09-25 2003-03-27 Zilka Anthony M. Predicting processor inactivity for a controlled transition of power states
US20030110153A1 (en) 2001-12-11 2003-06-12 Sprint Communications Company L.P. Database performance monitoring method and tool
US7089430B2 (en) 2001-12-21 2006-08-08 Intel Corporation Managing multiple processor performance states
US6848057B2 (en) 2002-05-28 2005-01-25 Nvidia Corporation Method and apparatus for providing a decoupled power management state
JP4749793B2 (en) 2004-08-05 2011-08-17 パナソニック株式会社 Power saving processing apparatus, power saving processing method, and power saving processing program
US7360106B2 (en) 2004-08-05 2008-04-15 Matsushita Electric Industrial Co., Ltd. Power-saving processing unit, power-saving processing method and program record medium
US7383450B2 (en) 2004-12-22 2008-06-03 Intel Corporation Low power firmware
KR101114984B1 (en) * 2005-03-14 2012-03-06 삼성전자주식회사 Method and Apparatus for Power Control Method with Variable Wake-up and Sleep latency
KR100685664B1 (en) 2005-08-12 2007-02-26 삼성전자주식회사 Data communication system including host and client, and method of operating the data communication system
JP2007232588A (en) 2006-03-01 2007-09-13 Nec Electronics Corp Semiconductor integrated circuit device, and control method
US7929912B2 (en) * 2006-04-04 2011-04-19 Texas Instruments Incorporated Apparatus for and method of Bluetooth and WiMAX coexistence in a mobile handset
US8041972B2 (en) 2006-04-04 2011-10-18 Qualcomm Incorporated Apparatus and method for setting wakeup times in a communication device based on estimated lock on time of frequency synthesizer
FI20065449A0 (en) 2006-06-29 2006-06-29 Nokia Corp Power consumption monitoring method, power consumption monitoring device, computer program product, computer program distribution medium and communication medium
US7689849B2 (en) 2006-08-28 2010-03-30 Ati Technologies Ulc Reduction of power consumption by throttling processor requests
US9146600B2 (en) * 2006-10-11 2015-09-29 Texas Instruments Incorporated Array and peripheral power control decoded from circuitry and registers
TWI320908B (en) 2006-10-27 2010-02-21 Ind Tech Res Inst Apparatus and method for increasing the utilization by the processors on the shared resources
JP4748057B2 (en) * 2006-12-28 2011-08-17 ソニー株式会社 Information processing apparatus, activation method, and program
US7962775B1 (en) * 2007-01-10 2011-06-14 Marvell International Ltd. Methods and apparatus for power mode control for PDA with separate communications and applications processors
US7941682B2 (en) 2007-05-09 2011-05-10 Gainspan, Inc. Optimum power management of system on chip based on tiered states of operation
US8725488B2 (en) 2007-07-26 2014-05-13 Qualcomm Incorporated Method and apparatus for adaptive voltage scaling based on instruction usage
US20090049314A1 (en) 2007-08-13 2009-02-19 Ali Taha Method and System for Dynamic Voltage and Frequency Scaling (DVFS)
US8176341B2 (en) 2008-03-31 2012-05-08 Intel Corporation Platform power management based on latency guidance
US8020025B2 (en) * 2008-06-04 2011-09-13 Sony Ericsson Mobile Communications Ab Power saving scheduler for timed events
US8250579B2 (en) 2008-06-27 2012-08-21 Oracle America, Inc. Method for stage-based cost analysis for task scheduling
US8108696B2 (en) * 2008-07-24 2012-01-31 International Business Machines Corporation Optimizing non-preemptible read-copy update for low-power usage by avoiding unnecessary wakeups
US8281169B2 (en) 2008-08-27 2012-10-02 Wireless Silicon Group, Inc. Method and system for power management for a handheld mobile electronic device executing-in-place an application kernel from execute-in-place non-volatile memory (XIP NVM)
US8219994B2 (en) 2008-10-23 2012-07-10 Globalfoundries Inc. Work balancing scheduler for processor cores and methods thereof
US20100115144A1 (en) * 2008-10-31 2010-05-06 Justin Tyler Dubs Wireless Switch State Using Controller Powered with System in Various Low-Powered States
WO2010058252A1 (en) 2008-11-24 2010-05-27 Freescale Semiconductor, Inc. Multimode voltage regulator and method for providing a multimode voltage regulator output voltage and an output current to a load
CN101414271A (en) 2008-12-04 2009-04-22 浙江大学 Scheduling method based on hardware timer and task queue for multi-nuclear platform
US20100191814A1 (en) 2008-12-23 2010-07-29 Marco Heddes System-On-A-Chip Employing A Network Of Nodes That Utilize Receive Side Flow Control Over Channels For Messages Communicated Therebetween
EP2205029A1 (en) * 2009-01-06 2010-07-07 Thomson Licensing A method for scheduling wake/sleep cycles by a central device in a wireless network
TWI474734B (en) * 2009-01-23 2015-02-21 Realtek Semiconductor Corp Power management method for a wireless communication device and wireless communication device
US8271818B2 (en) 2009-04-30 2012-09-18 Hewlett-Packard Development Company, L.P. Managing under-utilized resources in a computer
JP5187277B2 (en) 2009-06-16 2013-04-24 ソニー株式会社 Information processing apparatus and mode switching method
US8190939B2 (en) 2009-06-26 2012-05-29 Microsoft Corporation Reducing power consumption of computing devices by forecasting computing performance needs
US8683476B2 (en) 2009-06-30 2014-03-25 Oracle America, Inc. Method and system for event-based management of hardware resources using a power state of the hardware resources
US8230249B2 (en) * 2009-07-15 2012-07-24 International Business Machines Corporation Dynamic selection of server states for servers in a cluster of servers
US7906996B1 (en) 2009-08-18 2011-03-15 Nxp B.V. System and method for controlling an integrated circuit in different operational modes
EP2323035B1 (en) 2009-11-16 2019-04-17 Red Bend Software Scheduling system
US8583945B2 (en) 2010-01-14 2013-11-12 Muse Green Investments LLC Minimizing power consumption in computers
US8271812B2 (en) 2010-04-07 2012-09-18 Apple Inc. Hardware automatic performance state transitions in system on processor sleep and wake events
US8335938B2 (en) 2010-06-11 2012-12-18 Kevin Howard Orr Method and device for activation of components
US8589932B2 (en) 2010-07-02 2013-11-19 International Business Machines Corporation Data processing workload control
US8806232B2 (en) 2010-09-30 2014-08-12 Apple Inc. Systems and method for hardware dynamic cache power management via bridge and power manager
US8694811B2 (en) * 2010-10-29 2014-04-08 Texas Instruments Incorporated Power management for digital devices
US9285856B2 (en) 2010-12-21 2016-03-15 Qualcomm Incorporated Method and system for rapid entry into and for rapid exiting from sleep states for processors of a portable computing device
US20120291043A1 (en) 2010-12-21 2012-11-15 Qualcomm Incorporated Minimizing Resource Latency Between Processor Application States In A Portable Computing Device By Using A Next-Active State Set
US20120284729A1 (en) 2011-05-03 2012-11-08 Microsoft Corporation Processor state-based thread scheduling
US20120323399A1 (en) 2011-06-15 2012-12-20 Encelium Holdings, Inc. Bus network
US20130007492A1 (en) 2011-06-30 2013-01-03 Sokol Jr Joseph Timer interrupt latency
US8954980B2 (en) 2011-11-11 2015-02-10 Qualcomm Incorporated Conserving power through work load estimation for a portable computing device using scheduled resource set transitions

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060146769A1 (en) * 2004-12-31 2006-07-06 Patel Anil N Method of operating a WLAN mobile station

Also Published As

Publication number Publication date
WO2012087534A1 (en) 2012-06-28
KR20130105890A (en) 2013-09-26
KR101503627B1 (en) 2015-03-18
US9104499B2 (en) 2015-08-11
US20120291042A1 (en) 2012-11-15
CN103270471B (en) 2016-07-06
JP5605970B2 (en) 2014-10-15
EP2656170A1 (en) 2013-10-30
EP2656170B1 (en) 2020-01-15
CN103270471A (en) 2013-08-28

Similar Documents

Publication Publication Date Title
JP5605970B2 (en) Minimizing resource latency between processor application states in portable computing devices by scheduling resource set migration
JP5824162B2 (en) Conserving power through workload estimation for portable computing devices using scheduled resource set migration
JP5734505B2 (en) Method and system for dynamically controlling power to multiple cores in a multi-core processor of a portable computing device
US8909962B2 (en) System and method for controlling central processing unit power with guaranteed transient deadlines
US10564708B2 (en) Opportunistic waking of an application processor
JP5649254B2 (en) Method and system for a processor of a portable computing device to quickly enter and exit a sleep state
US20120291043A1 (en) Minimizing Resource Latency Between Processor Application States In A Portable Computing Device By Using A Next-Active State Set
JP2015513735A (en) Method and system for scheduling requests in portable computing devices
US9507641B1 (en) System and method for dynamic granularity control of parallelized work in a portable computing device (PCD)
US20160147577A1 (en) System and method for adaptive thread control in a portable computing device (pcd)
JP6151465B1 (en) Latency-based power mode unit for controlling the power mode of a processor core, and related methods and systems

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140428

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140708

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140804

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140825

R150 Certificate of patent or registration of utility model

Ref document number: 5605970

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees