JP2013090313A - Timing adjustment circuit - Google Patents

Timing adjustment circuit Download PDF

Info

Publication number
JP2013090313A
JP2013090313A JP2011232489A JP2011232489A JP2013090313A JP 2013090313 A JP2013090313 A JP 2013090313A JP 2011232489 A JP2011232489 A JP 2011232489A JP 2011232489 A JP2011232489 A JP 2011232489A JP 2013090313 A JP2013090313 A JP 2013090313A
Authority
JP
Japan
Prior art keywords
delay
signals
propagation path
signal
trailing edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011232489A
Other languages
Japanese (ja)
Inventor
Masaho Kimura
公穂 木村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Japan Radio Co Ltd
Original Assignee
Japan Radio Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Japan Radio Co Ltd filed Critical Japan Radio Co Ltd
Priority to JP2011232489A priority Critical patent/JP2013090313A/en
Publication of JP2013090313A publication Critical patent/JP2013090313A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a timing adjustment circuit which is used to adjust timing for a difference in delay time or a deviation in that delay time on a propagation line where a plurality of signals are transferred between modules, packages or circuits, and which can be flexibly adapted to suit the arrangement, path and characteristics of the propagation line, as well as its environment conditions and passage of time.SOLUTION: The timing adjustment circuit comprises: delay means of adding a delay successively to pulse signals received via a first propagation line to generate plural N delay signals; change point discrimination means of latching instantaneous values of the plural N delay signals synchronously with a signal received via a second propagation line which is different from the first propagation line, to discriminate between the leading and the trailing edges in time sequences of the instantaneous values of the plural N delay signals; and selection means of selecting a delay signal among the plural N delay signals which corresponds in the time sequences to the leading or the trailing edge or a point of time closest to the leading or the trailing edge.

Description

本発明は、電子機器に配置されたモジュール、パッケージ、回路の何れかの間、あるいは半導体チップ上に配置された回路の間で引き渡される複数の信号に、これらの信号の引き渡しに供される伝搬路の遅延時間の差やその遅延時間の偏差に適した形態でタイミングの調整を施すタイミング調整回路に関する。   The present invention relates to a plurality of signals delivered between any of modules, packages, and circuits arranged in an electronic device, or between circuits arranged on a semiconductor chip, and propagation used to deliver these signals. The present invention relates to a timing adjustment circuit that adjusts timing in a form suitable for a difference in road delay time and a deviation of the delay time.

電子機器に備えられたモジュール、パッケージ、回路の何れかの間と、半導体チップ上に配置された回路間とでは、以下に列記する何れかの技術が適用されることにより、引き渡されるべき信号のタイミングの適切な設定が図られる。   By applying any of the technologies listed below between any of the modules, packages, and circuits provided in the electronic device and between the circuits arranged on the semiconductor chip, An appropriate timing can be set.

(1) 引き渡されるべき信号がクロック信号と、そのクロック信号に同期したデータとである場合に、これらのクロック信号とデータとのそれぞれの引き渡しに供される線路(配線)の長さが予め同じ値に設定される技術 (1) When the signal to be delivered is a clock signal and data synchronized with the clock signal, the lengths of the lines (wirings) used for delivering the clock signal and data are the same in advance. Technology set to the value

(2) 信号を取り込む回路(以下、「受信回路」という。)において、PLL(Phase Locked Loop)等を介して上記データのクロック信号に対する再同期が図られる技術 (2) A technique for resynchronizing the above data with the clock signal via a PLL (Phase Locked Loop) or the like in a signal capturing circuit (hereinafter referred to as “receiving circuit”).

(3) データがFIFO(First-In First-Out)等のバッファメモリに一旦蓄積され、位相が異なる複数のクロック信号の内、上記バッファメモリから同期して読み出されたデータが最も確からしいクロック信号が特定される技術 (3) Data is temporarily stored in a buffer memory such as FIFO (First-In First-Out), and among the clock signals with different phases, the data that is read from the buffer memory synchronously is the most probable clock. Technology for identifying signals

なお、本発明に関連性がある先行技術としては、以下に列記する特許文献1ないし特許文献6がある。
(1) 「論理的機能、物理的構成要素及び物理的配置が実質的に同一にされた2個のプロセッサモジュールと、上記2個のプロセッサモジュールにおける対応する信号の一致/不一致を検出して外部に出力させる比較回路とが同一の半導体チップ上に形成されてなり、上記2個のプロセッサモジュールのうち、比較回路に対して近接されて設けられた一方のプロセッサモジュール側の信号経路に、他方のプロセッサモジュールと比較回路との信号伝搬遅延時間差を補償する遅延手段が設けられる」ことにより、「マシンサイクルでの自己診断機能を備えた情報処理装置と簡単な構成により高信頼化を実現する」点に特徴がある情報処理装置…特許文献1
In addition, there exist patent document 1 thru | or patent document 6 listed below as a prior art relevant to this invention.
(1) “Two processor modules whose logical functions, physical components, and physical arrangements are substantially the same, and corresponding signals in the two processor modules are detected to detect the coincidence / mismatch of the external signals. The comparison circuit to be output to the same is formed on the same semiconductor chip. Of the two processor modules, the signal path on one processor module side provided close to the comparison circuit is connected to the other By providing a delay means that compensates for the difference in signal propagation delay time between the processor module and the comparison circuit, it is possible to achieve high reliability with an information processing device with a self-diagnosis function in a machine cycle and a simple configuration. Information processing apparatus characterized by ... Patent Document 1

(2) 「ゲートディレイDrを有する同一構成のn個のORゲートOR0ないしOR(n−1)を具備し、伝搬遅延時間Dnが互に同一のn個のANDゲートAND0ないしAND(n−1)を具備し、n個のORゲートは一方の入力に隣接するORゲートの出力が接続すると共に最終段のORゲートは一方の入力にL−レベルの電圧が供給されており、n個のORゲートそれぞれの他方の入力には対応するANDゲートの出力が接続しており、入力端子INに入力されるパルスをトリガとして歩進して遅延量を発生する経路を選択する経路選択信号SEL0ないしSEL(n−1)を出力する制御回路を具備し、n個のANDゲートそれぞれの一方の入力および制御回路のCLK端子は共通して入力端子INに接続しており、n個のANDゲートそれぞれの他方の入力には制御回路の対応する経路選択信号出力端に接続する」ことにより、「OFFSET TPDを発生する回路素子の段数を選択経路数に無関係に一定にする」点に特徴がある可変遅延回路…特許文献2 (2) “n AND gates AND0 to AND (n−1) having n OR gates OR0 to OR (n−1) having the same configuration having a gate delay Dr and having the same propagation delay time Dn. ), And the n OR gates are connected to the output of the OR gate adjacent to one input, and the OR gate of the final stage is supplied with an L-level voltage to one input, and the n OR gates The output of the corresponding AND gate is connected to the other input of each of the gates, and path selection signals SEL0 to SEL for selecting a path for generating a delay amount by using a pulse input to the input terminal IN as a trigger. A control circuit that outputs (n−1), and one input of each of the n AND gates and the CLK terminal of the control circuit are commonly connected to the input terminal IN, and the n AND gates are connected. The other input of each node is connected to the corresponding path selection signal output terminal of the control circuit ”, so that“ the number of stages of the circuit element generating the OFFSET TPD is made constant regardless of the number of selected paths ”. Some variable delay circuit ... Patent Document 2

(3) 「直列に接続されるとともに、入出力間に所定の伝搬遅延時間を有する複数の遅延素子と、入力信号に対する該遅延素子のうちの任意の遅延素子からの出力を選択する選択手段とを有する遅延回路において、前記入力信号と前記選択手段で選択された出力との関係に基づいて、前記遅延回路の遅延量を制御して温度補償を行う温度補償手段を備える」ことにより、「温度変動による遅延素子の信号通過時間の変化を観測することで、信号の伝搬遅延時間を正確に認識し、遅延回路における遅延量の温度補償を行う」点に特徴がある遅延回路の温度補償回路…特許文献3 (3) “a plurality of delay elements connected in series and having a predetermined propagation delay time between input and output, and selection means for selecting an output from an arbitrary delay element among the delay elements for an input signal; A delay circuit having temperature compensation means for performing temperature compensation by controlling a delay amount of the delay circuit based on a relationship between the input signal and the output selected by the selection means. By observing changes in the signal transit time of the delay element due to fluctuations, the propagation delay time of the signal is accurately recognized, and the temperature compensation of the delay amount in the delay circuit is performed. ” Patent Document 3

(4) 「クロック入力端子Aiに入力されたクロックを遅延させてクロック出力端子Ciに与える第1可変遅延回路と、前記クロック出力端子Ciのクロックが直接または外部配線を経由してクロック入力端子Diに入力され、そのクロック入力端子Diのクロックに前記第1可変遅延回路の遅延時間に等しい遅延を与える第2可変遅延回路と、前記クロック入力端子Aiに入力されたクロックより位相の遅れたクロックがクロック入力端子Biに入力され、そのクロック入力端子Biのクロックと、前記第2可変遅延回路出力のクロックの位相を比較し、両者が等しくなるように第1、第2可変遅延回路の遅延時間を制御する位相比較回路とより成る」ことにより、「各IC回路の内部または外部のクロックの位相のばらつきを低減する」点に特徴がある位相補償回路…特許文献4 (4) “A first variable delay circuit that delays the clock input to the clock input terminal Ai and applies it to the clock output terminal Ci; and the clock input terminal Di is connected to the clock output terminal Ci directly or via an external wiring. And a second variable delay circuit for giving a delay equal to the delay time of the first variable delay circuit to the clock of the clock input terminal Di, and a clock delayed in phase from the clock input to the clock input terminal Ai. The phase of the clock input terminal Bi is compared with the phase of the clock of the clock input terminal Bi and the output of the second variable delay circuit, and the delay times of the first and second variable delay circuits are set so that they are equal. It consists of a phase comparison circuit to be controlled "to reduce the variation in the phase of the clock inside or outside each IC circuit. Characteristic phase compensation circuit ... Patent Literature 4

(5) 「基準クロック信号を受け、前記基準クロック信号に同期した内部クロック信号を生成するためのクロック発生回路、前記クロック発生回路に結合され、前記クロック発生回路へ動作電源電圧を供給するためのクロック電源回路、前記クロック電源回路と別に設けられ、電源電圧を生成する内部電源回路、および所定の機能を行なうための内部回路を備え、前記内部回路は、前記内部電源回路からの電源電圧を動作電源電圧として受けて、前記内部クロック信号に同期して動作する周辺回路を含む」ことにより、「動作環境変動時においても安定に外部クロック信号または参照クロック信号に位相同期した内部クロック信号を生成する」点に特徴がある同期型半導体集積回路装置…特許文献5 (5) “A clock generation circuit for receiving a reference clock signal and generating an internal clock signal synchronized with the reference clock signal, coupled to the clock generation circuit, for supplying an operating power supply voltage to the clock generation circuit Provided separately from a clock power supply circuit, a clock power supply circuit, an internal power supply circuit for generating a power supply voltage, and an internal circuit for performing a predetermined function, and the internal circuit operates a power supply voltage from the internal power supply circuit By including a peripheral circuit that operates as a power supply voltage and operates in synchronization with the internal clock signal, an internal clock signal that is stably phase-synchronized with an external clock signal or a reference clock signal even when the operating environment changes is generated. Synchronous type semiconductor integrated circuit device characterized in that point ... Patent Document 5

(6) 「多数のテストチャンネルを有し、そのテストチャンネルを経由して被試験デバイス(DUT)のデバイスピンにテストパターンを印加して、そのDUTの応答出力を検証するための半導体テストシステムにおいて、それぞれが上記テストチャンネルの一部を形成する複数のピンユニットを有する複数のピンカードと、その各ピンカードに搭載され、そのピンカードに設けられた上記ピンユニットにおける誤差要因を補償するための校正データを格納するための不揮発性メモリと、その対応するピンカードの全てのピンユニットについて校正データの管理と校正プロセスを実行をするために各ピンカードに設けられたマイクロプロセッサとを有し、上記各ピンユニットはイベントテスタとして構成され、直前のイベントを基準とした時間差によりそのイベントの変化点を定義するイベントデータをイベントメモリに格納し、そのイベントデータによりテストパターンやストローブ信号を直接的に発生する」ことにより、「動作環境変動時においても安定に外部クロック信号または参照クロック信号に位相同期した内部クロック信号を生成する」点に特徴がある半導体テストシステム…特許文献6 (6) In a semiconductor test system for verifying the response output of a DUT by applying a test pattern to a device pin of a device under test (DUT) via the test channel through a plurality of test channels. A plurality of pin cards each having a plurality of pin units forming part of the test channel, and each pin card mounted on the pin card for compensating for an error factor in the pin unit provided in the pin card A non-volatile memory for storing calibration data and a microprocessor provided in each pin card to perform calibration data management and calibration process for all pin units of its corresponding pin card; Each pin unit is configured as an event tester, and the time difference based on the previous event By storing event data that defines the change point of the event in the event memory and generating a test pattern or strobe signal directly with the event data, it is possible to stably output an external clock signal or A semiconductor test system characterized by generating an internal clock signal that is phase-synchronized with a reference clock signal.

特開平6−161798号公報JP-A-6-161798 特開平10−19990号公報Japanese Patent Laid-Open No. 10-19990 特開平10−145198号公報JP-A-10-145198 特開2000−56854号公報JP 2000-56854 A 特開2000−163961号公報JP 2000-163961 A 特開2001−311765号公報JP 2001-311765 A

ところで、上述した従来の技術が適用された電子機器や半導体装置では、以下の条件(1)〜(3)が確度高く成立しなければ、性能や信頼性が損なわれる可能性が高かった。
(1) データとクロック信号との引き渡しに供される配線等の伝搬遅延時間の差や偏差が十分な精度で圧縮される。
By the way, in the electronic devices and semiconductor devices to which the above-described conventional technology is applied, there is a high possibility that performance and reliability are impaired unless the following conditions (1) to (3) are established with high accuracy.
(1) Differences and deviations in propagation delay times of wirings etc. used for transferring data and clock signals are compressed with sufficient accuracy.

(2) これらのデータおよびクロックの生成や出力源の特性が高い精度で既定の値に設定される。
(3) 上記データおよびクロックの生成や出力源の特性が、経年と、温度等の環境条件の変動との双方に対して揃っている。
(2) These data and clock generation and output source characteristics are set to default values with high accuracy.
(3) The above data and clock generation and output source characteristics are consistent with both aging and fluctuations in environmental conditions such as temperature.

また、これらの条件(1)〜(3)は、特に、高速に作動すべきD/Aコンバータの実現を阻む要因であるために、回路やパターンの伝搬所要時間に応じたクロック信号の位相のシフトと、半導体チップ上のレイアウトや配線の制約との何れをも併せて確度高く解消しあるいは大幅に緩和できる技術が強く要望されていた。   In addition, these conditions (1) to (3) are factors that hinder the realization of a D / A converter that should operate at a high speed. There has been a strong demand for a technology that can eliminate or greatly alleviate the shift and the restrictions on the layout and wiring on the semiconductor chip.

しかし、上記条件(1)〜(3)は、実際には、コスト、実装性、消費電力、熱設計、小型化、軽量化等の制約に阻まれ、容易には実現され難かった。   However, the above conditions (1) to (3) are actually difficult to realize easily due to restrictions such as cost, mountability, power consumption, thermal design, miniaturization, and weight reduction.

本発明は、伝搬路の配置、経路および特性と、環境条件および経年とに対して安価にかつ柔軟に適応可能なタイミング調整回路を提供することを目的とする。   An object of the present invention is to provide a timing adjustment circuit that can be flexibly and flexibly adapted to the arrangement, path and characteristics of propagation paths, environmental conditions and aging.

請求項1に記載の発明では、遅延手段は、第一の伝搬路を介して与えられるパルス信号に順次遅延を与え、複数Nの遅延信号を生成する。変化点識別手段は、前記第一の伝搬路と異なる第二の伝搬路を介して与えられる信号に同期して前記複数Nの遅延信号の瞬時値をラッチングし、前記複数Nの遅延信号の瞬時値の時系列上における前縁または後縁を識別する。選択手段は、前記複数Nの遅延信号の内、前記前縁もしくは後縁または前記前縁もしくは後縁に最も近い時点に前記時系列上で対応する遅延信号を選択する。   According to the first aspect of the present invention, the delay means sequentially delays the pulse signal given through the first propagation path to generate a plurality of N delayed signals. The change point identifying means latches instantaneous values of the plurality of N delayed signals in synchronization with a signal given via a second propagation path different from the first propagation path, Identifies the leading or trailing edge of the value over time. The selection unit selects a delay signal corresponding to the time series corresponding to a time point closest to the leading edge or the trailing edge or the leading edge or the trailing edge among the plurality of N delayed signals.

すなわち、第一の伝搬路と第二の伝搬路との伝搬遅延時間に差や偏差があり、かつ上記遅延手段、変化点識別手段および選択手段の特性が環境条件、電源電圧、経年等に応じて変化し得る場合であっても、これらの第一の伝搬路と第二の伝搬路とをそれぞれ介して引き渡されるパルス信号と信号との間における時間軸上のズレは、構成が大幅に複雑化することなく安定に低く維持される。   That is, there is a difference or deviation in the propagation delay time between the first propagation path and the second propagation path, and the characteristics of the delay means, change point identification means, and selection means depend on environmental conditions, power supply voltage, aging, etc. Even if the frequency may vary, the deviation on the time axis between the pulse signal and the signal delivered through the first propagation path and the second propagation path, respectively, is greatly complicated. It is stably kept low without becoming.

請求項2に記載の発明では、遅延手段は、第一の伝搬路を介して与えられるパルス信号に順次遅延を与え、複数Nの遅延信号を生成する。変化点識別手段は、前記第一の伝搬路と異なる第二の伝搬路を介して与えられる信号に同期して前記複数Nの遅延信号の瞬時値をラッチングし、前記複数Nの遅延信号の瞬時値の時系列上における変化点を識別する。選択手段は、前記複数Nの遅延信号の内、前記前縁もしくは後縁または前記前縁もしくは後縁に最も近い時点と、前記パルス信号と前記信号との間に確保されるべき遅延量の差との和に、前記時系列上で対応する遅延信号を選択する。   In the invention according to claim 2, the delay means sequentially delays the pulse signal given through the first propagation path, and generates a plurality of N delayed signals. The change point identifying means latches the instantaneous values of the plurality of N delayed signals in synchronization with a signal given via a second propagation path different from the first propagation path, and instants the instantaneous signals of the plurality of N delayed signals. Identify the point of change in the time series of values. The selecting means includes a difference in a delay amount to be ensured between the pulse signal and the signal, and a time point closest to the leading edge or the trailing edge or the leading edge or the trailing edge among the plurality of N delay signals. And the delayed signal corresponding to the time series is selected.

すなわち、第一の伝搬路と第二の伝搬路との伝搬遅延時間に差や偏差があり、かつ上記遅延手段、変化点識別手段および選択手段の特性が環境条件、電源電圧、経年等に応じて変化し得る場合であっても、これらの第一の伝搬路と第二の伝搬路とをそれぞれ介して引き渡されるパルス信号と信号との間における時間軸上のズレは、構成が大幅に複雑化することなく安定に低く維持される。   That is, there is a difference or deviation in the propagation delay time between the first propagation path and the second propagation path, and the characteristics of the delay means, change point identification means, and selection means depend on environmental conditions, power supply voltage, aging, etc. Even if the frequency may vary, the deviation on the time axis between the pulse signal and the signal delivered through the first propagation path and the second propagation path, respectively, is greatly complicated. It is stably kept low without becoming.

請求項3に記載の発明では、遅延手段は、第一の伝搬路を介して並列に与えられる複数pのパルス信号に並行して順次遅延を与え、複数p組の複数Nの遅延信号を生成する。変化点識別手段は、前記第一の伝搬路と異なる第二の伝搬路を介して与えられる信号に同期して前記複数p組の複数Nの遅延信号の瞬時値をラッチングし、前記複数p組の複数Nの遅延信号の瞬時値の時系列上における前縁または後縁を並行して識別する。選択手段は、前記複数p組の複数Nの遅延信号の内、前記前縁もしくは後縁または前記前縁もしくは後縁に最も近い時点に前記時系列上で対応する1つの遅延信号を選択する。   In the invention according to claim 3, the delay means sequentially gives a delay in parallel to the plurality of p pulse signals given in parallel through the first propagation path, and generates a plurality of N sets of delay signals. To do. The change point identifying means latches instantaneous values of the plurality of N sets of delayed signals in synchronization with a signal given through a second propagation path different from the first propagation path, and The leading edge or the trailing edge on the time series of the instantaneous values of the N delayed signals is identified in parallel. The selection means selects one delay signal corresponding to the time series in the time point closest to the leading edge or the trailing edge or the leading edge or the trailing edge among the plurality of N sets of the delayed signals.

すなわち、第一の伝搬路を介して引き渡されるパルス信号が複数p本あり、しかも、その第一の伝搬路と第二の伝搬路との伝搬遅延時間に差や偏差があり、かつ上記遅延手段、変化点識別手段および選択手段の特性が環境条件、電源電圧、経年等に応じて変化し得る場合であっても、これらの第一の伝搬路と第二の伝搬路とをそれぞれ介して引き渡されるパルス信号と信号との間における時間軸上のズレは、構成が大幅に複雑化することなく安定に低く維持される。   That is, there are a plurality of p pulse signals delivered through the first propagation path, and there is a difference or deviation in the propagation delay time between the first propagation path and the second propagation path, and the delay means Even if the characteristics of the change point identification means and the selection means can be changed according to environmental conditions, power supply voltage, aging, etc., they are delivered via these first propagation path and second propagation path, respectively. The deviation on the time axis between the pulse signals to be generated is stably kept low without greatly complicating the configuration.

請求項4に記載の発明では、遅延手段は、第一の伝搬路を介して並列に与えられる複数pのパルス信号に並行して順次遅延を与え、複数p組の複数Nの遅延信号を生成する。変化点識別手段は、前記第一の伝搬路と異なる第二の伝搬路を介して与えられる信号に同期して前記複数p組の複数Nの遅延信号の瞬時値をラッチングし、前記複数p組の複数Nの遅延信号の瞬時値の時系列上における変化点を並行して識別する。選択手段は、前記複数p組の複数Nの遅延信号の内、前記前縁もしくは後縁または前記前縁もしくは後縁に最も近い時点と、前記パルス信号と前記信号との間に確保されるべき遅延量の差との和に、前記時系列上で対応する1つの遅延信号を選択する。   In the invention according to claim 4, the delay means sequentially gives a delay in parallel to the plurality of p pulse signals given in parallel via the first propagation path to generate a plurality of N sets of delay signals. To do. The change point identifying means latches instantaneous values of the plurality of N sets of delayed signals in synchronization with a signal given through a second propagation path different from the first propagation path, and The change points on the time series of the instantaneous values of the N delayed signals are identified in parallel. The selection means should be secured between the pulse signal and the signal, and the time point closest to the leading edge or the trailing edge or the leading edge or the trailing edge among the plural N delay signals of the plurality of p sets. One delay signal corresponding to the sum of the difference in delay amount on the time series is selected.

すなわち、第一の伝搬路を介して引き渡されるパルス信号が複数p本あり、しかも、その第一の伝搬路と第二の伝搬路との伝搬遅延時間に差や偏差があり、かつ上記遅延手段、変化点識別手段および選択手段の特性が環境条件、電源電圧、経年等に応じて変化し得る場合であっても、これらの第一の伝搬路と第二の伝搬路とをそれぞれ介して引き渡されるパルス信号と信号との間における時間軸上のズレは、構成が大幅に複雑化することなく安定に低く維持される。   That is, there are a plurality of p pulse signals delivered through the first propagation path, and there is a difference or deviation in the propagation delay time between the first propagation path and the second propagation path, and the delay means Even if the characteristics of the change point identification means and the selection means can be changed according to environmental conditions, power supply voltage, aging, etc., they are delivered via these first propagation path and second propagation path, respectively. The deviation on the time axis between the pulse signals to be generated is stably kept low without greatly complicating the configuration.

請求項5に記載の発明では、請求項3または請求項4に記載のタイミング調整回路において、前記信号は、前記第二の伝搬路を介して並列に与えられる複数qの信号である。前記変化点識別手段は、前記第一の伝搬路と異なる第二の伝搬路を介して与えられる信号に同期して前記複数pq組の複数Nの遅延信号の瞬時値をラッチングし、前記複数pq組の複数Nの遅延信号の瞬時値の時系列上における前縁または後縁を並行して識別する。前記選択手段は、前記複数pq組の複数Nの遅延信号から、前記1つの遅延信号を選択する。   According to a fifth aspect of the present invention, in the timing adjustment circuit according to the third or fourth aspect, the signal is a plurality of q signals given in parallel via the second propagation path. The change point identifying means latches instantaneous values of a plurality of N delay signals of the plurality of pq sets in synchronization with a signal given via a second propagation path different from the first propagation path, and the plurality of pq A leading edge or a trailing edge on a time series of instantaneous values of a set of a plurality of N delayed signals is identified in parallel. The selection unit selects the one delay signal from the plurality of N delay signals of the plurality of pq sets.

すなわち、第一の伝搬路と第二の伝搬路とを介してそれぞれ引き渡されるパルス信号と信号との何れもが複数本あり、しかも、その第一の伝搬路と第二の伝搬路との伝搬遅延時間に差や偏差があり、かつ上記遅延手段、変化点識別手段および選択手段の特性が環境条件、電源電圧、経年等に応じて変化し得る場合であっても、これらの第一の伝搬路と第二の伝搬路とをそれぞれ介して引き渡されるパルス信号と信号との間における時間軸上のズレは、構成が大幅に複雑化することなく安定に低く維持される。   That is, there are a plurality of pulse signals and signals delivered through the first propagation path and the second propagation path, respectively, and the propagation between the first propagation path and the second propagation path. Even if there is a difference or deviation in the delay time and the characteristics of the delay means, change point identification means and selection means can change according to environmental conditions, power supply voltage, aging, etc., these first propagations The deviation on the time axis between the pulse signal and the signal delivered through the path and the second propagation path, respectively, is stably kept low without greatly complicating the configuration.

本発明が適用されたシステム、装置、回路および半導体装置では、所望の性能、特性および機能が安価にかつ好適に維持される。
したがって、本発明が適用されたシステム、装置、回路および半導体装置では、実装、レイアウトおよびコストにかかわる制約に阻まれることなく、高い性能および信頼性が実現される。
In systems, devices, circuits, and semiconductor devices to which the present invention is applied, desired performance, characteristics, and functions are maintained at low cost and in a favorable manner.
Therefore, in the system, apparatus, circuit, and semiconductor device to which the present invention is applied, high performance and reliability are realized without being restricted by restrictions on mounting, layout, and cost.

本発明の一実施形態を示す図である。It is a figure which shows one Embodiment of this invention. 本実施形態の動作タイミングチャートである。It is an operation | movement timing chart of this embodiment. 本実施形態の構成の他の態様を示すである。It is the other aspect of the structure of this embodiment.

以下、図面に基づいて本発明の実施形態について詳細に説明する。
図1は、本発明の一実施形態を示す図である。
図において、第一のブロック10と第二のブロック20とは、共通の半導体チップ上に配置される。
Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.
FIG. 1 is a diagram showing an embodiment of the present invention.
In the figure, the first block 10 and the second block 20 are arranged on a common semiconductor chip.

第一のブロック10には、第一の回路(以下、「送信側回路」という。)11が配置され、その送信側回路11のクロック端子には、クロック信号が入力される。また、送信側回路11の出力には、上記クロック信号に同期した直列のビット列を示すデータ信号が出力される。このようなデータ信号は、第一のブロック10および第二のブロック20と共に共通の半導体チップ上に形成された第一の伝搬路(以下、「データ伝搬路」という。)31を介して第二のブロック20に引き渡される。   A first circuit (hereinafter referred to as “transmission side circuit”) 11 is arranged in the first block 10, and a clock signal is input to a clock terminal of the transmission side circuit 11. Further, a data signal indicating a serial bit string synchronized with the clock signal is output to the output of the transmission side circuit 11. Such a data signal is transmitted through a first propagation path (hereinafter referred to as “data propagation path”) 31 formed on a common semiconductor chip together with the first block 10 and the second block 20. Is delivered to block 20.

さらに、上記クロック信号は、第一の伝搬路31と同様に、第一のブロック10および第二のブロック20と共に共通の半導体チップ上に形成された第二の伝搬路32(以下、「クロック伝搬路」という。)32を介して、その第二のブロック20に引き渡される。   Further, like the first propagation path 31, the clock signal is supplied to a second propagation path 32 (hereinafter referred to as “clock propagation” formed on a common semiconductor chip together with the first block 10 and the second block 20. It is referred to as a “road”)) 32 and delivered to the second block 20.

一方、第二のブロック20には、以下の要素が配置される。
(1) データ伝搬路31を介して引き渡されたデータ信号に所定の処理を施す第二の回路(以下、「受信側回路」という。)21
(2) 所定の段数N(≧2)に亘って縦続接続され、かつクロック伝搬路32を介して引き渡されたクロック信号が初段に入力された非反転ゲート22-1〜22-N
On the other hand, the following elements are arranged in the second block 20.
(1) A second circuit (hereinafter referred to as “reception side circuit”) 21 that performs predetermined processing on the data signal delivered via the data propagation path 31.
(2) Non-inverting gates 22-1 to 22 -N that are cascade-connected over a predetermined number of stages N (≧ 2) and that have received a clock signal delivered via the clock propagation path 32 as the first stage.

(3) 非反転ゲート22-1〜22-Nの格段の出力にそれぞれD端子が接続され、かつデータ伝搬路31を介して引き渡されたデータ信号がクロック端子に共通に接続されたD形フリップフロップ23-1〜23-N
(4) これらのD型フリップフロップ23-1〜23-Nの非反転出力Qにそれぞれ接続された個別の入力端子を有するデコーダ24
(3) D-type flip-flops in which the D terminals are connected to the outstanding outputs of the non-inverting gates 22-1 to 22 -N, respectively, and the data signal delivered via the data propagation path 31 is commonly connected to the clock terminal 23-1 to 23-N
(4) A decoder 24 having individual input terminals connected to the non-inverted outputs Q of these D-type flip-flops 23-1 to 23-N, respectively.

(5) デコーダ24の出力に接続された制御端子と、非反転ゲート22-1〜22-Nの出力に個別に接続された第1ないし第Nの端子と、これらの第1ないし第Nの端子の何れにも接続可能であって受信側回路21のクロック端子に接続された共通接点とを有するセレクタ25 (5) A control terminal connected to the output of the decoder 24, first to Nth terminals individually connected to the outputs of the non-inverting gates 22-1 to 22-N, and these first to Nth terminals. A selector 25 which can be connected to any of the terminals and has a common contact connected to the clock terminal of the receiving circuit 21.

図2は、本実施形態の動作タイミングチャートである。
以下、図1および図2を参照して本実施形態の動作を説明する。
送信側回路11は、クロック信号に同期したデータ信号を生成し、データ伝搬路31を介して第二のブロック20にそのデータ信号を引き渡す。なお、データ信号については、以下では、RZ信号として生成されると仮定する。
FIG. 2 is an operation timing chart of the present embodiment.
The operation of this embodiment will be described below with reference to FIGS.
The transmission side circuit 11 generates a data signal synchronized with the clock signal and delivers the data signal to the second block 20 via the data propagation path 31. In the following, it is assumed that the data signal is generated as an RZ signal.

また、クロック信号は、送信側回路11に入力されつつ、クロック伝搬路32を介して第二のブロック20にも引き渡される。   Further, the clock signal is input to the second block 20 via the clock propagation path 32 while being input to the transmission side circuit 11.

第二のブロック20では、非反転ゲート22-1〜22-Nは、上記クロック信号にN段に亘って順次遅延を与えることにより、時間軸上における立ち上がり(または立ち下がり)の時点が異なるN個のクロック信号(以下、「第1ないし第Nのクロック信号」という。)を生成する。   In the second block 20, the non-inverting gates 22-1 to 22-N are provided with different delay times on the time axis by sequentially giving N delays to the clock signal over N stages. Clock signals (hereinafter referred to as “first to Nth clock signals”) are generated.

D型フリップフロップ23-1〜23-Nは、受信回路21に入力されるデータ信号の立ち上がりの時点における上記第1ないし第Nのクロック信号の論理値V〜Vをラッチする。 The D-type flip-flops 23-1 to 23-N latch the logical values V 1 to V N of the first to N-th clock signals at the time of rising of the data signal input to the receiving circuit 21.

デコーダ24は、これらの論理値V〜Vの組み合わせをデコードする(クロック信号のデューティー比および周期との相関判定を行う)ことにより、以下の要件の全てを満たす時点で立ち上がる(立ち下がる)特定のクロック信号(非反転ゲート22-1〜22-Nの何れかによって出力される)を識別する。 The decoder 24 decodes the combination of these logical values V 1 to V N (performs correlation determination with the duty ratio and cycle of the clock signal), and rises (falls) when all of the following requirements are satisfied. Identify a specific clock signal (output by any of the non-inverting gates 22-1 through 22-N).

(1) 時系列(クロック信号の立ち上がりの時点の列)上で、上記データ信号の論理値dが「0」から「1」に変化した時点(図2(1))に後続して立ち上がる(図2(2))。
(2) 受信側回路21がデータ信号を確度高く取り込むために確保されるべきセットアップタイムtsupが確保される(図2(3))。
(1) Rise after the time point (FIG. 2 (1)) when the logical value d of the data signal changes from “0” to “1” on the time series (sequence at the rising edge of the clock signal) ( Figure 2 (2)).
(2) A setup time tsup to be secured for the reception side circuit 21 to capture the data signal with high accuracy is secured (FIG. 2 (3)).

セレクタ25は、非反転ゲート22-1〜22-Nの内、このようにして識別された時点に対応する何れか1つの非反転ゲート(以下、「特定の非反転ゲート」という。)によって出力されるクロック信号(以下、「特定のクロック信号」という。)を選択して受信側回路21に与える。   The selector 25 is output by any one of the non-inverting gates 22-1 to 22-N corresponding to the time point identified in this way (hereinafter referred to as “specific non-inverting gate”). The clock signal to be received (hereinafter referred to as “specific clock signal”) is selected and applied to the reception side circuit 21.

すなわち、受信側回路21は、データ伝搬路31とクロック伝搬路32との伝搬遅延時間に相違や偏差があり、あるいはこれらの伝搬遅延時間に温度、電源電圧、経年等とに応じた変動が生じた場合であっても、データ信号に精度よく同期したクロック信号が第一のブロック10から安定に供給される。   That is, the receiving circuit 21 has a difference or deviation in the propagation delay time between the data propagation path 31 and the clock propagation path 32, or the propagation delay time varies according to temperature, power supply voltage, aging, etc. Even in this case, the clock signal synchronized with the data signal with high accuracy is stably supplied from the first block 10.

したがって、本実施形態によれば、以下の項目の如何にかかわらず、受信側回路21は、データ信号に所望の処理を確度高く安定に施すことができる。
(1) 半導体チップ上における第一のブロック10(送信側回路11)と第二のブロック20(受信側回路21)との配置
(2) データ伝搬路31とクロック伝搬路31との配置、特性の相違および偏差
(3) 第一のブロック10と第二のブロック20とにそれぞれ配置された回路および素子の特性とこれらの特性の偏差
Therefore, according to the present embodiment, the reception-side circuit 21 can perform desired processing on the data signal with high accuracy and stability regardless of the following items.
(1) Arrangement of the first block 10 (transmission side circuit 11) and the second block 20 (reception side circuit 21) on the semiconductor chip
(2) Arrangement, characteristic difference and deviation between data propagation path 31 and clock propagation path 31
(3) Characteristics of circuits and elements arranged in the first block 10 and the second block 20, respectively, and deviations of these characteristics

また、本実施形態によれば、従来例に比べて上記(1)〜(3)にかかわる制約が大幅に緩和され、しかも、回路規模が比較的大きいPLL回路やFIFOが備えられることなく構成されるため、従来例に比べて、コスト、実装性、消費電力、熱設計、小型化、軽量化等の制約に阻まれることなく、多様な装置やシステムに柔軟に適用可能となる。   Further, according to the present embodiment, the restrictions on the above (1) to (3) are greatly relaxed compared to the conventional example, and the configuration is made without providing a PLL circuit or FIFO having a relatively large circuit scale. Therefore, it can be flexibly applied to various devices and systems without being restricted by cost, mountability, power consumption, thermal design, miniaturization, weight reduction, and the like as compared with the conventional example.

なお、本発明では、既述のデータ信号が複数p本のデータ伝搬路を介して引き渡される場合であっても、同様に適用可能である。   It should be noted that the present invention is similarly applicable even when the above-described data signal is delivered via a plurality of p data propagation paths.

また、このような場合には、本実施形態は、図1に示す構成に限定されず、例えば、図3に示すように、以下の通りに構成されてもよい。
(1) 図1に二点鎖線枠で示すタイミングデコーダに代えて、上記複数pのデータ伝搬路に個別に対応した複数のタイミングデコーダ20TD-1〜20TD-pが備えられる。
In such a case, the present embodiment is not limited to the configuration shown in FIG. 1 and may be configured as follows, for example, as shown in FIG.
(1) Instead of the timing decoder indicated by the two-dot chain line in FIG. 1, a plurality of timing decoders 20TD-1 to 20TD-p individually corresponding to the plurality of p data propagation paths are provided.

(2) これらのタイミングデコーダ20TD-1〜20TD-pによってそれぞれ出力される「第1ないし第Nのクロック信号」に個別に対応したNp個の接点を有するセレクタ25Aが、図1に示すセレクタ25に代えて備えられる。 (2) A selector 25A having Np contacts individually corresponding to the “first to Nth clock signals” respectively output by the timing decoders 20TD-1 to 20TD-p is a selector 25 shown in FIG. It is provided instead of.

(3) 上記タイミングデコーダ20TD-1〜20TD-pによって個別に識別されたクロック信号を総合的に勘案することにより、上記Np個のクロック信号(タイミングデコーダ20TD-1〜20TD-pによってそれぞれ出力される「第1ないし第Nのクロック信号」)の内、第二の回路21に引き渡されるべき特定のクロック信号を特定すると共に、セレクタ25Aに指示する総合判定部20TJが備えられる。 (3) By comprehensively considering the clock signals individually identified by the timing decoders 20TD-1 to 20TD-p, the Np clock signals (output by the timing decoders 20TD-1 to 20TD-p, respectively) Among the first to Nth clock signals), a specific clock signal to be delivered to the second circuit 21 is specified, and an overall determination unit 20TJ that instructs the selector 25A is provided.

さらに、本実施形態は、既述のクロック信号は、複数p本のデータ伝搬路を介して引き渡されるべきワード、バイト、キャラクタ等の単位に同期したp本の同期信号であってもよい。   Further, in the present embodiment, the clock signal described above may be p synchronization signals synchronized with units of words, bytes, characters, and the like to be delivered via a plurality of p data propagation paths.

また、本実施形態では、データ信号に同期し、かつ立ち上がりが適切なクロック信号が受信側回路21に与えられている。   Further, in the present embodiment, a clock signal that is synchronized with the data signal and has an appropriate rising edge is supplied to the reception side circuit 21.

しかし、本発明は、このような構成に限定されず、例えば、クロック信号に同期し、かつ前縁(後縁)の時点が適切であるデータ信号を受信側回路21に与えるためにも、同様に適用可能である。   However, the present invention is not limited to such a configuration. For example, in order to provide the receiving circuit 21 with a data signal that is synchronized with a clock signal and has an appropriate time at the leading edge (rear edge). It is applicable to.

さらに、本発明は、半導体チップ上に配置された異なる回路(ブロック)の間におけるディジタル信号の引き渡しに限定されず、例えば、以下の何れの間における多様なディジタル信号の引き渡しにも同様に適用可能である。   Furthermore, the present invention is not limited to the delivery of digital signals between different circuits (blocks) arranged on a semiconductor chip, and can be applied to delivery of various digital signals between any of the following, for example. It is.

(1) モジュールやパッケージの構成要素として共通のプリント基板上に配置された異なる回路の間
(2) シェルフ(棚)やラック(架)の構成要素として共通のシェルフや装置に配置された異なるモジュールやパッケージの間
(1) Between different circuits placed on a common printed circuit board as a component of a module or package
(2) Between different modules and packages placed on a common shelf or device as a component of a shelf or rack

また、本実施形態では、データ信号は、クロック信号に同期したRZ信号として送信側回路11から受信側回路21に引き渡されている。   In the present embodiment, the data signal is delivered from the transmission side circuit 11 to the reception side circuit 21 as an RZ signal synchronized with the clock signal.

しかし、本発明は、このようなデータ信号が既述のRZ信号に代わるNRZ信号やスプリットフェーズ信号(マンチェスターコード)である場合であっても、同様に適用可能である。   However, the present invention is similarly applicable even when such a data signal is an NRZ signal or a split phase signal (Manchester code) instead of the RZ signal described above.

さらに、本実施形態は、図1または図3に示す構成に限定されず、例えば、以下に列記する事項の全てまたは任意の一部が当てはまるように構成されてもよい。
(1) セレクタ25(25A)の接点に与えられる1(p)組の「第1ないし第Nのクロック信号」が、図1または図3に示すタイミングデコーダに個別に備えられ、かつ縦続接続されたN段の非反転ゲートとは別に備えられたN段の非反転ゲートによって与えられる。
Furthermore, this embodiment is not limited to the structure shown in FIG. 1 or FIG. 3, For example, you may be comprised so that all of the matters listed below or arbitrary ones may apply.
(1) 1 (p) sets of “first to Nth clock signals” given to the contacts of the selector 25 (25A) are individually provided in the timing decoder shown in FIG. 1 or 3 and cascaded. The N-stage non-inverting gate is provided separately from the N-stage non-inverting gate.

(2) 「図3に示すタイミングデコーダ20TD-1〜20TD-pに個別に備えられ、かつ縦続接続されたN段の非反転ゲート」の各段の遅延量が共通に設定され、さらに、セレクタ25Aが図1に示すセレクタ25で代替されると共に、そのセレクタ25に与えられる第1ないし第Nのクロック信号は、タイミングデコーダ20TD-1〜20TD-pの何れか1つに備えられたN段の非反転ゲートによって与えられる。 (2) The delay amount of each stage of “N stage non-inverted gates individually provided and cascaded in the timing decoders 20TD-1 to 20TD-p shown in FIG. 3” is set in common, and the selector 25A is replaced with the selector 25 shown in FIG. 1, and the first to Nth clock signals supplied to the selector 25 are N stages provided in any one of the timing decoders 20TD-1 to 20TD-p. Of the non-inverting gate.

(3) 上記(1)、(2)に記載のN段の非反転ゲートの何れも、縦続接続された順序に共通の遅延量(必ずしも一定でなくてもよい。)を有する。 (3) Any of the N-stage non-inverting gates described in (1) and (2) above has a common delay amount (not necessarily constant) in the cascade connection order.

また、本実施形態では、第1のブロック10から第2のブロック20に対する引き渡しの対象は、既述のデータ信号と、そのデータ信号とのビット同期の基準となるクロック信号となっている。   In the present embodiment, the target of delivery from the first block 10 to the second block 20 is the above-described data signal and a clock signal that is a reference for bit synchronization with the data signal.

しかし、本発明は、このようなデータ信号とクロック信号との対に限定されず、互いの同期関係が所望の精度で担保され、かつ引き渡されるべき多様な複数の信号(一部がアナログ信号であったり、アナログ信号がディジタル信号に重畳された信号を含む。)の引き渡しにも、同様に適用可能である。   However, the present invention is not limited to such a pair of a data signal and a clock signal. The synchronization relationship between the data signal and the clock signal is ensured with a desired accuracy, and various signals to be delivered (some are analog signals). Or includes a signal in which an analog signal is superimposed on a digital signal).

さらに、本実施形態では、クロック信号のデューティ比は、図2に示すように50パーセントでなくてもよく、各タイミングデコーダに備えられたデコーダによって行われるデコード処理と、そのデコード処理に基づくクロック信号の識別とが可能であるならば、如何なるものであってもよい。   Further, in the present embodiment, the duty ratio of the clock signal may not be 50% as shown in FIG. 2, and the decoding process performed by the decoder provided in each timing decoder and the clock signal based on the decoding process are performed. As long as it is possible to identify these, any may be used.

また、本発明は、上述した実施形態に限定されず、本発明の範囲において多様な実施形態の構成が可能であり、構成要素の全てまたは一部に如何なる改良が施されてもよい。   Further, the present invention is not limited to the above-described embodiments, and various configurations of the embodiments are possible within the scope of the present invention, and any improvements may be made to all or some of the components.

10 第一のブロック
11 第一の回路(送信側回路)
20 第二のブロック
20TD タイミングデコーダ
20TJ 総合判定部
21 第二の回路(受信側回路)
22 非反転ゲート
23 D型フリップフロップ
24 デコーダ
25,25A セレクタ
31 データ伝搬路
32 クロック伝搬路
10 First block 11 First circuit (transmission side circuit)
20 Second block 20TD Timing decoder 20TJ Comprehensive determination unit 21 Second circuit (reception side circuit)
22 Non-inverting gate 23 D-type flip-flop 24 Decoder 25, 25A Selector 31 Data propagation path 32 Clock propagation path

Claims (5)

第一の伝搬路を介して与えられるパルス信号に順次遅延を与え、複数Nの遅延信号を生成する遅延手段と、
前記第一の伝搬路と異なる第二の伝搬路を介して与えられる信号に同期して前記複数Nの遅延信号の瞬時値をラッチングし、前記複数Nの遅延信号の瞬時値の時系列上における前縁または後縁を識別する変化点識別手段と、
前記複数Nの遅延信号の内、前記前縁もしくは後縁または前記前縁もしくは後縁に最も近い時点に前記時系列上で対応する遅延信号を選択する選択手段と
を備えたことを特徴とするタイミング調整回路。
Delay means for sequentially giving a delay to the pulse signal given through the first propagation path, and generating a plurality of N delayed signals;
The instantaneous values of the N delayed signals are latched in synchronization with a signal given via a second propagation path different from the first propagation path, and the instantaneous values of the N delayed signals on the time series Change point identifying means for identifying the leading or trailing edge;
Selecting means for selecting a corresponding delay signal on the time series at a point of time closest to the leading edge or the trailing edge or the leading edge or the trailing edge among the plurality of N delayed signals. Timing adjustment circuit.
第一の伝搬路を介して与えられるパルス信号に順次遅延を与え、複数Nの遅延信号を生成する遅延手段と、
前記第一の伝搬路と異なる第二の伝搬路を介して与えられる信号に同期して前記複数Nの遅延信号の瞬時値をラッチングし、前記複数Nの遅延信号の瞬時値の時系列上における変化点を識別する変化点識別手段と、
前記複数Nの遅延信号の内、前記前縁もしくは後縁または前記前縁もしくは後縁に最も近い時点と、前記パルス信号と前記信号との間に確保されるべき遅延量の差との和に、前記時系列上で対応する遅延信号を選択する選択手段と
を備えたことを特徴とするタイミング調整回路。
Delay means for sequentially giving a delay to the pulse signal given through the first propagation path, and generating a plurality of N delayed signals;
The instantaneous values of the N delayed signals are latched in synchronization with a signal given via a second propagation path different from the first propagation path, and the instantaneous values of the N delayed signals on the time series A change point identifying means for identifying the change point;
Among the plurality of N delay signals, the sum of the leading edge or trailing edge or the time closest to the leading edge or trailing edge and the difference in delay amount to be ensured between the pulse signal and the signal. And a selection means for selecting a delay signal corresponding to the time series.
第一の伝搬路を介して並列に与えられる複数pのパルス信号に並行して順次遅延を与え、複数p組の複数Nの遅延信号を生成する遅延手段と、
前記第一の伝搬路と異なる第二の伝搬路を介して与えられる信号に同期して前記複数p組の複数Nの遅延信号の瞬時値をラッチングし、前記複数p組の複数Nの遅延信号の瞬時値の時系列上における前縁または後縁を並行して識別する変化点識別手段と、
前記複数p組の複数Nの遅延信号の内、前記前縁もしくは後縁または前記前縁もしくは後縁に最も近い時点に前記時系列上で対応する1つの遅延信号を選択する選択手段と
を備えたことを特徴とするタイミング調整回路。
Delay means for sequentially giving a delay in parallel to a plurality of p pulse signals given in parallel via the first propagation path, and generating a plurality of N sets of delay signals;
Latching instantaneous values of the plurality N of delay signals of the plurality of p sets in synchronization with a signal given via a second propagation path different from the first propagation path, and delaying the plurality of N delay signals of the plurality of p sets Change point identifying means for identifying the leading edge or the trailing edge in parallel on the time series of instantaneous values of
Selecting means for selecting one delay signal corresponding to the time series closest to the leading edge or the trailing edge or the leading edge or the trailing edge, among the plurality of N sets of the delayed signals. A timing adjustment circuit characterized by that.
第一の伝搬路を介して並列に与えられる複数pのパルス信号に並行して順次遅延を与え、複数p組の複数Nの遅延信号を生成する遅延手段と、
前記第一の伝搬路と異なる第二の伝搬路を介して与えられる信号に同期して前記複数p組の複数Nの遅延信号の瞬時値をラッチングし、前記複数p組の複数Nの遅延信号の瞬時値の時系列上における変化点を並行して識別する変化点識別手段と、
前記複数p組の複数Nの遅延信号の内、前記前縁もしくは後縁または前記前縁もしくは後縁に最も近い時点と、前記パルス信号と前記信号との間に確保されるべき遅延量の差との和に、前記時系列上で対応する1つの遅延信号を選択する選択手段と
を備えたことを特徴とするタイミング調整回路。
Delay means for sequentially giving a delay in parallel to a plurality of p pulse signals given in parallel via the first propagation path, and generating a plurality of N sets of delay signals;
Latching instantaneous values of the plurality N of delay signals of the plurality of p sets in synchronization with a signal given via a second propagation path different from the first propagation path, and delaying the plurality of N delay signals of the plurality of p sets Change point identifying means for identifying change points on the time series of instantaneous values in parallel,
The difference in delay amount to be ensured between the leading edge or trailing edge or the time point closest to the leading edge or trailing edge, and the pulse signal and the signal, among the plurality of p sets of the plurality of N delay signals And a selection means for selecting one delay signal corresponding to the time series in the sum of the timing and the timing adjustment circuit.
請求項3または請求項4に記載のタイミング調整回路において、
前記信号は、
前記第二の伝搬路を介して並列に与えられる複数qの信号であり、
前記変化点識別手段は、
前記第一の伝搬路と異なる第二の伝搬路を介して与えられる信号に同期して前記複数pq組の複数Nの遅延信号の瞬時値をラッチングし、前記複数pq組の複数Nの遅延信号の瞬時値の時系列上における前縁または後縁を並行して識別し、
前記選択手段は、
前記複数pq組の複数Nの遅延信号から、前記1つの遅延信号を選択する
ことを特徴とするタイミング調整回路。
In the timing adjustment circuit according to claim 3 or 4,
The signal is
A plurality of q signals given in parallel via the second propagation path;
The change point identifying means includes
The instantaneous values of the plurality of N delay signals of the plurality of pq sets are latched in synchronization with a signal given through a second propagation path different from the first propagation path, and the plurality of N delay signals of the plurality of pq sets are latched. Identify the leading or trailing edge of the instantaneous value of the time series in parallel,
The selection means includes
The timing adjustment circuit, wherein the one delay signal is selected from the plurality of N delay signals of the plurality of pq sets.
JP2011232489A 2011-10-24 2011-10-24 Timing adjustment circuit Pending JP2013090313A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011232489A JP2013090313A (en) 2011-10-24 2011-10-24 Timing adjustment circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011232489A JP2013090313A (en) 2011-10-24 2011-10-24 Timing adjustment circuit

Publications (1)

Publication Number Publication Date
JP2013090313A true JP2013090313A (en) 2013-05-13

Family

ID=48533765

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011232489A Pending JP2013090313A (en) 2011-10-24 2011-10-24 Timing adjustment circuit

Country Status (1)

Country Link
JP (1) JP2013090313A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016042573A (en) * 2014-08-01 2016-03-31 ピグザリス Photorepeated integrated circuit with compensation of propagation delay of signal, especially clock signal

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016042573A (en) * 2014-08-01 2016-03-31 ピグザリス Photorepeated integrated circuit with compensation of propagation delay of signal, especially clock signal

Similar Documents

Publication Publication Date Title
KR101893185B1 (en) Data output timing control circuit of semiconductor apparatus
US8149979B2 (en) Method and apparatus for handling of clock information in serial link ports
JP4456432B2 (en) Apparatus and method for performing synchronous transmission using reference signal
US8520464B2 (en) Interface circuit and semiconductor device incorporating same
US7508893B1 (en) Integrated circuits and methods with statistics-based input data signal sample timing
JP3966511B2 (en) Method and system for automatic delay detection and receiver adjustment for synchronous bus interface
CN210168032U (en) System for aligning sampling instances of a high data rate clock and data recovery deserializer
EP2156440B1 (en) Integrated circuit for clock generation for memory devices
JP4672194B2 (en) Receiver circuit
US7882474B2 (en) Testing phase error of multiple on-die clocks
KR20050061123A (en) Data control circuit in the double data rate synchronous dram controller
US7178048B2 (en) System and method for signal synchronization based on plural clock signals
US9354274B2 (en) Circuit test system electric element memory control chip under different test modes
US7653169B2 (en) Timing adjustment for data transmitting/receiving circuit
US7650523B2 (en) Interface apparatus and method for synchronization of data
JP2013090313A (en) Timing adjustment circuit
JPWO2003045003A1 (en) Phase adjustment apparatus and semiconductor test apparatus
US7237159B2 (en) Test apparatus for testing an electronic device
CN115100998B (en) Drive circuit, drive IC, drive equipment and display equipment
CN114420030B (en) PWM generating circuit, driving chip, and electronic apparatus
US8270501B2 (en) Clocking architectures in high-speed signaling systems
KR100728556B1 (en) Circuit for Outputting Data in Semiconductor Memory Apparatus
US7454647B1 (en) Apparatus and method for skew measurement
KR101006843B1 (en) Synchroning circuit generating output signal stably
US20020172311A1 (en) Large-input-delay variation tolerant (lidvt) receiver adopting FIFO mechanism