JP2011138107A - Positive resist material, and pattern forming method using the same - Google Patents

Positive resist material, and pattern forming method using the same Download PDF

Info

Publication number
JP2011138107A
JP2011138107A JP2010241622A JP2010241622A JP2011138107A JP 2011138107 A JP2011138107 A JP 2011138107A JP 2010241622 A JP2010241622 A JP 2010241622A JP 2010241622 A JP2010241622 A JP 2010241622A JP 2011138107 A JP2011138107 A JP 2011138107A
Authority
JP
Japan
Prior art keywords
group
carbon atoms
resist material
polymer
monomer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010241622A
Other languages
Japanese (ja)
Other versions
JP5573595B2 (en
Inventor
Jun Hatakeyama
畠山  潤
Takeshi Watanabe
武 渡辺
Seiichiro Tachibana
誠一郎 橘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2010241622A priority Critical patent/JP5573595B2/en
Publication of JP2011138107A publication Critical patent/JP2011138107A/en
Application granted granted Critical
Publication of JP5573595B2 publication Critical patent/JP5573595B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

<P>PROBLEM TO BE SOLVED: To provide a positive resist material using a high molecular compound suitable for a base resin of a chemically amplified positive resist material, and to provide a pattern forming method. <P>SOLUTION: In the positive resist material, a resin formed by substituting a hydrogen atom in a carboxyl group with an acid-labile group shown by a general formula (1) is used as the base resin. In the general formula (1), R<SP>1</SP>, R<SP>2</SP>are an alkyl group, an alkoxy group, an alkanoyl group, an alkoxycarbonyl group, a hydroxy group, an aryl group, a halogen atom or a cyano group; R is a hydrogen atom, an alkyl group, an alkenyl group, an alkynyl group or an aryl group; m, n are an integer of 1-4, respectively, and G is a methylene group, an ethylene group, a vinylene group or -CH<SB>2</SB>-S-. The positive resist material is extremely high in alkaline dissolution rate contrast before and after exposure, has a high resolution, and is excellent in pattern shape and edge roughness after exposure, suppressed in acid diffusion velocity, and exhibits excellent etching resistance. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、ポジ型レジスト材料、特に化学増幅ポジ型レジスト材料のベース樹脂として好適な高分子化合物を用いたポジ型レジスト材料、及びパターン形成方法に関する。   The present invention relates to a positive resist material, particularly a positive resist material using a polymer compound suitable as a base resin for a chemically amplified positive resist material, and a pattern forming method.

LSIの高集積化と高速度化に伴い、パターンルールの微細化が急速に進んでいる。特にフラッシュメモリー市場の拡大と記憶容量の増大化が微細化を牽引している。最先端の微細化技術としてはArFリソグラフィーによる65nmノードのデバイスの量産が行われており、次世代のArF液浸リソグラフィーによる45nmノードの量産準備が進行中である。次世代の32nmノードとしては、水よりも高屈折率の液体と高屈折率レンズ、高屈折率レジスト膜を組み合わせた超高NAレンズによる液浸リソグラフィー、波長13.5nmの真空紫外光(EUV)リソグラフィー、ArFリソグラフィーの二重露光(ダブルパターニングリソグラフィー)などが候補であり、検討が進められている。   With the high integration and high speed of LSI, pattern rule miniaturization is progressing rapidly. In particular, the expansion of the flash memory market and the increase in storage capacity are leading to miniaturization. As a state-of-the-art miniaturization technology, mass production of 65 nm node devices by ArF lithography is being performed, and preparation for mass production of 45 nm nodes by next generation ArF immersion lithography is in progress. Next generation 32nm node includes immersion lithography with ultra high NA lens combining liquid with higher refractive index than water, high refractive index lens and high refractive index resist film, vacuum ultraviolet light (EUV) with wavelength of 13.5nm Lithography, double exposure of ArF lithography (double patterning lithography), and the like are candidates and are being studied.

電子ビーム(EB)やX線などの非常に短波長な高エネルギー線においてはレジスト材料に用いられている炭化水素のような軽元素は吸収がほとんどなく、ポリヒドロキシスチレンベースのレジスト材料が検討されている。
EB用レジスト材料は、実用的にはマスク描画用途に用いられてきた。近年、マスク製作技術が問題視されるようになってきた。露光に用いられる光がg線の時代から縮小投影露光装置が用いられており、その縮小倍率は1/5であったが、チップサイズの拡大と、投影レンズの大口径化共に1/4倍率が用いられるようになってきたため、マスクの寸法ズレがウエハー上のパターンの寸法変化に与える影響が問題になっている。パターンの微細化と共に、マスクの寸法ズレの値よりもウエハー上の寸法ズレの方が大きくなってきていることが指摘されている。マスク寸法変化を分母、ウエハー上の寸法変化を分子として計算されたMask Error Enhancement Factor(MEEF)が求められている。45nm級のパターンでは、MEEFが4を超えることも珍しくない。縮小倍率が1/4でMEEFが4であれば、マスク制作において実質等倍マスクと同等の精度が必要であることが言える。
マスク製作用露光装置は線幅の精度を上げるため、レーザービームによる露光装置から電子ビーム(EB)による露光装置が用いられてきた。更にEBの電子銃における加速電圧を上げることによってより一層の微細化が可能になることから、10keVから30keV、最近は50keVが主流であり、100keVの検討も進められている。
Light elements such as hydrocarbons used in resist materials are hardly absorbed by very short wavelength high energy rays such as electron beams (EB) and X-rays, and polyhydroxystyrene-based resist materials have been studied. ing.
The resist material for EB has been practically used for mask drawing. In recent years, mask manufacturing techniques have become a problem. Reduced projection exposure apparatuses have been used since the light used for exposure was g-line, and the reduction magnification was 1/5. However, both the enlargement of the chip size and the enlargement of the projection lens have a 1/4 magnification. Therefore, the influence of the dimensional deviation of the mask on the dimensional change of the pattern on the wafer has become a problem. It has been pointed out that with the miniaturization of the pattern, the dimensional deviation on the wafer has become larger than the value of the dimensional deviation of the mask. There is a need for a mask error enhancement factor (MEEF) calculated using a mask dimensional change as a denominator and a dimensional change on a wafer as a numerator. It is not uncommon for MEEF to exceed 4 for 45 nm-class patterns. If the reduction ratio is 1/4 and the MEEF is 4, it can be said that the mask production requires an accuracy equivalent to that of a substantially equal magnification mask.
In order to increase the accuracy of the line width in the mask manufacturing exposure apparatus, an exposure apparatus using an electron beam (EB) has been used from an exposure apparatus using a laser beam. Furthermore, since further miniaturization is possible by increasing the acceleration voltage in the electron gun of EB, 10 keV to 30 keV, and recently 50 keV is the mainstream, and studies of 100 keV are also underway.

ここで、加速電圧の上昇と共に、レジスト膜の低感度化が問題になってきた。加速電圧が向上すると、レジスト膜内での前方散乱の影響が小さくなるため、電子描画エネルギーのコントラストが向上して解像度や寸法制御性が向上するが、レジスト膜内を素抜けの状態で電子が通過するため、レジスト膜の感度が低下する。マスク露光機は直描の一筆書きで露光するため、レジスト膜の感度低下は生産性の低下につながり好ましいことではない。高感度化の要求から、化学増幅型レジスト材料が検討されている。   Here, as the acceleration voltage increases, lowering the sensitivity of the resist film has become a problem. When the acceleration voltage is improved, the influence of forward scattering in the resist film is reduced, so that the contrast of the electron drawing energy is improved and the resolution and dimensional controllability are improved. Since it passes, the sensitivity of the resist film decreases. Since the mask exposure machine exposes by direct drawing with a single stroke, a decrease in sensitivity of the resist film leads to a decrease in productivity, which is not preferable. In view of the demand for higher sensitivity, chemically amplified resist materials are being studied.

マスク製作用EBリソグラフィーのパターンの微細化と共に、高アスペクト比による現像時のパターン倒れ防止のためにレジスト膜の薄膜化が進行している。光リソグラフィーの場合、レジスト膜の薄膜化が解像力向上に大きく寄与している。これはCMPなどの導入により、デバイスの平坦化が進行したためである。マスク作製の場合、基板は平坦であり、加工すべき基板(例えばCr、MoSi、SiO2)の膜厚は遮光率や位相差制御のために決まってしまっている。薄膜化するためにはレジスト膜のドライエッチング耐性を向上させる必要がある。 Along with the miniaturization of the pattern of EB lithography for mask production, the resist film is becoming thinner in order to prevent pattern collapse during development with a high aspect ratio. In the case of photolithography, the thinning of the resist film greatly contributes to the improvement of the resolution. This is because the planarization of the device has progressed with the introduction of CMP or the like. In the case of mask production, the substrate is flat, and the thickness of the substrate to be processed (for example, Cr, MoSi, SiO 2 ) is determined for light shielding rate and phase difference control. In order to reduce the thickness, it is necessary to improve the dry etching resistance of the resist film.

ここで、一般的にはレジスト膜の炭素の密度とドライエッチング耐性について相関があるといわれている。吸収の影響を受けないEB描画においては、エッチング耐性に優れるノボラックポリマーをベースとしたレジスト材料が開発されている。
特許第3865048号公報(特許文献1)に示されるインデン共重合、特開2006−169302号公報(特許文献2)に示されるアセナフチレン共重合は炭素密度が高いだけでなく、シクロオレフィン構造による剛直な主鎖構造によってエッチング耐性の向上が期待される。
Here, it is generally said that there is a correlation between the carbon density of the resist film and the dry etching resistance. In EB drawing that is not affected by absorption, a resist material based on a novolak polymer having excellent etching resistance has been developed.
The indene copolymerization disclosed in Japanese Patent No. 3865048 (Patent Document 1) and the acenaphthylene copolymer disclosed in Japanese Patent Application Laid-Open No. 2006-169302 (Patent Document 2) are not only high in carbon density but also rigid due to the cycloolefin structure. The main chain structure is expected to improve etching resistance.

波長5〜20nmの軟X線(EUV)露光において、炭素原子の吸収が少ないことが報告されている。炭素密度を上げることがドライエッチング耐性の向上だけでなく、軟X線波長領域における透過率向上にも効果的である。
微細化の進行と共に、酸の拡散による像のぼけが問題になっている。寸法サイズ45nm以降の微細パターンでの解像性を確保するためには、従来提案されている溶解コントラストの向上だけでなく、酸拡散の制御が重要であることが提案されている。しかしながら、化学増幅型レジスト材料は、酸の拡散によって感度とコントラストを上げているため、ポストエクスポージャベーク(PEB)温度や時間を短くして酸拡散を極限まで抑えようとすると感度とコントラストが著しく低下する。酸不安定基の種類と酸拡散距離とは密接な関係があり、極めて短い酸拡散距離で脱保護反応が進行する酸不安定基の開発が望まれている。
In soft X-ray (EUV) exposure at a wavelength of 5 to 20 nm, it is reported that there is little absorption of carbon atoms. Increasing the carbon density is effective not only for improving dry etching resistance but also for improving transmittance in the soft X-ray wavelength region.
As miniaturization progresses, image blur due to acid diffusion has become a problem. In order to ensure the resolution in a fine pattern having a dimension size of 45 nm or more, it is proposed that not only the improvement of dissolution contrast conventionally proposed but also the control of acid diffusion is important. However, chemically amplified resist materials have increased sensitivity and contrast due to acid diffusion, so if you try to minimize acid diffusion by shortening the post-exposure bake (PEB) temperature and time, the sensitivity and contrast are remarkably high. descend. There is a close relationship between the type of acid labile group and the acid diffusion distance, and development of an acid labile group in which the deprotection reaction proceeds with an extremely short acid diffusion distance is desired.

インダン、テトラヒドロナフタレンの(メタ)アクリル酸エステルの酸不安定基が提案されている。このものは、酸不安定基中に芳香族を有するため、エッチング耐性とEUVでの透過率を向上させる。特開2007−279699号公報(特許文献3)では、エステルの結合部分が2級あるいは3級のものが示されており、ヒドロキシスチレンと共重合されたレジスト材料が提案されている。特に2級のものは立体的な自由体積が小さいために、短い酸の拡散で脱保護が進行する。しかしながら、インダン、テトラヒドロナフタレンでは溶解阻止性が十分ではなく、現像後のパターンの膜減りを引き起こし、十分な解像性を得ることができない。   Acid labile groups of (meth) acrylic acid esters of indane and tetrahydronaphthalene have been proposed. Since this has an aromatic group in the acid labile group, it improves etching resistance and EUV transmittance. Japanese Patent Application Laid-Open No. 2007-279699 (Patent Document 3) discloses a secondary or tertiary ester bond moiety and proposes a resist material copolymerized with hydroxystyrene. In particular, since the steric free volume of the second grade is small, deprotection proceeds by short acid diffusion. However, indane and tetrahydronaphthalene do not have sufficient dissolution inhibiting properties, resulting in film loss after development and sufficient resolution cannot be obtained.

バルキーな酸が発生する酸発生剤を添加して酸拡散を抑えることは有効である。そこで、ポリマーに重合性オレフィンを有するオニウム塩の酸発生剤を共重合することが提案されている。特開平4−230645号公報(特許文献4)、特開2005−84365号公報(特許文献5)、特開2006−45311号公報(特許文献6)には、特定のスルホン酸が発生する重合性オレフィンを有するスルホニウム塩、ヨードニウム塩が提案されている。   It is effective to suppress acid diffusion by adding an acid generator that generates a bulky acid. Therefore, it has been proposed to copolymerize an onium salt acid generator having a polymerizable olefin in the polymer. JP-A-4-230645 (Patent Document 4), JP-A-2005-84365 (Patent Document 5), and JP-A-2006-45311 (Patent Document 6) describe a polymerization property that generates a specific sulfonic acid. Sulfonium salts and iodonium salts having olefins have been proposed.

特許第3865048号公報Japanese Patent No. 3865048 特開2006−169302号公報JP 2006-169302 A 特開2007−279699号公報JP 2007-279699 A 特開平4−230645号公報JP-A-4-230645 特開2005−84365号公報JP 2005-84365 A 特開2006−45311号公報JP 2006-45311 A

本発明は上記事情に鑑みなされたもので、従来のポジ型レジスト材料を上回る高解像度でラインエッジラフネスが小さく、露光後のパターン形状が良好であり、更に優れたエッチング耐性を示すレジスト膜を与えるポジ型レジスト材料、特に化学増幅ポジ型レジスト材料のベース樹脂として好適な高分子化合物を用いたポジ型レジスト材料、及びパターン形成方法を提供することを目的とする。   The present invention has been made in view of the above circumstances, and provides a resist film having higher resolution than conventional positive resist materials, low line edge roughness, good pattern shape after exposure, and excellent etching resistance. An object of the present invention is to provide a positive resist material using a polymer compound suitable as a base resin for a positive resist material, particularly a chemically amplified positive resist material, and a pattern forming method.

本発明者らは、近年要望される高解像度でエッジラフネス(LWR、LER)が小さく、露光後のパターン形状が良好であり、更に優れたエッチング耐性を示すポジ型レジスト材料を得るべく鋭意検討を重ねた結果、これにはジベンゾシクロヘプテン、ジベンゾスベラン、6,11−ジヒドロジベンゾ[b,e]チエピン、9,10−ジヒドロアントラセンで置換されたカルボキシル基を有する、特に(メタ)アクリル酸及びその誘導体、スチレンカルボン酸、ビニルナフタレンカルボン酸から選ばれる繰り返し単位として有するポリマーをポジ型レジスト材料、特に化学増幅ポジ型レジスト材料のベース樹脂として用いれば極めて有効であることを知見し、本発明を完成させたものである。   The present inventors have intensively studied in order to obtain a positive resist material that has recently desired high resolution, small edge roughness (LWR, LER), good pattern shape after exposure, and excellent etching resistance. As a result of this, it has dibenzocycloheptene, dibenzosuberane, 6,11-dihydrodibenzo [b, e] thiepine, a carboxyl group substituted with 9,10-dihydroanthracene, in particular (meth) acrylic acid and It has been found that it is extremely effective to use a polymer having a repeating unit selected from its derivative, styrene carboxylic acid, and vinyl naphthalene carboxylic acid as a base resin of a positive resist material, particularly a chemically amplified positive resist material. It has been completed.

以上のことから、本発明者らは、酸拡散を抑えて溶解コントラストとエッチング耐性を向上させるために上記ポリマーをポジ型レジスト材料、特に化学増幅ポジ型レジスト材料のベース樹脂として用いることにより、露光前後のアルカリ溶解速度コントラストが大幅に高く、酸拡散を抑える効果が高く、高解像性を有し、露光後のパターン形状とエッジラフネスが良好であり、更に優れたエッチング耐性を示す、特に超LSI製造用あるいはフォトマスクの微細パターン形成材料として好適なポジ型レジスト材料、特には化学増幅ポジ型レジスト材料が得られることを知見したものである。   In view of the above, the present inventors used the above polymer as a base resin for a positive resist material, particularly a chemically amplified positive resist material, in order to suppress acid diffusion and improve dissolution contrast and etching resistance. The alkali dissolution rate contrast before and after is significantly high, the effect of suppressing acid diffusion is high, the resolution is high, the pattern shape and edge roughness after exposure are good, and the etching resistance is excellent. It has been found that a positive resist material suitable for LSI manufacturing or a photomask fine pattern forming material, particularly a chemically amplified positive resist material can be obtained.

本発明のポジ型レジスト材料は、特に、レジスト膜の溶解コントラストが高く、酸拡散を抑える効果が高く、高解像性を有し、露光余裕度があり、プロセス適応性に優れ、露光後のパターン形状が良好で、より優れたエッチング耐性を示すものとなる。従って、これらの優れた特性を有することから実用性が極めて高く、超LSI用レジスト材料及びマスクパターン形成材料として非常に有効である。   The positive resist material of the present invention has a high dissolution contrast of the resist film, a high effect of suppressing acid diffusion, a high resolution, an exposure margin, excellent process adaptability, and a post-exposure process. The pattern shape is good and exhibits better etching resistance. Therefore, since it has these excellent characteristics, it is very practical and is very effective as a resist material for VLSI and a mask pattern forming material.

即ち、本発明は、下記ポジ型レジスト材料並びにこれを用いたパターン形成方法を提供する。
請求項1:
カルボキシル基の水素原子が下記一般式(1)で示される酸不安定基によって置換されている樹脂をベース樹脂にしていることを特徴とするポジ型レジスト材料。

Figure 2011138107

(式中、R1、R2は水素原子、それぞれ炭素数1〜4のアルキル基、アルコキシ基、アルカノイル基もしくはアルコキシカルボニル基、ヒドロキシ基、炭素数6〜10のアリール基、ハロゲン原子、又はシアノ基である。Rは水素原子、酸素原子又は硫黄原子を有していてもよい炭素数1〜12の直鎖状、分岐状又は環状のアルキル基、炭素数2〜12のアルケニル基、炭素数2〜12のアルキニル基、又は炭素数6〜10のアリール基である。m、nは1〜4の整数である。Gはメチレン基、エチレン基、ビニレン基、又は−CH2−S−である。)
請求項2:
下記一般式(2)で示される式(1)の酸不安定基で置換された(メタ)アクリル酸及びその誘導体、スチレンカルボン酸、ビニルナフタレンカルボン酸から選ばれる繰り返し単位aを有する重量平均分子量が1,000〜500,000の範囲である高分子化合物をベース樹脂にしていることを特徴とする請求項1に記載のポジ型レジスト材料。
Figure 2011138107

(式中、R1、R2、G、R、m、nは前述の通りである。X1は単結合、又は−C(=O)−O−R4−、フェニレン基又はナフチレン基であり、R4は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エステル基、エーテル基又はラクトン環を有していてもよい。R3は水素原子又はメチル基である。)
請求項3:
一般式(2)で示される式(1)の酸不安定基で置換された(メタ)アクリル酸及びその誘導体、スチレンカルボン酸、ビニルナフタレンカルボン酸から選ばれる繰り返し単位aに加えて、ヒドロキシ基、ラクトン環、エーテル基、エステル基、カルボニル基、シアノ基、環状の−O−C(=O)−S−又は−O−C(=O)−NH−から選ばれる密着性基を有する繰り返し単位bを共重合した重量平均分子量が1,000〜500,000の範囲である高分子化合物(但し、0<a<1.0、0<b<1.0、0.05≦a+b≦1.0の範囲である。)をベース樹脂にしていることを特徴とする請求項2に記載のレジスト材料。
請求項4:
繰り返し単位bが、フェノール性水酸基を有する繰り返し単位であることを特徴とする請求項3に記載のレジスト材料。
請求項5:
フェノール性水酸基を有する繰り返し単位が、下記一般式(3)で示されるb1〜b8から選ばれるものであることを特徴とする請求項4に記載のレジスト材料。
Figure 2011138107

(式中、X2、X3は単結合、又は−C(=O)−O−R6−であり、X4、X5は−C(=O)−O−R6−であり、R6は単結合、又は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基である。R5は同一又は異種の水素原子又はメチル基である。Y1、Y2はメチレン基又はエチレン基、Zはメチレン基、酸素原子又は硫黄原子、pは1又は2である。)
請求項6:
高分子化合物が、更に下記一般式(4)で示されるインデン、アセナフチレン、クロモン、クマリン、ノルボルナジエン及びこれらの誘導体c1〜c5から選ばれる繰り返し単位を共重合してなることを特徴とする請求項3〜5のいずれか1項に記載のレジスト材料。
Figure 2011138107

(式中、R9〜R13は水素原子、それぞれ炭素数1〜30のアルキル基、一部又は全てがハロゲン原子で置換されたアルキル基、アルコキシ基、アルカノイル基もしくはアルコキシカルボニル基、炭素数6〜10のアリール基、ハロゲン原子、又は1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール基である。Zはメチレン基、酸素原子又は硫黄原子である。)
請求項7:
高分子化合物が、一般式(2)で示される式(1)の酸不安定基で置換された(メタ)アクリル酸及びその誘導体、スチレンカルボン酸、ビニルナフタレンカルボン酸から選ばれる繰り返し単位a、ヒドロキシ基、ラクトン環、エーテル基、エステル基、カルボニル基、シアノ基から選ばれる密着性基の繰り返し単位bに加えて、下記一般式(5)で示されるスルホニウム塩d1〜d3のいずれかを共重合してなることを特徴とする請求項3〜6のいずれか1項に記載のレジスト材料。
Figure 2011138107

(式中、R20、R24、R28は水素原子又はメチル基、R21は単結合、フェニレン基、−O−R33−、又は−C(=O)−Y−R33−である。Yは酸素原子又はNH、R33は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、フェニレン基又はアルケニレン基であり、カルボニル基、エステル基、エーテル基又はヒドロキシ基を含んでいてもよい。R22、R23、R25、R26、R27、R29、R30、R31は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表す。Z0は単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R32−、又は−C(=O)−Z1−R32−である。Z1は酸素原子又はNH、R32は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、フェニレン基又はアルケニレン基であり、カルボニル基、エステル基、エーテル基又はヒドロキシ基を含んでいてもよい。M-は非求核性対向イオンを表す。0≦d1≦0.3、0≦d2≦0.3、0≦d3≦0.3、0≦d1+d2+d3≦0.3の範囲である。)
請求項8:
更に、有機溶剤及び酸発生剤を含有する化学増幅ポジ型レジスト材料であることを特徴とする請求項1〜7のいずれか1項に記載のポジ型レジスト材料。
請求項9:
更に、溶解制御剤を含有するものであることを特徴とする請求項8に記載のポジ型レジスト材料。
請求項10:
更に、添加剤として塩基性化合物及び/又は界面活性剤を配合してなることを特徴とする請求項8又は9に記載のポジ型レジスト材料。
請求項11:
請求項1〜10のいずれか1項に記載のポジ型レジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法。 That is, the present invention provides the following positive resist material and a pattern forming method using the same.
Claim 1:
A positive resist material characterized in that a resin in which a hydrogen atom of a carboxyl group is substituted with an acid labile group represented by the following general formula (1) is used as a base resin.
Figure 2011138107

(Wherein R 1 and R 2 are each a hydrogen atom, an alkyl group having 1 to 4 carbon atoms, an alkoxy group, an alkanoyl group or an alkoxycarbonyl group, a hydroxy group, an aryl group having 6 to 10 carbon atoms, a halogen atom, or cyano. R is a straight chain, branched or cyclic alkyl group having 1 to 12 carbon atoms which may have a hydrogen atom, an oxygen atom or a sulfur atom, an alkenyl group having 2 to 12 carbon atoms, or a carbon number. An alkynyl group having 2 to 12 carbon atoms, or an aryl group having 6 to 10 carbon atoms, m and n are each an integer of 1 to 4. G is a methylene group, an ethylene group, a vinylene group, or —CH 2 —S—. is there.)
Claim 2:
Weight average molecular weight having a repeating unit a selected from (meth) acrylic acid substituted by an acid labile group of formula (1) represented by the following general formula (2) and derivatives thereof, styrene carboxylic acid, and vinyl naphthalene carboxylic acid 2. The positive resist material according to claim 1, wherein the base resin is a polymer compound having a molecular weight of 1,000 to 500,000.
Figure 2011138107

(Wherein R 1 , R 2 , G, R, m, and n are as described above. X 1 is a single bond, or —C (═O) —O—R 4 —, a phenylene group, or a naphthylene group. R 4 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, and may have an ester group, an ether group or a lactone ring, and R 3 is a hydrogen atom or a methyl group. is there.)
Claim 3:
In addition to the repeating unit a selected from (meth) acrylic acid substituted by an acid labile group of the formula (1) represented by the general formula (2) and a derivative thereof, styrene carboxylic acid, vinyl naphthalene carboxylic acid, a hydroxy group , A lactone ring, an ether group, an ester group, a carbonyl group, a cyano group, a cyclic group having an adhesive group selected from —O—C (═O) —S— or —O—C (═O) —NH— A polymer compound having a weight average molecular weight in the range of 1,000 to 500,000 copolymerized with the unit b (where 0 <a <1.0, 0 <b <1.0, 0.05 ≦ a + b ≦ 1 The resist material according to claim 2, wherein a base resin is used.
Claim 4:
The resist material according to claim 3, wherein the repeating unit b is a repeating unit having a phenolic hydroxyl group.
Claim 5:
The resist material according to claim 4, wherein the repeating unit having a phenolic hydroxyl group is selected from b1 to b8 represented by the following general formula (3).
Figure 2011138107

(Wherein X 2 and X 3 are a single bond or —C (═O) —O—R 6 —, X 4 and X 5 are —C (═O) —O—R 6 —, R 6 is a single bond or a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, R 5 is the same or different hydrogen atom or methyl group, and Y 1 and Y 2 are methylene groups. Or an ethylene group, Z is a methylene group, an oxygen atom or a sulfur atom, and p is 1 or 2.)
Claim 6:
The polymer compound is obtained by further copolymerizing a repeating unit selected from indene, acenaphthylene, chromone, coumarin, norbornadiene and derivatives c1 to c5 thereof represented by the following general formula (4). The resist material of any one of -5.
Figure 2011138107

(In the formula, R 9 to R 13 are each a hydrogen atom, an alkyl group having 1 to 30 carbon atoms, an alkyl group partially or entirely substituted with a halogen atom, an alkoxy group, an alkanoyl group or an alkoxycarbonyl group, and 6 carbon atoms. -10 aryl group, halogen atom, or 1,1,1,3,3,3-hexafluoro-2-propanol group, Z is a methylene group, oxygen atom or sulfur atom.)
Claim 7:
The polymer compound is a repeating unit a selected from (meth) acrylic acid substituted with an acid labile group of the formula (1) represented by the general formula (2) and a derivative thereof, styrene carboxylic acid, vinyl naphthalene carboxylic acid, In addition to the repeating unit b of the adhesive group selected from a hydroxy group, a lactone ring, an ether group, an ester group, a carbonyl group, and a cyano group, any one of the sulfonium salts d1 to d3 represented by the following general formula (5) is used. The resist material according to claim 3, wherein the resist material is polymerized.
Figure 2011138107

(Wherein R 20 , R 24 and R 28 are a hydrogen atom or a methyl group, R 21 is a single bond, a phenylene group, —O—R 33 —, or —C (═O) —Y—R 33 —. Y is an oxygen atom or NH, R 33 is a linear, branched or cyclic alkylene group, phenylene group or alkenylene group having 1 to 6 carbon atoms, including a carbonyl group, an ester group, an ether group or a hydroxy group R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 30 , R 31 are the same or different linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms. And may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or a thiophenyl group, wherein Z 0 is a single bond or a methylene group. , Ethylene group, phenylene group, fluorinated phenylene group, —O—R 32 —, or —C (═O) —Z 1 —R 32 —, wherein Z 1 is an oxygen atom or NH, and R 32 is a linear, branched or cyclic group having 1 to 6 carbon atoms. An alkylene group, a phenylene group or an alkenylene group, which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, M represents a non-nucleophilic counter ion, 0 ≦ d1 ≦ 0.3, 0 ≦ d2 ≦ 0.3, 0 ≦ d3 ≦ 0.3, 0 ≦ d1 + d2 + d3 ≦ 0.3.
Claim 8:
The positive resist composition according to claim 1, further comprising a chemically amplified positive resist composition containing an organic solvent and an acid generator.
Claim 9:
The positive resist composition according to claim 8, further comprising a dissolution control agent.
Claim 10:
The positive resist composition according to claim 8 or 9, further comprising a basic compound and / or a surfactant as an additive.
Claim 11:
A step of applying the positive resist material according to any one of claims 1 to 10 on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. The pattern formation method characterized by the above-mentioned.

以上のような本発明のポジ型レジスト材料、特には化学増幅ポジ型レジスト材料の用途としては、例えば、半導体回路形成におけるリソグラフィーだけでなく、マスク回路パターンの形成、あるいはマイクロマシーン、薄膜磁気ヘッド回路形成にも応用することができる。   Examples of the use of the positive resist material of the present invention as described above, particularly the chemically amplified positive resist material, include not only lithography in semiconductor circuit formation, but also mask circuit pattern formation, micromachines, and thin film magnetic head circuits. It can also be applied to formation.

本発明のポジ型レジスト材料は、露光前後のアルカリ溶解速度コントラストが大幅に高く、高解像性を有し、露光後のパターン形状とエッジラフネス(LWR、LER)が良好で、その上特に酸拡散速度を抑制し、優れたエッチング耐性を示す。従って、特に超LSI製造用あるいはフォトマスクの微細パターン形成材料、EUV露光用のパターン形成材料として好適なポジ型レジスト材料、特には化学増幅ポジ型レジスト材料を得ることができる。   The positive resist material of the present invention has a significantly high alkali dissolution rate contrast before and after exposure, high resolution, good pattern shape after exposure and edge roughness (LWR, LER), and particularly acidity. It suppresses the diffusion rate and exhibits excellent etching resistance. Therefore, it is possible to obtain a positive resist material, particularly a chemically amplified positive resist material, which is particularly suitable as a fine pattern forming material for VLSI manufacturing or a photomask, and a pattern forming material for EUV exposure.

以下、本発明につき更に詳しく説明する。
本発明に係るレジスト材料は、カルボキシル基の水素原子が少なくとも下記一般式(1)で示される酸不安定基によって置換されている樹脂をベース樹脂にしていることを特徴とするレジスト材料である。

Figure 2011138107

(式中、R1、R2は水素原子、それぞれ炭素数1〜4のアルキル基、アルコキシ基、アルカノイル基もしくはアルコキシカルボニル基、ヒドロキシ基、炭素数6〜10のアリール基、ハロゲン原子、又はシアノ基である。Rは水素原子、酸素原子又は硫黄原子を有していてもよい炭素数1〜12の直鎖状、分岐状又は環状のアルキル基、炭素数2〜12のアルケニル基、炭素数2〜12のアルキニル基、又は炭素数6〜10のアリール基である。m、nは1〜4の整数である。Gはメチレン基、エチレン基、ビニレン基、又は−CH2−S−である。) Hereinafter, the present invention will be described in more detail.
The resist material according to the present invention is a resist material characterized in that a base resin is a resin in which a hydrogen atom of a carboxyl group is substituted with at least an acid labile group represented by the following general formula (1).
Figure 2011138107

(Wherein R 1 and R 2 are each a hydrogen atom, an alkyl group having 1 to 4 carbon atoms, an alkoxy group, an alkanoyl group or an alkoxycarbonyl group, a hydroxy group, an aryl group having 6 to 10 carbon atoms, a halogen atom, or cyano. R is a straight chain, branched or cyclic alkyl group having 1 to 12 carbon atoms which may have a hydrogen atom, an oxygen atom or a sulfur atom, an alkenyl group having 2 to 12 carbon atoms, or a carbon number. An alkynyl group having 2 to 12 carbon atoms, or an aryl group having 6 to 10 carbon atoms, m and n are each an integer of 1 to 4. G is a methylene group, an ethylene group, a vinylene group, or —CH 2 —S—. is there.)

この場合、R1、R2として具体的には、水素原子、メチル基、エチル基、プロピル基、ブチル基、メトキシ基、エトキシ基、アセトキシ基、メトキシカルボニル基等が例示され、水素原子、メチル基、メトキシ基、アセトキシ基、メトキシカルボニル基が好ましい。 In this case, specific examples of R 1 and R 2 include a hydrogen atom, a methyl group, an ethyl group, a propyl group, a butyl group, a methoxy group, an ethoxy group, an acetoxy group, and a methoxycarbonyl group. Group, methoxy group, acetoxy group and methoxycarbonyl group are preferred.

Rとして具体的には、水素原子、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、イソブチル基、t−ブチル基、n−ペンチル基、シクロペンチル基、n−ヘキシル基、シクロヘキシル基、メトキシブチル基、メチルブチルスルフィド基、ビニル基、アリル基、1−プロペニル基、2−ブテニル基、イソプロペニル基、エチニル基、2−プロピニル基、フェニル基、ベンジル基、フェネチル基を挙げることができる。   Specific examples of R include a hydrogen atom, methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, sec-butyl group, isobutyl group, t-butyl group, n-pentyl group, cyclopentyl group, n-hexyl group, cyclohexyl group, methoxybutyl group, methylbutyl sulfide group, vinyl group, allyl group, 1-propenyl group, 2-butenyl group, isopropenyl group, ethynyl group, 2-propynyl group, phenyl group, benzyl group And phenethyl group.

一般式(1)で示される酸不安定基は、好ましくは(メタ)アクリル酸もしくはその誘導体(以下、(メタ)アクリレートと総称する)、スチレンカルボン酸、ビニルナフタレンカルボン酸のカルボキシル基の水素原子を置換したものであり、下記一般式(2)で示される重量平均分子量が1,000〜500,000の範囲である高分子化合物であることが好ましい。

Figure 2011138107

(式中、R1、R2、R、m、nは前述の通りである。X1は単結合、又は−C(=O)−O−R4−、フェニレン基又はナフチレン基であり、R4は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エステル基(−COO−)、エーテル基(−O−)又はラクトン環を有していてもよい。R3は水素原子又はメチル基である。なお、ラクトン環を有する炭素数1〜10のアルキレン基としては、下記式
Figure 2011138107
のものが挙げられる。Gはメチレン基、エチレン基、ビニレン基、又は−CH2−S−である。) The acid labile group represented by the general formula (1) is preferably a hydrogen atom of a carboxyl group of (meth) acrylic acid or a derivative thereof (hereinafter collectively referred to as (meth) acrylate), styrene carboxylic acid, or vinyl naphthalene carboxylic acid. The polymer compound is preferably a polymer compound having a weight average molecular weight of 1,000 to 500,000 represented by the following general formula (2).
Figure 2011138107

(Wherein R 1 , R 2 , R, m and n are as described above. X 1 is a single bond, or —C (═O) —O—R 4 —, a phenylene group or a naphthylene group, R 4 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms and may have an ester group (—COO—), an ether group (—O—) or a lactone ring. 3 is a hydrogen atom or a methyl group, and the alkylene group having 1 to 10 carbon atoms having a lactone ring is represented by the following formula:
Figure 2011138107
Can be mentioned. G is a methylene group, an ethylene group, a vinylene group, or —CH 2 —S—. )

一般式(2)で表される繰り返し単位aは、下記一般式(6)中のa1〜a4で表すことができる。

Figure 2011138107

(式中、R1、R2、R3、R4、R、m、nは前述と同様である。0<a1+a2+a3+a4<1.0の範囲である。)
これらの酸脱離基は、特にはKrF、EB、EUVリソグラフィーに適用することができる。 The repeating unit a represented by the general formula (2) can be represented by a1 to a4 in the following general formula (6).
Figure 2011138107

(In the formula, R 1 , R 2 , R 3 , R 4 , R, m, and n are the same as described above. 0 <a1 + a2 + a3 + a4 <1.0.)
These acid leaving groups are particularly applicable to KrF, EB, EUV lithography.

一般式a1〜a4に示される繰り返し単位を得るためのモノマーは、具体的には下記に例示することができる。   Specific examples of the monomer for obtaining the repeating units represented by the general formulas a1 to a4 can be given below.

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

本発明の繰り返し単位a1を得るための重合性酸不安定エステル化合物は、ヒドロキシジベンゾシクロヘプテン、ヒドロキシジベンゾスベラン、ヒドロキシ6,11−ジヒドロジベンゾ[b,e]チエピン、ヒドロキシ9,10−ジヒドロアントラセンとメタクリル酸クロリドとの反応によって得ることができる。また、他の繰り返し単位a2〜a4を得るためのエステル化合物も同様にして得ることができる。   The polymerizable acid labile ester compound for obtaining the repeating unit a1 of the present invention includes hydroxydibenzocycloheptene, hydroxydibenzosuberane, hydroxy 6,11-dihydrodibenzo [b, e] thiepine, hydroxy 9,10-dihydroanthracene. And methacrylic acid chloride. Moreover, the ester compound for obtaining other repeating units a2-a4 can be obtained similarly.

本発明の酸不安定基は、エステルとの結合炭素部分が2級又は3級になっており、しかも両側がベンゼン環で挟まれているために、内部オレフィンが生成することがない。下記反応式に示すように、酸による脱離によってカルボカチオン化合物が生成し、2級の場合はこれが水と反応すればヒドロキシ基となるし、カルボカチオンがフェノール基のオルソ位に付加することがあり、3級の場合はRの結合部分が二重結合となる。   In the acid labile group of the present invention, the linking carbon moiety with the ester is secondary or tertiary, and both sides are sandwiched by benzene rings, so that no internal olefin is generated. As shown in the following reaction formula, a carbocation compound is generated by elimination by an acid, and in the case of secondary, it reacts with water to form a hydroxy group, and the carbocation can be added to the ortho position of the phenol group. Yes, in the case of tertiary, the bond part of R becomes a double bond.

Figure 2011138107
Figure 2011138107

本発明に係る上記式(1)の酸不安定基を有する高分子化合物は、上記式(2)の(メタ)アクリレート、スチレンカルボン酸、ビニルナフタレンカルボン酸の繰り返し単位aに加えて、ヒドロキシ基、ラクトン環、エーテル基、エステル基、カルボニル基、シアノ基、環状の−O−C(=O)−S−又は−O−C(=O)−NH−から選ばれる密着性基を有する繰り返し単位bが共重合されたものであることが好ましい。この場合、この繰り返し単位bとしては、電子ビーム及びEUV露光によって増感効果があるフェノール性水酸基を有するものが好ましく、フェノール性水酸基を有する繰り返し単位としては、下記一般式(3)で示されるb1〜b8から選ばれることが好ましい。   The polymer compound having an acid labile group of the above formula (1) according to the present invention includes a hydroxy group in addition to the repeating unit a of the (meth) acrylate, styrene carboxylic acid and vinyl naphthalene carboxylic acid of the above formula (2). , A lactone ring, an ether group, an ester group, a carbonyl group, a cyano group, a cyclic group having an adhesive group selected from —O—C (═O) —S— or —O—C (═O) —NH— The unit b is preferably copolymerized. In this case, the repeating unit b preferably has a phenolic hydroxyl group that has a sensitizing effect by electron beam and EUV exposure, and the repeating unit having a phenolic hydroxyl group is b1 represented by the following general formula (3). It is preferable to be selected from ~ b8.

Figure 2011138107

(式中、X2、X3は単結合、又は−C(=O)−O−R6−であり、X4、X5は−C(=O)−O−R6−であり、R6は単結合、又は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基である。R5は同一又は異種の水素原子又はメチル基である。Y1、Y2はメチレン基又はエチレン基、Zはメチレン基、酸素原子又は硫黄原子、pは1又は2である。)
Figure 2011138107

(Wherein X 2 and X 3 are a single bond or —C (═O) —O—R 6 —, X 4 and X 5 are —C (═O) —O—R 6 —, R 6 is a single bond or a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, R 5 is the same or different hydrogen atom or methyl group, and Y 1 and Y 2 are methylene groups. Or an ethylene group, Z is a methylene group, an oxygen atom or a sulfur atom, and p is 1 or 2.)

上記フェノール性水酸基を有する繰り返し単位b1〜b8を得るためのモノマーは、下記に示すことができる。   The monomer for obtaining the repeating units b1 to b8 having the phenolic hydroxyl group can be shown below.

Figure 2011138107
Figure 2011138107

また、フェノール性水酸基以外のヒドロキシ基、ラクトン環、エーテル基、エステル基、カルボニル基、シアノ基、環状の−O−C(=O)−S−又は−O−C(=O)−NH−から選ばれる密着性基の繰り返し単位bを得るためのモノマーとしては、具体的には下記に例示することができる。   Further, hydroxy groups other than phenolic hydroxyl groups, lactone rings, ether groups, ester groups, carbonyl groups, cyano groups, cyclic —O—C (═O) —S— or —O—C (═O) —NH—. Specific examples of the monomer for obtaining the repeating unit b of the adhesive group selected from are shown below.

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

ヒドロキシ基を有するモノマーの場合、重合時にヒドロキシ基をエトキシエトキシ基などの酸によって脱保護し易いアセタールで置換しておいて、重合後に弱酸と水によって脱保護を行ってもよいし、アセチル基、ホルミル基、ピバロイル基等で置換しておいて重合後にアルカリ加水分解を行ってもよい。   In the case of a monomer having a hydroxy group, the hydroxy group may be replaced with an acetal that can be easily deprotected with an acid such as an ethoxyethoxy group at the time of polymerization, and may be deprotected with a weak acid and water after the polymerization, Substitution with a formyl group, pivaloyl group or the like, and alkali hydrolysis may be performed after polymerization.

本発明に係る高分子化合物は、更に下記一般式(4)で示されるインデン、アセナフチレン、クロモン、クマリン、ノルボルナジエン及びこれらの誘導体c1〜c5から選ばれる繰り返し単位を共重合してなることが好ましい。   The polymer compound according to the present invention is preferably obtained by copolymerizing a repeating unit selected from indene, acenaphthylene, chromone, coumarin, norbornadiene and derivatives c1 to c5 thereof represented by the following general formula (4).

Figure 2011138107

(式中、R9〜R13は水素原子、それぞれ炭素数1〜30のアルキル基、一部又は全てがハロゲン原子で置換されたアルキル基、アルコキシ基、アルカノイル基もしくはアルコキシカルボニル基、炭素数6〜10のアリール基、ハロゲン原子、又は1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール基である。Zはメチレン基、酸素原子又は硫黄原子である。)
Figure 2011138107

(In the formula, R 9 to R 13 are each a hydrogen atom, an alkyl group having 1 to 30 carbon atoms, an alkyl group partially or entirely substituted with a halogen atom, an alkoxy group, an alkanoyl group or an alkoxycarbonyl group, and 6 carbon atoms. -10 aryl group, halogen atom, or 1,1,1,3,3,3-hexafluoro-2-propanol group, Z is a methylene group, oxygen atom or sulfur atom.)

この場合、インデン、アセナフチレン、クロモン、クマリン、ノルボルナジエン及びこれらの誘導体c1〜c5を得るためのモノマーは、具体的には下記に例示することができる。

Figure 2011138107
In this case, the monomer for obtaining indene, acenaphthylene, chromone, coumarin, norbornadiene, and derivatives c1 to c5 thereof can be specifically exemplified below.
Figure 2011138107

更に、重合性オレフィンを有するオニウム塩の酸発生剤dを共重合することもできる。
特開平4−230645号公報、特開2005−84365号公報、特開2006−45311号公報には、特定のスルホン酸が発生する重合性オレフィンを有するスルホニウム塩、ヨードニウム塩が提案されている。特開2006−178317号公報には、スルホン酸が主鎖に直結したスルホニウム塩が提案されている。
Furthermore, an onium salt acid generator d having a polymerizable olefin may be copolymerized.
JP-A-4-230645, JP-A-2005-84365, and JP-A-2006-45311 propose sulfonium salts and iodonium salts having a polymerizable olefin that generates a specific sulfonic acid. Japanese Patent Application Laid-Open No. 2006-178317 proposes a sulfonium salt in which a sulfonic acid is directly bonded to the main chain.

本発明では、下記一般式(5)で示されるスルホニウム塩を持つ繰り返し単位d1〜d3を共重合することができる。

Figure 2011138107

(式中、R20、R24、R28は水素原子又はメチル基、R21は単結合、フェニレン基、−O−R33−、又は−C(=O)−Y−R33−である。Yは酸素原子又はNH、R33は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、フェニレン基又はアルケニレン基であり、カルボニル基(−CO−)、エステル基(−COO−)、エーテル基(−O−)又はヒドロキシ基を含んでいてもよい。R22、R23、R25、R26、R27、R29、R30、R31は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表す。Z0は単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R32−、又は−C(=O)−Z1−R32−である。Z1は酸素原子又はNH、R32は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、フェニレン基又はアルケニレン基であり、カルボニル基、エステル基、エーテル基又はヒドロキシ基を含んでいてもよい。M-は非求核性対向イオンを表す。0≦d1≦0.3、0≦d2≦0.3、0≦d3≦0.3、0≦d1+d2+d3≦0.3の範囲である。) In the present invention, repeating units d1 to d3 having a sulfonium salt represented by the following general formula (5) can be copolymerized.
Figure 2011138107

(Wherein R 20 , R 24 and R 28 are a hydrogen atom or a methyl group, R 21 is a single bond, a phenylene group, —O—R 33 —, or —C (═O) —Y—R 33 —. Y is an oxygen atom or NH, R 33 is a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, a phenylene group or an alkenylene group, a carbonyl group (—CO—), an ester group (—COO) -), An ether group (-O-) or a hydroxy group, R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 30 , R 31 may be the same or different. 1 to 12 linear, branched or cyclic alkyl group, which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms or an aralkyl having 7 to 20 carbon atoms Z 0 represents a single bond, a methylene group, an ethylene group, a phenyl group, or a thiophenyl group. Rene group, fluorinated phenylene group, —O—R 32 —, or —C (═O) —Z 1 —R 32 —, wherein Z 1 is an oxygen atom or NH, and R 32 has 1 to 6 carbon atoms. A linear, branched or cyclic alkylene group, a phenylene group or an alkenylene group, which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, and M represents a non-nucleophilic counter ion. 0 ≦ d1 ≦ 0.3, 0 ≦ d2 ≦ 0.3, 0 ≦ d3 ≦ 0.3, 0 ≦ d1 + d2 + d3 ≦ 0.3.

繰り返し単位d2、d3の主鎖にスルホン酸が結合した場合のスルホニウム塩としては、具体的には特開2008−133448号公報の段落[0021]〜[0024]に記載されている。   Specific examples of the sulfonium salt when sulfonic acid is bonded to the main chain of the repeating units d2 and d3 are described in paragraphs [0021] to [0024] of JP-A-2008-133448.

EUVの13.5nmを発光させるためのレーザー励起型プラズマ光源(LPP;Laser Produced Plasma)方式では、CO2レーザーを錫の粒子に照射するが、この時にEUV光の13.5nm以外に140〜300nmの長波長の弱い光が発光する。この長波長光はOOB;Out of Bandと呼ばれているが、OOBは全面に照射されるために、これに感光するとレジストのコントラストが低下したり未露光部分の膜減りが生じたりする。EUV光には高感度で、かつOOBに低感度なレジストの開発が望まれている。 In a laser excited plasma light source (LPP) method for emitting 13.5 nm of EUV, CO 2 laser is irradiated to tin particles. At this time, 140 to 300 nm other than 13.5 nm of EUV light is used. Light with a long wavelength is emitted. Although this long wavelength light is called OOB; Out of Band, since the OOB is irradiated on the entire surface, exposure to the OOB reduces the contrast of the resist or reduces the thickness of the unexposed portion. Development of a resist having high sensitivity to EUV light and low sensitivity to OOB is desired.

ここで、特開2008−133448号公報の段落[0022]に記載されている環構造を有するスルホニウム塩は、KrF露光やArF露光での感度が鈍く、EUV露光での感度がトリフェニルスルホニウム塩などの環構造を有していないものとほぼ同等の感度を示す。段落[0022]に記載されている環構造を有するスルホニウム塩は、OOB光に対して耐性が高く、特にEUV露光において好ましく用いることができる。ここで、環構造を有するスルホニウム塩とは、上記式(5)においてR25とR26、R25とR27、R26とR27、あるいはR25とR26、R25とR27、R26とR27が互いに結合して環を形成している形態を示す。 Here, the sulfonium salt having a ring structure described in paragraph [0022] of JP-A-2008-133448 has low sensitivity in KrF exposure and ArF exposure, and has sensitivity in EUV exposure such as triphenylsulfonium salt. The sensitivity is almost the same as that having no ring structure. The sulfonium salt having a ring structure described in paragraph [0022] has high resistance to OOB light, and can be preferably used particularly in EUV exposure. Here, the sulfonium salt having a ring structure is R 25 and R 26 , R 25 and R 27 , R 26 and R 27 , or R 25 and R 26 , R 25 and R 27 , R in the above formula (5). 26 and R 27 are bonded to each other to form a ring.

-の非求核性対向イオンとしては、塩化物イオン、臭化物イオン等のハライドイオン、トリフレート、1,1,1−トリフルオロエタンスルホネート、ノナフルオロブタンスルホネート等のフルオロアルキルスルホネート、トシレート、ベンゼンスルホネート、4−フルオロベンゼンスルホネート、1,2,3,4,5−ペンタフルオロベンゼンスルホネート等のアリールスルホネート、メシレート、ブタンスルホネート等のアルキルスルホネート、ビス(トリフルオロメチルスルホニル)イミド、ビス(パーフルオロエチルスルホニル)イミド、ビス(パーフルオロブチルスルホニル)イミド等のイミド酸、トリス(トリフルオロメチルスルホニル)メチド、トリス(パーフルオロエチルスルホニル)メチドなどのメチド酸を挙げることができる。 Non-nucleophilic counter ions of M include halide ions such as chloride ions and bromide ions, triflate, fluoroalkyl sulfonates such as 1,1,1-trifluoroethanesulfonate, nonafluorobutanesulfonate, tosylate, and benzene. Sulfonate, 4-fluorobenzene sulfonate, aryl sulfonate such as 1,2,3,4,5-pentafluorobenzene sulfonate, alkyl sulfonate such as mesylate and butane sulfonate, bis (trifluoromethylsulfonyl) imide, bis (perfluoroethyl) Mention acid such as imide) such as sulfonyl) imide, bis (perfluorobutylsulfonyl) imide, tris (trifluoromethylsulfonyl) methide, tris (perfluoroethylsulfonyl) methide It can be.

ポリマー主鎖に酸発生剤を結合させることによって酸拡散を小さくし、酸拡散のぼけによる解像性の低下を防止できる。また、酸発生剤が均一に分散することによってエッジラフネス(LER、LWR)が改善される。   By binding an acid generator to the polymer main chain, acid diffusion can be reduced, and degradation of resolution due to blurring of acid diffusion can be prevented. Further, the edge roughness (LER, LWR) is improved by uniformly dispersing the acid generator.

本発明は酸不安定基を有する繰り返し単位としてaの繰り返し単位を有することを必須とするが、下記一般式(7)で示される酸不安定基R15で置換された(メタ)アクリル酸エステルの繰り返し単位e、酸不安定基R17で置換されたヒドロキシスチレンの繰り返し単位fを追加共重合することもできる。

Figure 2011138107

(式中、R14、R16は水素原子又はメチル基を表し、R15、R17は一般式(1)で示される基以外の酸不安定基である。qは1又は2である。) The present invention essentially has a repeating unit a as a repeating unit having an acid labile group, but is a (meth) acrylic acid ester substituted with an acid labile group R 15 represented by the following general formula (7) It is also possible to additionally copolymerize the repeating unit e and the repeating unit f of hydroxystyrene substituted with the acid labile group R 17 .
Figure 2011138107

(In the formula, R 14 and R 16 represent a hydrogen atom or a methyl group, and R 15 and R 17 are acid labile groups other than the group represented by the general formula (1). Q is 1 or 2. )

繰り返し単位a、b、c、d、e、f以外に共重合できる繰り返し単位gとしては、スチレン、ビニルナフタレン、ビニルアントラセン、ビニルピレン、メチレンインダンなどが挙げられる。   Examples of the repeating unit g that can be copolymerized in addition to the repeating units a, b, c, d, e, and f include styrene, vinyl naphthalene, vinyl anthracene, vinyl pyrene, and methylene indane.

酸不安定基(一般式(7)のR15、R17の酸不安定基)は、種々選定されるが、同一でも異なっていてもよく、特に下記式(A−1)〜(A−3)で置換された基で示されるものが挙げられる。 The acid labile group (acid labile groups of R 15 and R 17 in the general formula (7)) is variously selected, and may be the same or different. In particular, the following formulas (A-1) to (A- Examples thereof include those represented by the group substituted in 3).

Figure 2011138107
Figure 2011138107

式(A−1)において、RL30は炭素数4〜20、好ましくは4〜15の3級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基又は上記一般式(A−3)で示される基を示し、3級アルキル基として具体的には、tert−ブチル基、tert−アミル基、1,1−ジエチルプロピル基、1−エチルシクロペンチル基、1−ブチルシクロペンチル基、1−エチルシクロヘキシル基、1−ブチルシクロヘキシル基、1−エチル−2−シクロペンテニル基、1−エチル−2−シクロヘキセニル基、2−メチル−2−アダマンチル基等が挙げられ、トリアルキルシリル基として具体的には、トリメチルシリル基、トリエチルシリル基、ジメチル−tert−ブチルシリル基等が挙げられ、オキソアルキル基として具体的には、3−オキソシクロヘキシル基、4−メチル−2−オキソオキサン−4−イル基、5−メチル−2−オキソオキソラン−5−イル基等が挙げられる。A1は0〜6の整数である。 In the formula (A-1), R L30 is a tertiary alkyl group having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, each alkyl group is a trialkylsilyl group having 1 to 6 carbon atoms, and 4 to 20 carbon atoms. An oxoalkyl group or a group represented by the above general formula (A-3) is shown. Specific examples of the tertiary alkyl group include a tert-butyl group, a tert-amyl group, a 1,1-diethylpropyl group, and 1-ethyl. Cyclopentyl group, 1-butylcyclopentyl group, 1-ethylcyclohexyl group, 1-butylcyclohexyl group, 1-ethyl-2-cyclopentenyl group, 1-ethyl-2-cyclohexenyl group, 2-methyl-2-adamantyl group, etc. Specific examples of the trialkylsilyl group include a trimethylsilyl group, a triethylsilyl group, a dimethyl-tert-butylsilyl group, and the like. Specific examples of the oxoalkyl group include a 3-oxocyclohexyl group, a 4-methyl-2-oxooxan-4-yl group, and a 5-methyl-2-oxooxolan-5-yl group. A1 is an integer of 0-6.

式(A−2)において、RL31、RL32は水素原子又は炭素数1〜18、好ましくは1〜10の直鎖状、分岐状又は環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、シクロペンチル基、シクロヘキシル基、2−エチルヘキシル基、n−オクチル基等を例示できる。RL33は炭素数1〜18、好ましくは1〜10の酸素原子等のヘテロ原子を有してもよい1価の炭化水素基を示し、直鎖状、分岐状もしくは環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、オキソ基、アミノ基、アルキルアミノ基等に置換されたものを挙げることができ、具体的には下記の置換アルキル基等が例示できる。 In the formula (A-2), R L31 and R L32 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, specifically a methyl group, Examples thereof include an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, a cyclopentyl group, a cyclohexyl group, a 2-ethylhexyl group, and an n-octyl group. R L33 represents a monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, a linear, branched or cyclic alkyl group, Examples include those in which a part of hydrogen atoms are substituted with a hydroxyl group, an alkoxy group, an oxo group, an amino group, an alkylamino group, and the like, and specific examples include the following substituted alkyl groups.

Figure 2011138107
Figure 2011138107

L31とRL32、RL31とRL33、RL32とRL33とは結合してこれらが結合する炭素原子と共に環を形成してもよく、環を形成する場合には環の形成に関与するRL31、RL32、RL33はそれぞれ炭素数1〜18、好ましくは1〜10の直鎖状又は分岐状のアルキレン基を示し、好ましくは環の炭素数は3〜10、特に4〜10である。 R L31 and R L32 , R L31 and R L33 , R L32 and R L33 may combine to form a ring with the carbon atom to which they are bonded, and in the case of forming a ring, it participates in the formation of the ring. R L31 , R L32 , and R L33 each represent a linear or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and preferably the ring has 3 to 10 carbon atoms, particularly 4 to 10 carbon atoms. is there.

上記式(A−1)の酸不安定基としては、具体的にはtert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1,1−ジエチルプロピルオキシカルボニル基、1,1−ジエチルプロピルオキシカルボニルメチル基、1−エチルシクロペンチルオキシカルボニル基、1−エチルシクロペンチルオキシカルボニルメチル基、1−エチル−2−シクロペンテニルオキシカルボニル基、1−エチル−2−シクロペンテニルオキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等が例示できる。   Specific examples of the acid labile group of the above formula (A-1) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1,1 -Diethylpropyloxycarbonyl group, 1,1-diethylpropyloxycarbonylmethyl group, 1-ethylcyclopentyloxycarbonyl group, 1-ethylcyclopentyloxycarbonylmethyl group, 1-ethyl-2-cyclopentenyloxycarbonyl group, 1-ethyl Examples include 2-cyclopentenyloxycarbonylmethyl group, 1-ethoxyethoxycarbonylmethyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group and the like.

更に、下記式(A−1)−1〜(A−1)−10で示される置換基を挙げることもできる。

Figure 2011138107
Furthermore, the substituent shown by following formula (A-1) -1-(A-1) -10 can also be mentioned.
Figure 2011138107

ここで、RL37は互いに同一又は異種の炭素数1〜10の直鎖状、分岐状もしくは環状のアルキル基、又は炭素数6〜20のアリール基、RL38は水素原子、又は炭素数1〜10の直鎖状、分岐状もしくは環状のアルキル基である。
また、RL39は互いに同一又は異種の炭素数2〜10の直鎖状、分岐状もしくは環状のアルキル基、又は炭素数6〜20のアリール基である。
A1は上記の通りである。
Here, R L37 is the same or different from each other, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group having 6 to 20 carbon atoms, R L38 is a hydrogen atom, or 1 to 1 carbon atoms. 10 linear, branched or cyclic alkyl groups.
R L39 is the same or different from each other, a linear, branched or cyclic alkyl group having 2 to 10 carbon atoms, or an aryl group having 6 to 20 carbon atoms.
A1 is as described above.

上記式(A−2)で示される酸不安定基のうち、直鎖状又は分岐状のものとしては、下記式(A−2)−1〜(A−2)−35のものを例示することができる。

Figure 2011138107
Of the acid labile groups represented by the above formula (A-2), examples of the linear or branched groups include those of the following formulas (A-2) -1 to (A-2) -35. be able to.
Figure 2011138107

Figure 2011138107
Figure 2011138107

上記式(A−2)で示される酸不安定基のうち、環状のものとしては、テトラヒドロフラン−2−イル基、2−メチルテトラヒドロフラン−2−イル基、テトラヒドロピラン−2−イル基、2−メチルテトラヒドロピラン−2−イル基等が挙げられる。   Among the acid labile groups represented by the above formula (A-2), the cyclic ones include tetrahydrofuran-2-yl group, 2-methyltetrahydrofuran-2-yl group, tetrahydropyran-2-yl group, 2- Examples thereof include a methyltetrahydropyran-2-yl group.

また、一般式(A−2a)あるいは(A−2b)で表される酸不安定基によってベース樹脂が分子間あるいは分子内架橋されていてもよい。

Figure 2011138107
In addition, the base resin may be intermolecularly or intramolecularly crosslinked by an acid labile group represented by the general formula (A-2a) or (A-2b).
Figure 2011138107

式中、RL40、RL41は水素原子又は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基を示す。又は、RL40とRL41は結合してこれらが結合する炭素原子と共に環を形成してもよく、環を形成する場合には環の形成に関与するRL40、RL41は炭素数1〜8の直鎖状又は分岐状のアルキレン基を示す。RL42は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、B1、D1は0又は1〜10、好ましくは0又は1〜5の整数、C1は1〜7の整数である。Aは、(C1+1)価の炭素数1〜50の脂肪族もしくは脂環式飽和炭化水素基、芳香族炭化水素基又はヘテロ環基を示し、これらの基はヘテロ原子を介在してもよく、又はその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、カルボニル基又はフッ素原子によって置換されていてもよい。Bは−CO−O−、−NHCO−O−又は−NHCONH−を示す。 In the formula, R L40 and R L41 each represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms. Alternatively, R L40 and R L41 may be bonded to form a ring together with the carbon atom to which they are bonded, and when forming a ring, R L40 and R L41 involved in the formation of the ring have 1 to 8 carbon atoms. A linear or branched alkylene group. R L42 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, B1 and D1 are 0 or 1 to 10, preferably 0 or an integer of 1 to 5, and C1 is an integer of 1 to 7. . A represents a (C1 + 1) -valent aliphatic or alicyclic saturated hydrocarbon group having 1 to 50 carbon atoms, an aromatic hydrocarbon group or a heterocyclic group, and these groups may intervene a hetero atom, Alternatively, a part of hydrogen atoms bonded to the carbon atom may be substituted with a hydroxyl group, a carboxyl group, a carbonyl group, or a fluorine atom. B represents —CO—O—, —NHCO—O— or —NHCONH—.

この場合、好ましくは、Aは2〜4価の炭素数1〜20の直鎖状、分岐状又は環状のアルキレン基、アルキルトリイル基、アルキルテトライル基、炭素数6〜30のアリーレン基であり、これらの基はヘテロ原子を介在していてもよく、またその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、アシル基又はハロゲン原子によって置換されていてもよい。また、C1は好ましくは1〜3の整数である。   In this case, preferably, A is a divalent to tetravalent C1-20 linear, branched or cyclic alkylene group, an alkyltriyl group, an alkyltetrayl group, or an arylene group having 6 to 30 carbon atoms. In these groups, a hetero atom may be interposed, and a part of hydrogen atoms bonded to the carbon atom may be substituted with a hydroxyl group, a carboxyl group, an acyl group, or a halogen atom. C1 is preferably an integer of 1 to 3.

一般式(A−2a)、(A−2b)で示される架橋型アセタール基は、具体的には下記式(A−2)−36〜(A−2)−43のものが挙げられる。

Figure 2011138107
Specific examples of the crosslinked acetal groups represented by the general formulas (A-2a) and (A-2b) include those represented by the following formulas (A-2) -36 to (A-2) -43.
Figure 2011138107

次に、式(A−3)においてRL34、RL35、RL36は炭素数1〜20の直鎖状、分岐状もしくは環状のアルキル基等の1価炭化水素基であり、酸素、硫黄、窒素、フッ素などのヘテロ原子を含んでもよく、RL34とRL35、RL34とRL36、RL35とRL36とは互いに結合してこれらが結合する炭素原子と共に、炭素数3〜20の環を形成してもよい。 Next, in the formula (A-3), R L34 , R L35 and R L36 are monovalent hydrocarbon groups such as a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, oxygen, sulfur, Hetero atoms such as nitrogen and fluorine may be included, and R L34 and R L35 , R L34 and R L36 , R L35 and R L36 are bonded to each other, and together with the carbon atom to which they are bonded, a ring having 3 to 20 carbon atoms May be formed.

式(A−3)で示される3級アルキル基としては、tert−ブチル基、トリエチルカルビル基、1−エチルノルボニル基、1−メチルシクロヘキシル基、1−エチルシクロペンチル基、2−(2−メチル)アダマンチル基、2−(2−エチル)アダマンチル基、tert−アミル基等を挙げることができる。   As the tertiary alkyl group represented by the formula (A-3), a tert-butyl group, a triethylcarbyl group, a 1-ethylnorbornyl group, a 1-methylcyclohexyl group, a 1-ethylcyclopentyl group, 2- (2- A methyl) adamantyl group, a 2- (2-ethyl) adamantyl group, a tert-amyl group, and the like.

また、3級アルキル基としては、下記に示す式(A−3)−1〜(A−3)−18を具体的に挙げることもできる。

Figure 2011138107
Specific examples of the tertiary alkyl group include the following formulas (A-3) -1 to (A-3) -18.
Figure 2011138107

式(A−3)−1〜(A−3)−18中、RL43は同一又は異種の炭素数1〜8の直鎖状、分岐状又は環状のアルキル基、又は炭素数6〜20のフェニル基、ナフチル基等のアリール基を示す。RL44、RL46は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基を示す。RL45は炭素数6〜20のフェニル基等のアリール基を示す。 In formulas (A-3) -1 to (A-3) -18, R L43 is the same or different linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, or 6 to 20 carbon atoms. An aryl group such as a phenyl group or a naphthyl group is shown. R L44 and R L46 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R L45 represents an aryl group such as a phenyl group having 6 to 20 carbon atoms.

更に、下記式(A−3)−19、(A−3)−20に示すように、2価以上のアルキレン基、アリーレン基であるRL47を含んで、ポリマーの分子内あるいは分子間が架橋されていてもよい。

Figure 2011138107
Furthermore, as shown in the following formulas (A-3) -19 and (A-3) -20, a bivalent or higher valent alkylene group and an arylene group R L47 are included, and the polymer molecule or between molecules is crosslinked. May be.
Figure 2011138107

式(A−3)−19、(A−3)−20中、RL43は前述と同様、RL47は炭素数1〜20の直鎖状、分岐状もしくは環状のアルキレン基、又はフェニレン基等のアリーレン基を示し、酸素原子や硫黄原子、窒素原子などのヘテロ原子を含んでいてもよい。E1は1〜3の整数である。 In formulas (A-3) -19 and (A-3) -20, R L43 is the same as described above, and R L47 is a linear, branched or cyclic alkylene group having 1 to 20 carbon atoms, a phenylene group, or the like. And may contain a hetero atom such as an oxygen atom, a sulfur atom or a nitrogen atom. E1 is an integer of 1 to 3.

特に(A−3)の酸不安定基としては下記(A−3)−21に示されるエキソ体構造を有する(メタ)アクリル酸エステルの繰り返し単位が好ましく挙げられる。

Figure 2011138107

(式中、R14は前述の通り、Rc3は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示す。Rc4〜Rc9及びRc12、Rc13はそれぞれ独立に水素原子又は炭素数1〜15のヘテロ原子を含んでもよい1価の炭化水素基を示し、Rc10、Rc11は水素原子を示す。あるいは、Rc4とRc5、Rc6とRc8、Rc6とRc9、Rc7とRc9、Rc7とRc13、Rc8とRc12、Rc10とRc11又はRc11とRc12は互いに環を形成していてもよく、その場合には炭素数1〜15のヘテロ原子を含んでもよい2価の炭化水素基を示す。またRc4とRc13、Rc10とRc13又はRc6とRc8は隣接する炭素に結合するもの同士で何も介さずに結合し、二重結合を形成してもよい。また、本式により、鏡像体も表す。) In particular, the acid labile group (A-3) is preferably a repeating unit of a (meth) acrylic acid ester having an exo structure represented by the following (A-3) -21.
Figure 2011138107

(.R shown wherein, R 14 is as defined above, R c3 represents a linear 1 to 8 carbon atoms, branched or cyclic alkyl or optionally substituted aryl group having 6 to 20 carbon atoms c4 to R c9 and R c12 and R c13 each independently represent a hydrogen atom or a monovalent hydrocarbon group which may contain a hetero atom having 1 to 15 carbon atoms, and R c10 and R c11 each represent a hydrogen atom. , R c4 and R c5 , R c6 and R c8 , R c6 and R c9 , R c7 and R c9 , R c7 and R c13 , R c8 and R c12 , R c10 and R c11, or R c11 and R c12 are A divalent hydrocarbon group which may form a ring and may contain a hetero atom having 1 to 15 carbon atoms, R c4 and R c13 , R c10 and R c13 or R c6 ; R c8 may be bonded to adjacent carbons without any intervening bonds to form a double bond, and this formula also represents an enantiomer.)

ここで、一般式(A−3)−21に示すエキソ構造を有する繰り返し単位を得るためのエステル体のモノマーとしては特開2000−327633号公報に示されている。具体的には下記に挙げることができるが、これらに限定されることはない。   Here, an ester monomer for obtaining a repeating unit having an exo structure represented by the general formula (A-3) -21 is disclosed in JP-A No. 2000-327633. Specific examples include the following, but are not limited thereto.

Figure 2011138107
Figure 2011138107

次に式(A−3)に示される酸不安定基としては、下記式(A−3)−22に示されるフランジイル、テトラヒドロフランジイル又はオキサノルボルナンジイルを有する(メタ)アクリル酸エステルの酸不安定基を挙げることができる。

Figure 2011138107

(式中、R14は前述の通りである。Rc14、Rc15はそれぞれ独立に炭素数1〜10の直鎖状、分岐状又は環状の1価炭化水素基を示す。又は、Rc14、Rc15は互いに結合してこれらが結合する炭素原子と共に脂肪族炭化水素環を形成してもよい。Rc16はフランジイル、テトラヒドロフランジイル又はオキサノルボルナンジイルから選ばれる2価の基を示す。Rc17は水素原子又はヘテロ原子を含んでもよい炭素数1〜10の直鎖状、分岐状又は環状の1価炭化水素基を示す。) Next, as the acid labile group represented by the formula (A-3), the acid resistance of (meth) acrylic acid ester having frangyl, tetrahydrofurandiyl or oxanorbornanediyl represented by the following formula (A-3) -22 is used. Mention may be made of stabilizing groups.
Figure 2011138107

(In the formula, R 14 is as described above. R c14 and R c15 each independently represent a linear, branched or cyclic monovalent hydrocarbon group having 1 to 10 carbon atoms, or R c14 , R c15 may be bonded to each other to form an aliphatic hydrocarbon ring together with the carbon atom to which they are bonded, and R c16 represents a divalent group selected from frangyl , tetrahydrofurandiyl or oxanorbornanediyl. Represents a linear, branched or cyclic monovalent hydrocarbon group having 1 to 10 carbon atoms which may contain a hydrogen atom or a hetero atom.)

フランジイル、テトラヒドロフランジイル又はオキサノルボルナンジイルを有する酸不安定基で置換された繰り返し単位を得るためのモノマーは下記に例示される。なお、Acはアセチル基、Meはメチル基を示す。   Monomers for obtaining repeating units substituted with acid labile groups having frangyl, tetrahydrofuraniyl or oxanorbornanediyl are exemplified below. Ac represents an acetyl group and Me represents a methyl group.

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

これら高分子化合物を合成するには、1つの方法としては、繰り返し単位a〜gを与えるモノマーのうち所望のモノマーを、有機溶剤中、ラジカル重合開始剤を加え加熱重合を行い、共重合体の高分子化合物を得ることができる。   In order to synthesize these polymer compounds, as one method, a desired monomer among the monomers giving the repeating units a to g is heated in an organic solvent with a radical polymerization initiator added, and the copolymer is heated. A polymer compound can be obtained.

重合時に使用する有機溶剤としてはトルエン、ベンゼン、テトラヒドロフラン、ジエチルエーテル、ジオキサン等が例示できる。重合開始剤としては、2,2’−アゾビスイソブチロニトリル(AIBN)、2,2’−アゾビス(2,4−ジメチルバレロニトリル)、ジメチル2,2−アゾビス(2−メチルプロピオネート)、ベンゾイルパーオキシド、ラウロイルパーオキシド等が例示でき、好ましくは50〜80℃に加熱して重合できる。反応時間としては2〜100時間、好ましくは5〜20時間である。   Examples of the organic solvent used at the time of polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, dioxane and the like. As polymerization initiators, 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis (2-methylpropionate) ), Benzoyl peroxide, lauroyl peroxide and the like, and preferably polymerized by heating to 50 to 80 ° C. The reaction time is 2 to 100 hours, preferably 5 to 20 hours.

ヒドロキシスチレン、ヒドロキシビニルナフタレンを共重合する場合は、ヒドロキシスチレン、ヒドロキシビニルナフタレンの代わりにアセトキシスチレン、アセトキシビニルナフタレンを用い、重合後上記アルカリ加水分解によってアセトキシ基を脱保護してポリヒドロキシスチレン、ヒドロキシポリビニルナフタレンにする方法もある。   When copolymerizing hydroxystyrene and hydroxyvinylnaphthalene, acetoxystyrene and acetoxyvinylnaphthalene are used in place of hydroxystyrene and hydroxyvinylnaphthalene, and after polymerization, the acetoxy group is deprotected by the above alkaline hydrolysis to produce polyhydroxystyrene and hydroxyhydroxyl. There is also a method of making polyvinyl naphthalene.

アルカリ加水分解時の塩基としては、アンモニア水、トリエチルアミン等が使用できる。また反応温度としては−20〜100℃、好ましくは0〜60℃であり、反応時間としては0.2〜100時間、好ましくは0.5〜20時間である。   Ammonia water, triethylamine, etc. can be used as the base during the alkali hydrolysis. The reaction temperature is −20 to 100 ° C., preferably 0 to 60 ° C., and the reaction time is 0.2 to 100 hours, preferably 0.5 to 20 hours.

ここで、繰り返し単位a〜gの割合は、下記の通りである。
aは0<a<1.0、好ましくは0.05≦a≦0.8、更に好ましくは0.08≦a≦0.7、
bは0<b<1.0、好ましくは0.1≦b≦0.9、更に好ましくは0.15≦b≦0.8、
cは0≦c<1.0、好ましくは0≦c≦0.9、更に好ましくは0≦c≦0.8、
dは0≦d≦0.5、好ましくは0≦d≦0.4、更に好ましくは0≦d≦0.3、
eは0≦e≦0.5、好ましくは0≦e≦0.4、更に好ましくは0≦e≦0.3、
fは0≦f≦0.5、好ましくは0≦f≦0.4、更に好ましくは0≦f≦0.3、
gは0≦g≦0.5、好ましくは0≦g≦0.4、更に好ましくは0≦g≦0.3
であり、0.2≦a+b+c≦1.0、特に0.3≦a+b+c≦1.0であることが好ましく、a+b+c+d+e+f+g=1である。
なお、例えば、a+b+c=1とは、繰り返し単位a、b、cを含む高分子化合物において、繰り返し単位a、b、cの合計量が全繰り返し単位の合計量に対して100モル%であることを示し、a+b+c<1とは、繰り返し単位a、b、cの合計量が全繰り返し単位の合計量に対して100モル%未満でa、b、c以外に他の繰り返し単位を有していることを示す。
Here, the ratio of the repeating units a to g is as follows.
a is 0 <a <1.0, preferably 0.05 ≦ a ≦ 0.8, more preferably 0.08 ≦ a ≦ 0.7,
b is 0 <b <1.0, preferably 0.1 ≦ b ≦ 0.9, more preferably 0.15 ≦ b ≦ 0.8,
c is 0 ≦ c <1.0, preferably 0 ≦ c ≦ 0.9, more preferably 0 ≦ c ≦ 0.8,
d is 0 ≦ d ≦ 0.5, preferably 0 ≦ d ≦ 0.4, more preferably 0 ≦ d ≦ 0.3,
e is 0 ≦ e ≦ 0.5, preferably 0 ≦ e ≦ 0.4, more preferably 0 ≦ e ≦ 0.3,
f is 0 ≦ f ≦ 0.5, preferably 0 ≦ f ≦ 0.4, more preferably 0 ≦ f ≦ 0.3,
g is 0 ≦ g ≦ 0.5, preferably 0 ≦ g ≦ 0.4, and more preferably 0 ≦ g ≦ 0.3.
0.2 ≦ a + b + c ≦ 1.0, preferably 0.3 ≦ a + b + c ≦ 1.0, and a + b + c + d + e + f + g = 1.
For example, a + b + c = 1 means that in a polymer compound containing repeating units a, b, and c, the total amount of repeating units a, b, and c is 100 mol% with respect to the total amount of all repeating units. A + b + c <1 means that the total amount of the repeating units a, b and c is less than 100 mol% with respect to the total amount of all the repeating units and has other repeating units in addition to a, b and c. It shows that.

本発明のレジスト材料に用いられる高分子化合物は、それぞれ重量平均分子量が1,000〜500,000、好ましくは2,000〜30,000である。重量平均分子量が小さすぎるとレジスト材料が耐熱性に劣るものとなり、大きすぎるとアルカリ溶解性が低下し、パターン形成後に裾引き現象が生じ易くなってしまう。
なお、重量平均分子量(Mw)は、溶媒としてテトラヒドロフラン、アセトニトリル、ジメチルホルムアミドを用いたゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算測定値である。
The polymer compound used in the resist material of the present invention has a weight average molecular weight of 1,000 to 500,000, preferably 2,000 to 30,000. If the weight average molecular weight is too small, the resist material is inferior in heat resistance. If the weight average molecular weight is too large, the alkali solubility is lowered, and a trailing phenomenon is likely to occur after pattern formation.
In addition, a weight average molecular weight (Mw) is a polystyrene conversion measured value by gel permeation chromatography (GPC) using tetrahydrofuran, acetonitrile, and dimethylformamide as a solvent.

更に、本発明のポジ型レジスト材料に用いられる高分子化合物においては、多成分共重合体の分子量分布(Mw/Mn)が広い場合は低分子量や高分子量のポリマーが存在するために、露光後、パターン上に異物が見られたり、パターンの形状が悪化したりする。それ故、パターンルールが微細化するに従ってこのような分子量、分子量分布の影響が大きくなり易いことから、微細なパターン寸法に好適に用いられるレジスト材料を得るには、使用する多成分共重合体の分子量分布は1.0〜2.0、特に1.0〜1.5と狭分散であることが好ましい。
また、組成比率や分子量分布や分子量が異なる2つ以上のポリマーをブレンドすることも可能である。
Furthermore, in the high molecular compound used in the positive resist material of the present invention, when the molecular weight distribution (Mw / Mn) of the multi-component copolymer is wide, there is a low molecular weight or high molecular weight polymer. Foreign matter is seen on the pattern or the shape of the pattern is deteriorated. Therefore, since the influence of such molecular weight and molecular weight distribution tends to increase as the pattern rule becomes finer, in order to obtain a resist material suitably used for fine pattern dimensions, the multi-component copolymer to be used is obtained. The molecular weight distribution is preferably from 1.0 to 2.0, particularly preferably from 1.0 to 1.5 and narrow dispersion.
It is also possible to blend two or more polymers having different composition ratios, molecular weight distributions, and molecular weights.

本発明に係る高分子化合物は、ポジ型レジスト材料、特に化学増幅ポジ型レジスト材料のベース樹脂として好適で、このような高分子化合物をベース樹脂とし、これに有機溶剤、酸発生剤、溶解制御剤、塩基性化合物、界面活性剤等を目的に応じ適宜組み合わせて配合してポジ型レジスト材料を構成することによって、露光部では前記高分子化合物が触媒反応により現像液に対する溶解速度が加速されるので、極めて高感度のポジ型レジスト材料とすることができ、レジスト膜の溶解コントラスト及び解像性が高く、露光余裕度があり、プロセス適応性に優れ、露光後のパターン形状が良好でありながら、より優れたエッチング耐性を示し、特に酸拡散を抑制できることから粗密寸法差が小さく、これらのことから実用性が高く、超LSI用レジスト材料として非常に有効なものとすることができる。特に、酸発生剤を含有させ、酸触媒反応を利用した化学増幅ポジ型レジスト材料とすると、より高感度のものとすることができると共に、諸特性が一層優れたものとなり極めて有用なものとなる。
また、ポジ型レジスト材料に溶解制御剤を配合することによって、露光部と未露光部との溶解速度の差を一層大きくすることができ、解像度を一層向上させることができる。
更に、塩基性化合物を添加することによって、例えばレジスト膜中での酸の拡散速度を抑制し解像度を一層向上させることができるし、界面活性剤を添加することによってレジスト材料の塗布性を一層向上あるいは制御することができる。
The polymer compound according to the present invention is suitable as a base resin for a positive resist material, particularly a chemically amplified positive resist material. Such a polymer compound is used as a base resin, and an organic solvent, an acid generator, and a dissolution control agent are used as the base resin. The positive resist material is composed by appropriately combining agents, basic compounds, surfactants, and the like according to the purpose, whereby the dissolution rate of the polymer compound in the developing solution is accelerated by a catalytic reaction in the exposed area. Therefore, a positive resist material with extremely high sensitivity can be obtained, the dissolution contrast and resolution of the resist film are high, the exposure margin is excellent, the process adaptability is excellent, and the pattern shape after exposure is good. , Which shows better etching resistance, especially because acid diffusion can be suppressed, so the difference in density between the dense and the small is small. It can be very effective as a resist material. In particular, when a chemically amplified positive resist material containing an acid generator and utilizing an acid catalyzed reaction is used, the sensitivity can be increased, and various characteristics are further improved and extremely useful. .
In addition, by adding a dissolution control agent to the positive resist material, the difference in dissolution rate between the exposed area and the unexposed area can be further increased, and the resolution can be further improved.
Furthermore, by adding a basic compound, for example, the acid diffusion rate in the resist film can be suppressed to further improve the resolution, and by adding a surfactant, the coatability of the resist material can be further improved. Alternatively, it can be controlled.

本発明のポジ型レジスト材料には、本発明のパターン形成方法に用いる化学増幅ポジ型レジスト材料を機能させるために酸発生剤を含んでもよく、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有してもよい。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでも構わない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。以下に詳述するが、これらは単独であるいは2種以上混合して用いることができる。
酸発生剤の具体例としては、特開2008−111103号公報の段落[0122]〜[0142]に記載されている。
The positive resist material of the present invention may contain an acid generator for causing the chemically amplified positive resist material used in the pattern forming method of the present invention to function. For example, it generates an acid in response to actinic rays or radiation. May contain a compound (photoacid generator). The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. Although described in detail below, these can be used alone or in admixture of two or more.
Specific examples of the acid generator are described in paragraphs [0122] to [0142] of JP-A-2008-111103.

本発明のレジスト材料は、更に、有機溶剤、塩基性化合物、溶解制御剤、界面活性剤、アセチレンアルコール類のいずれか1つ以上を含有することができる。
有機溶媒の具体例としては、特開2008−111103号公報の段落[0144]〜[0145]に記載のシクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類及びその混合溶剤が挙げられ、塩基性化合物としては段落[0146]〜[0164]に記載の1級、2級、3級のアミン化合物、特にはヒドロキシ基、エーテル基、エステル基、ラクトン環、シアノ基、スルホン酸エステル基を有するアミン化合物を挙げることができ、界面活性剤は段落[0165]〜[0166]、溶解制御剤としては特開2008−122932号公報の段落[0155]〜[0178]、アセチレンアルコール類は段落[0179]〜[0182]に記載されている。特開2008−239918号公報記載のポリマー型のクエンチャーを添加することもできる。このものは、コート後のレジスト表面に配向することによってパターン後のレジストの矩形性を高める。ポリマー型クエンチャーは、液浸露光用の保護膜を適用したときのパターンの膜減りやパターントップのラウンディングを防止する効果もある。
The resist material of the present invention can further contain any one or more of an organic solvent, a basic compound, a dissolution controller, a surfactant, and acetylene alcohols.
Specific examples of the organic solvent include ketones such as cyclohexanone and methyl-2-n-amyl ketone described in paragraphs [0144] to [0145] of JP-A-2008-111103, 3-methoxybutanol, 3-methyl- Alcohols such as 3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether , Ethers such as diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, pyruvic acid Esters such as chill, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, lactones such as γ-butyrolactone, and the like Examples of basic compounds include primary, secondary, and tertiary amine compounds described in paragraphs [0146] to [0164], particularly hydroxy groups, ether groups, ester groups, lactone rings, and cyano. And amine compounds having a sulfonic acid ester group, the surfactants are paragraphs [0165] to [0166], and the dissolution control agents are paragraphs [0155] to [0178] of JP-A-2008-122932. Acetylene alcohols are paragraphs [0179] to [0182]. It is described in. A polymer-type quencher described in JP-A-2008-239918 can also be added. This enhances the rectangularity of the patterned resist by being oriented on the coated resist surface. The polymer quencher also has an effect of preventing pattern film loss and pattern top rounding when a protective film for immersion exposure is applied.

なお、酸発生剤の配合量は、ベース樹脂100質量部に対し0.01〜100質量部、特に0.1〜80質量部とすることが好ましく、有機溶剤の配合量は、ベース樹脂100質量部に対し50〜10,000質量部、特に100〜5,000質量部であることが好ましい。また、ベース樹脂100質量部に対し、溶解制御剤は0〜50質量部、特に0〜40質量部、塩基性化合物は0〜100質量部、特に0.001〜50質量部、界面活性剤は0〜10質量部、特に0.0001〜5質量部の配合量とすることが好ましい。   In addition, it is preferable that the compounding quantity of an acid generator shall be 0.01-100 mass parts with respect to 100 mass parts of base resins, especially 0.1-80 mass parts, and the compounding quantity of an organic solvent is 100 mass of base resins. The amount is preferably 50 to 10,000 parts by mass, particularly 100 to 5,000 parts by mass with respect to parts. Moreover, 0-100 mass parts, especially 0-40 mass parts, a basic compound are 0-100 mass parts with respect to 100 mass parts of base resins, especially 0.001-50 mass parts, surfactant is a surfactant. The blending amount is preferably 0 to 10 parts by mass, particularly 0.0001 to 5 parts by mass.

本発明のポジ型レジスト材料、例えば有機溶剤と、一般式(1)で示される酸脱離基を有する高分子化合物と、酸発生剤、塩基性化合物を含む化学増幅ポジ型レジスト材料を種々の集積回路製造に用いる場合は、特に限定されないが公知のリソグラフィー技術を適用することができる。   Various positive resist materials of the present invention, for example, chemically amplified positive resist materials containing an organic solvent, a polymer compound having an acid leaving group represented by the general formula (1), an acid generator, and a basic compound When used for manufacturing an integrated circuit, a known lithography technique can be applied although it is not particularly limited.

例えば、本発明のポジ型レジスト材料を、集積回路製造用の基板(Si、SiO2、SiN、SiON、TiN、WSi、BPSG、SOG、有機反射防止膜等)あるいはマスク回路製造用の基板(Cr、CrO、CrON、MoSi、SiO2等)上にスピンコート、ロールコート、フローコート、ディップコート、スプレーコート、ドクターコート等の適当な塗布方法により塗布膜厚が0.1〜2.0μmとなるように塗布する。これをホットプレート上で60〜150℃、10秒〜30分間、好ましくは80〜120℃、30秒〜20分間プリベークする。次いで、紫外線、遠紫外線、電子線、X線、エキシマレーザー、γ線、シンクロトロン放射線、真空紫外線(軟X線)等の高エネルギー線から選ばれる光源で目的とするパターンを所定のマスクを通じてもしくは直接露光を行う。露光量は1〜200mJ/cm2程度、好ましくは10〜100mJ/cm2、又は0.1〜100μC/cm2、好ましくは0.5〜50μC/cm2程度となるように露光することが好ましい。次に、ホットプレート上で60〜150℃、10秒〜30分間、好ましくは80〜120℃、30秒〜20分間ポストエクスポージャベーク(PEB)する。 For example, the positive resist material of the present invention is applied to a substrate for manufacturing an integrated circuit (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflection film, etc.) or a substrate for manufacturing a mask circuit (Cr , CrO, CrON, MoSi, SiO 2, etc.) by an appropriate coating method such as spin coating, roll coating, flow coating, dip coating, spray coating, doctor coating, etc., the coating film thickness becomes 0.1 to 2.0 μm. Apply as follows. This is pre-baked on a hot plate at 60 to 150 ° C. for 10 seconds to 30 minutes, preferably 80 to 120 ° C. for 30 seconds to 20 minutes. Next, a target pattern is passed through a predetermined mask with a light source selected from high energy rays such as ultraviolet rays, far ultraviolet rays, electron beams, X-rays, excimer lasers, γ rays, synchrotron radiation, and vacuum ultraviolet rays (soft X-rays). Direct exposure is performed. Exposure amount 1 to 200 mJ / cm 2 or so, it is preferred that preferably 10 to 100 mJ / cm 2, or 0.1~100μC / cm 2, preferably exposure to a 0.5~50μC / cm 2 of about . Next, post-exposure baking (PEB) is performed on a hot plate at 60 to 150 ° C. for 10 seconds to 30 minutes, preferably 80 to 120 ° C. for 30 seconds to 20 minutes.

更に、0.1〜10質量%、好ましくは2〜10質量%、特に2〜5質量%のテトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド(TEAH)、テトラプロピルアンモニウムヒドロキシド(TPAH)、テトラブチルアンモニウムヒドロキシド(TBAH)等のアルカリ水溶液の現像液を用い、3秒〜3分間、好ましくは5秒〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像することにより、光を照射した部分は現像液に溶解し、露光されなかった部分は溶解せず、基板上に目的のポジ型のパターンが形成される。なお、本発明のレジスト材料は、特に高エネルギー線の中でも電子線、真空紫外線(軟X線)、X線、γ線、シンクロトロン放射線による微細パターニングに最適である。   Furthermore, 0.1 to 10% by weight, preferably 2 to 10% by weight, especially 2 to 5% by weight of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH) 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes, using a developing solution of an alkaline aqueous solution such as tetrabutylammonium hydroxide (TBAH), dip method, paddle method, spray method By developing by a conventional method such as the above, a portion irradiated with light is dissolved in the developer, and a portion not exposed is not dissolved, and a desired positive pattern is formed on the substrate. The resist material of the present invention is particularly suitable for fine patterning using electron beams, vacuum ultraviolet rays (soft X-rays), X-rays, γ rays, and synchrotron radiation among high energy rays.

一般的に広く用いられているTMAH水溶液よりも、アルキル鎖を長くしたTEAH、TPAH、TBAHは現像中の膨潤を低減させてパターンの倒れを防ぐ効果がある。特許第3429592号公報には、アダマンタンメタクリレートのような脂環構造を有する繰り返し単位と、t−ブチルメタクリレートのような酸不安定基を有する繰り返し単位を共重合し、親水性基が無くて撥水性の高いたポリマーの現像のために、TBAH水溶液を用いた例が提示されている。
テトラメチルアンモニウムヒドロキシド(TMAH)現像液は2.38質量%の水溶液が最も広く用いられている。これは0.26Nに相当し、TEAH、TPAH、TBAH水溶液も同じ規定度であることが好ましい。0.26NとなるTEAH、TPAH、TBAHの質量は、それぞれ3.84質量%、5.31質量%、6.78質量%である。
TEAH, TPAH, and TBAH having a longer alkyl chain than the TMAH aqueous solution that is generally widely used have the effect of reducing the swelling during development and preventing pattern collapse. In Japanese Patent No. 3429592, a repeating unit having an alicyclic structure such as adamantane methacrylate is copolymerized with a repeating unit having an acid labile group such as t-butyl methacrylate, and has no hydrophilic group and is water repellent. An example using an aqueous TBAH solution for the development of high polymer is presented.
As the tetramethylammonium hydroxide (TMAH) developer, an aqueous solution of 2.38% by mass is most widely used. This corresponds to 0.26N, and it is preferable that the TEAH, TPAH, and TBAH aqueous solutions have the same normality. The masses of TEAH, TPAH, and TBAH that are 0.26N are 3.84 mass%, 5.31 mass%, and 6.78 mass%, respectively.

EB、EUVで解像される32nm以下のパターンにおいて、ラインがよれたり、ライン同士がくっついたり、くっついたラインが倒れたりする現象が起きている。これは、現像液中に膨潤して膨らんだライン同士がくっつくのが原因と考えられる。膨潤したラインは、現像液を含んでスポンジのように軟らかいために、リンスの応力で倒れやすくなっている。アルキル鎖を長くした現像液はこのような理由で、膨潤を防いでパターン倒れを防ぐ効果がある。   In a pattern of 32 nm or less that is resolved by EB or EUV, a phenomenon occurs in which lines are twisted, the lines are stuck together, or the stuck lines are tilted. This is thought to be because the lines swollen and swollen in the developer are stuck together. Since the swollen line is soft like a sponge containing a developer, it tends to collapse due to the stress of rinsing. For this reason, the developer having a long alkyl chain has the effect of preventing swelling and preventing pattern collapse.

以下、合成例、比較合成例及び実施例、比較例を示して本発明を具体的に説明するが、本発明は下記の実施例に制限されるものではない。
なお、重量平均分子量(Mw)は、溶媒としてテトラヒドロフランを用いたゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算測定値である。
EXAMPLES Hereinafter, although a synthesis example, a comparative synthesis example, an Example, and a comparative example are shown and this invention is demonstrated concretely, this invention is not restrict | limited to the following Example.
The weight average molecular weight (Mw) is a measured value in terms of polystyrene by gel permeation chromatography (GPC) using tetrahydrofuran as a solvent.

[モノマー合成例]
本発明の重合性酸不安定化合物を以下のように合成した。
[モノマー合成例1]メタクリル酸 ジベンゾスベレニル(モノマー1)の合成

Figure 2011138107

メタクリル酸クロリド120g、ジベンゾスベレノール240gとトルエン1,500gの混合物に、氷冷、撹拌下、トリエチルアミン111gを添加した。その後、室温にて16時間撹拌した。通常の水系後処理(aqueous work−up)、溶媒留去により粗生成物を得た。カラムクロマトグラフィーにより精製を行い、目的物のメタクリル酸 ジベンゾスベレニルを得た。
同様の方法でモノマー2〜11を得た。 [Monomer synthesis example]
The polymerizable acid labile compound of the present invention was synthesized as follows.
[Monomer Synthesis Example 1] Synthesis of dibenzosuberenyl methacrylate (monomer 1)
Figure 2011138107

To a mixture of 120 g of methacrylic acid chloride, 240 g of dibenzosuberenol and 1,500 g of toluene, 111 g of triethylamine was added under ice cooling and stirring. Then, it stirred at room temperature for 16 hours. The crude product was obtained by ordinary aqueous work-up and solvent distillation. Purification was performed by column chromatography to obtain the target product, dibenzosuberenyl methacrylate.
Monomers 2 to 11 were obtained in the same manner.

Figure 2011138107

モノマー1 :メタクリル酸 ジベンゾスベレニル
モノマー2 :メタクリル酸−9−(ジベンゾスベレニルオキシカルボニル)−4−オキ
サトリシクロ[4.2.1.03,7]ノナン−5−オン−2−イル
モノマー3 :(4−ビニル安息香酸)ジベンゾスベレニル
モノマー4 :(5−ビニル−1−ナフトエ酸)ジベンゾスベレニル
モノマー5 :メタクリル酸 ジベンゾスベリル
モノマー6 :メタクリル酸(5,10−ジヒドロジベンゾ[b,e]チエピン−5−イ
ル)
モノマー7 :メタクリル酸(9,10−ジヒドロアントラセン−9−イル)
モノマー8 :メタクリル酸 メチルジベンゾスベレニル
モノマー9 :メタクリル酸 メチルジベンゾスベリル
モノマー10:メタクリル酸 メチル(5,10−ジヒドロジベンゾ[b,e]チエピン
−5−イル)
モノマー11:メタクリル酸 メチル(9,10−ジヒドロアントラセン−9−イル)
Figure 2011138107

Monomer 1: Dibenzosuberenyl methacrylate: Monomer 2: Methacrylic acid-9- (dibenzosuberenyloxycarbonyl) -4-oxy
Satricyclo [4.2.1.0 3,7 ] nonan-5-one-2-yl monomer 3: (4-vinylbenzoic acid) dibenzosuberenyl monomer 4: (5-vinyl-1-naphthoic acid) dibenzos Berenyl monomer 5: Dibenzosuberic monomer 6: Methacrylic acid (5,10-dihydrodibenzo [b, e] thiepin-5-i
Le)
Monomer 7: Methacrylic acid (9,10-dihydroanthracen-9-yl)
Monomer 8: Methyl dibenzosuberenyl methacrylate methacrylate 9: Methyl dibenzosuberyl methacrylate monomer 10: Methyl methacrylate (5,10-dihydrodibenzo [b, e] thiepine
-5-yl)
Monomer 11: Methyl methacrylate (9,10-dihydroanthracen-9-yl)

また、PAGモノマー1〜5及び密着性モノマー1,2は、以下の通りである。

Figure 2011138107

PAGモノマー1:4−メタクリル酸オキシフェニルジフェニルスルホニウム パーフル
オロブタンスルホネート
PAGモノマー2:トリフェニルスルホニウム 2,3,5,6−テトラフルオロ−4−
メタクリロイルオキシベンゼンスルホナート
PAGモノマー3:トリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−
2−メタクリロイルオキシプロパン−1−スルホネート
PAGモノマー4:5−フェニルジベンゾチオフェニウム=1,1,3,3,3−ペンタ
フルオロ−2−(メタクリロイルオキシ)プロパン−1−スルホナー

PAGモノマー5:10−フェニルフェノキサチイニウム=1,1,3,3,3−ペンタ
フルオロ−2−(メタクリロイルオキシ)プロパン−1−スルホナー
ト The PAG monomers 1 to 5 and the adhesion monomers 1 and 2 are as follows.
Figure 2011138107

PAG monomer 1: 4-oxyphenyldiphenylsulfonium methacrylate perful
Orobutanesulfonate PAG monomer 2: Triphenylsulfonium 2,3,5,6-tetrafluoro-4-
Methacryloyloxybenzenesulfonate PAG monomer 3: Triphenylsulfonium 1,1,3,3,3-pentafluoro-
2-methacryloyloxypropane-1-sulfonate PAG monomer 4: 5-phenyldibenzothiophenium = 1,1,3,3,3-penta
Fluoro-2- (methacryloyloxy) propane-1-sulfonate
ToPAG monomer 5: 10-phenylphenoxathinium = 1,1,3,3,3-penta
Fluoro-2- (methacryloyloxy) propane-1-sulfonate
G

Figure 2011138107

密着性モノマー1:メタクリル酸(2−オキソ−1,3−ベンゾオキサチオール−5−イ
ル)
密着性モノマー2:メタクリル酸(2−オキソ−2,3−ジヒドロベンゾオキサゾール−
5−イル)
Figure 2011138107

Adhesive monomer 1: Methacrylic acid (2-oxo-1,3-benzooxathiol-5-i
Le)
Adhesive monomer 2: methacrylic acid (2-oxo-2,3-dihydrobenzoxazole-
5-yl)

[合成例1]
2Lのフラスコにモノマー1の5.5g、4−アセトキシスチレン13.0g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:4−ヒドロキシスチレン=0.20:0.80
重量平均分子量(Mw)=8,500
分子量分布(Mw/Mn)=2.01
この高分子化合物を(ポリマー1)とする。
[Synthesis Example 1]
To a 2 L flask, 5.5 g of monomer 1, 13.0 g of 4-acetoxystyrene, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 4-hydroxystyrene = 0.20: 0.80
Weight average molecular weight (Mw) = 8,500
Molecular weight distribution (Mw / Mn) = 2.01
This polymer compound is referred to as (Polymer 1).

Figure 2011138107
Figure 2011138107

[合成例2]
2Lのフラスコにモノマー1の5.0g、メタクリル酸3−ヒドロキシフェニル14.5g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸3−ヒドロキシフェニル=0.18:0.82
重量平均分子量(Mw)=8,100
分子量分布(Mw/Mn)=1.93
この高分子化合物を(ポリマー2)とする。
[Synthesis Example 2]
To a 2 L flask, 5.0 g of monomer 1, 14.5 g of 3-hydroxyphenyl methacrylate, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 3-hydroxyphenyl methacrylate = 0.18: 0.82
Weight average molecular weight (Mw) = 8,100
Molecular weight distribution (Mw / Mn) = 1.93
This polymer compound is referred to as (Polymer 2).

Figure 2011138107
Figure 2011138107

[合成例3]
2Lのフラスコにモノマー1の5.0g、メタクリル酸(5−ヒドロキシインダン−2−イル)17.9g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸(5−ヒドロキシインダン−2−イル)=0.18:0.82
重量平均分子量(Mw)=8,600
分子量分布(Mw/Mn)=1.92
この高分子化合物を(ポリマー3)とする。
[Synthesis Example 3]
To a 2 L flask, 5.0 g of monomer 1, 17.9 g of methacrylic acid (5-hydroxyindan-2-yl), and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: Methacrylic acid (5-hydroxyindan-2-yl) = 0.18: 0.82
Weight average molecular weight (Mw) = 8,600
Molecular weight distribution (Mw / Mn) = 1.92
This polymer compound is referred to as (Polymer 3).

Figure 2011138107
Figure 2011138107

[合成例4]
2Lのフラスコにモノマー1の8.3g、メタクリル酸(5−ヒドロキシインダン−2−イル)8.7g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸(5−ヒドロキシインダン−2−イル):メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル=0.30:0.40:0.30
重量平均分子量(Mw)=8,300
分子量分布(Mw/Mn)=1.91
この高分子化合物を(ポリマー4)とする。
[Synthesis Example 4]
In a 2 L flask, 8.3 g of monomer 1, 8.7 g of methacrylic acid (5-hydroxyindan-2-yl), 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4 , 8 ] nonane-9-yl 6.7 g and tetrahydrofuran 40 g as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: Methacrylic acid (5-hydroxyindan-2-yl): 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate = 0. 30: 0.40: 0.30
Weight average molecular weight (Mw) = 8,300
Molecular weight distribution (Mw / Mn) = 1.91
This polymer compound is referred to as (Polymer 4).

Figure 2011138107
Figure 2011138107

[合成例5]
2Lのフラスコにモノマー1の6.4g、インデン1.7g、4−アセトキシスチレン10.8g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:インデン:4−ヒドロキシスチレン=0.23:0.10:0.67
重量平均分子量(Mw)=8,500
分子量分布(Mw/Mn)=1.77
この高分子化合物を(ポリマー5)とする。
[Synthesis Example 5]
To a 2 L flask, 6.4 g of monomer 1, 1.7 g of indene, 10.8 g of 4-acetoxystyrene, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: Indene: 4-hydroxystyrene = 0.23: 0.10: 0.67
Weight average molecular weight (Mw) = 8,500
Molecular weight distribution (Mw / Mn) = 1.77
This polymer compound is referred to as (Polymer 5).

Figure 2011138107
Figure 2011138107

[合成例6]
2Lのフラスコにモノマー1の7.7g、メタクリル酸4−ヒドロキシフェニル5.3g、4−アセトキシスチレン6.8g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸4−ヒドロキシフェニル:4−ヒドロキシスチレン=0.28:0.30:0.42
重量平均分子量(Mw)=8,600
分子量分布(Mw/Mn)=1.98
この高分子化合物を(ポリマー6)とする。
[Synthesis Example 6]
To a 2 L flask was added 7.7 g of monomer 1, 5.3 g of 4-hydroxyphenyl methacrylate, 6.8 g of 4-acetoxystyrene, and 40 g of tetrahydrofuran as a solvent. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 4-hydroxyphenyl methacrylate: 4-hydroxystyrene = 0.28: 0.30: 0.42
Weight average molecular weight (Mw) = 8,600
Molecular weight distribution (Mw / Mn) = 1.98
This polymer compound is referred to as (Polymer 6).

Figure 2011138107
Figure 2011138107

[合成例7]
2Lのフラスコにモノマー1の7.2g、メタクリル酸1−ヒドロキシナフタレン−5−イル6.8g、メタクリル酸テトラヒドロ−2−オキソフラン−3−イル7.5g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸1−ヒドロキシナフタレン−5−イル:メタクリル酸テトラヒドロ−2−オキソフラン−3−イル=0.26:0.30:0.44
重量平均分子量(Mw)=7,800
分子量分布(Mw/Mn)=1.88
この高分子化合物を(ポリマー7)とする。
[Synthesis Example 7]
To a 2 L flask, 7.2 g of monomer 1, 6.8 g of 1-hydroxynaphthalen-5-yl methacrylate, 7.5 g of tetrahydro-2-oxofuran-3-yl methacrylate, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 1-hydroxynaphthalen-5-yl methacrylate: tetrahydro-2-oxofuran-3-yl methacrylate = 0.26: 0.30: 0.44
Weight average molecular weight (Mw) = 7,800
Molecular weight distribution (Mw / Mn) = 1.88
This polymer compound is referred to as (Polymer 7).

Figure 2011138107
Figure 2011138107

[合成例8]
2Lのフラスコにモノマー1の6.4g、4−アセトキシスチレン10.7g、アセナフチレン1.7g、溶媒としてテトラヒドロフランを20g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:4−ヒドロキシスチレン:アセナフチレン=0.23:0.67:0.10
重量平均分子量(Mw)=5,200
分子量分布(Mw/Mn)=1.68
この高分子化合物を(ポリマー8)とする。
[Synthesis Example 8]
To a 2 L flask, 6.4 g of monomer 1, 10.7 g of 4-acetoxystyrene, 1.7 g of acenaphthylene, and 20 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 4-hydroxystyrene: acenaphthylene = 0.23: 0.67: 0.10
Weight average molecular weight (Mw) = 5,200
Molecular weight distribution (Mw / Mn) = 1.68
This polymer compound is referred to as (Polymer 8).

Figure 2011138107
Figure 2011138107

[合成例9]
2Lのフラスコにモノマー1の6.6g、7−アセトキシインデン2.0g、4−アセトキシスチレン10.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:7−ヒドロキシインデン:4−ヒドロキシスチレン=0.24:0.10:0.66
重量平均分子量(Mw)=6,200
分子量分布(Mw/Mn)=1.69
この高分子化合物を(ポリマー9)とする。
[Synthesis Example 9]
6.6 g of monomer 1, 2.0 g of 7-acetoxyindene, 10.6 g of 4-acetoxystyrene, and 40 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 7-hydroxyindene: 4-hydroxystyrene = 0.24: 0.10: 0.66
Weight average molecular weight (Mw) = 6,200
Molecular weight distribution (Mw / Mn) = 1.69
This polymer compound is referred to as (Polymer 9).

Figure 2011138107
Figure 2011138107

[合成例10]
2Lのフラスコにモノマー1の6.4g、4−アセトキシスチレン8.3g、6−ヒドロキシクマリン2.7g、クマリン1.5g、溶媒としてテトラヒドロフランを20g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:4−ヒドロキシスチレン:6−ヒドロキシクマリン:クマリン=0.23:0.52:0.15:0.10
重量平均分子量(Mw)=6,200
分子量分布(Mw/Mn)=1.77
この高分子化合物を(ポリマー10)とする。
[Synthesis Example 10]
To a 2 L flask, 6.4 g of monomer 1, 8.3 g of 4-acetoxystyrene, 2.7 g of 6-hydroxycoumarin, 1.5 g of coumarin, and 20 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 4-hydroxystyrene: 6-hydroxycoumarin: coumarin = 0.23: 0.52: 0.15: 0.10
Weight average molecular weight (Mw) = 6,200
Molecular weight distribution (Mw / Mn) = 1.77
This polymer compound is referred to as (Polymer 10).

Figure 2011138107
Figure 2011138107

[合成例11]
2Lのフラスコにモノマー1の6.4g、メタクリル酸(7−ヒドロキシ−1,2,3,4−テトラヒドロナフタレン−2−イル)15.5g、クロモン1.6g、溶媒としてテトラヒドロフランを20g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸(7−ヒドロキシ−1,2,3,4−テトラヒドロナフタレン−2−イル):クロモン=0.23:0.67:0.10
重量平均分子量(Mw)=6,100
分子量分布(Mw/Mn)=1.79
この高分子化合物を(ポリマー11)とする。
[Synthesis Example 11]
To a 2 L flask was added 6.4 g of monomer 1, 15.5 g of methacrylic acid (7-hydroxy-1,2,3,4-tetrahydronaphthalen-2-yl), 1.6 g of chromone, and 20 g of tetrahydrofuran as a solvent. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: Methacrylic acid (7-hydroxy-1,2,3,4-tetrahydronaphthalen-2-yl): chromone = 0.23: 0.67: 0.10
Weight average molecular weight (Mw) = 6,100
Molecular weight distribution (Mw / Mn) = 1.79
This polymer compound is referred to as (Polymer 11).

Figure 2011138107
Figure 2011138107

[合成例12]
2Lのフラスコにモノマー3の7.8g、4−アセトキシスチレン10.7g、クロモン1.6g、溶媒としてテトラヒドロフランを20g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー3:4−ヒドロキシスチレン:クロモン=0.23:0.67:0.10
重量平均分子量(Mw)=7,600
分子量分布(Mw/Mn)=1.72
この高分子化合物を(ポリマー12)とする。
[Synthesis Example 12]
7.8 g of monomer 3, 10.7 g of 4-acetoxystyrene, 1.6 g of chromone, and 20 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 3: 4-hydroxystyrene: chromone = 0.23: 0.67: 0.10
Weight average molecular weight (Mw) = 7,600
Molecular weight distribution (Mw / Mn) = 1.72
This polymer compound is referred to as (Polymer 12).

Figure 2011138107
Figure 2011138107

[合成例13]
2Lのフラスコにモノマー4の8.9g、4−アセトキシスチレン10.4g、クマリン1.8g、溶媒としてテトラヒドロフランを20g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー4:4−ヒドロキシスチレン:クマリン=0.23:0.65:0.12
重量平均分子量(Mw)=7,100
分子量分布(Mw/Mn)=1.76
この高分子化合物を(ポリマー13)とする。
[Synthesis Example 13]
To a 2 L flask, 8.9 g of monomer 4, 10.4 g of 4-acetoxystyrene, 1.8 g of coumarin, and 20 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 4: 4-hydroxystyrene: coumarin = 0.23: 0.65: 0.12
Weight average molecular weight (Mw) = 7,100
Molecular weight distribution (Mw / Mn) = 1.76
This polymer is designated as (Polymer 13).

Figure 2011138107
Figure 2011138107

[合成例14]
2Lのフラスコにモノマー1の8.3g、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー1の6.5g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー1=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=8,600
分子量分布(Mw/Mn)=1.96
この高分子化合物を(ポリマー14)とする。
[Synthesis Example 14]
8.3 g of monomer 1 in a 2 L flask, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -6.7 g of yl, 6.5 g of PAG monomer 1, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 1 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 8,600
Molecular weight distribution (Mw / Mn) = 1.96
This polymer compound is referred to as (Polymer 14).

Figure 2011138107
Figure 2011138107

[合成例15]
2Lのフラスコにモノマー1の8.3g、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー2の5.7g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー2=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=8,600
分子量分布(Mw/Mn)=1.94
この高分子化合物を(ポリマー15)とする。
[Synthesis Example 15]
8.3 g of monomer 1 in a 2 L flask, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -6.7 g of yl, 5.7 g of PAG monomer 2 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 2 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 8,600
Molecular weight distribution (Mw / Mn) = 1.94
This polymer compound is referred to as (Polymer 15).

Figure 2011138107
Figure 2011138107

[合成例16]
2Lのフラスコにモノマー1の8.3g、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,600
分子量分布(Mw/Mn)=1.97
この高分子化合物を(ポリマー16)とする。
[Synthesis Example 16]
8.3 g of monomer 1 in a 2 L flask, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -6.7 g of yl, 5.6 g of PAG monomer 3, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,600
Molecular weight distribution (Mw / Mn) = 1.97
This polymer compound is referred to as (Polymer 16).

Figure 2011138107
Figure 2011138107

[合成例17]
2Lのフラスコにモノマー1の4.1g、メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル4.1g、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸−2,7−ジヒドロ−2−オキソベンゾ[C]フラン−5−イル6.5g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:メタクリル酸4−ヒドロキシフェニル:メタクリル酸−2,7−ジヒドロ−2−オキソベンゾ[C]フラン−5−イル:PAGモノマー3=0.15:0.15:0.30:0.30:0.10
重量平均分子量(Mw)=7,600
分子量分布(Mw/Mn)=1.71
この高分子化合物を(ポリマー17)とする。
[Synthesis Example 17]
In a 2 L flask, 4.1 g of monomer 1 and 3-ethyl-3-exotetracyclomethacrylate [4.4.0.1 2,5 . 1 7,10] dodecanyl 4.1 g, 4-hydroxyphenyl methacrylate 5.3 g, methacrylic acid-2,7-dihydro-2-oxobenzo [C] furan-5-yl 6.5 g, 5 of PAG monomer 3. 6 g of tetrahydrofuran as a solvent was added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: 4-hydroxyphenyl methacrylate: methacrylic acid-2,7-dihydro-2-oxobenzo [C] furan-5-yl: PAG monomer 3 = 0.15: 0.15: 0.30 : 0.30: 0.10
Weight average molecular weight (Mw) = 7,600
Molecular weight distribution (Mw / Mn) = 1.71
This polymer compound is referred to as (Polymer 17).

Figure 2011138107
Figure 2011138107

[合成例18]
2Lのフラスコにモノマー1の8.3g、6−アセトキシ−2−ビニルナフタレン6.4g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:6−ヒドロキシ−2−ビニルナフタレン:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=8,800
分子量分布(Mw/Mn)=1.93
この高分子化合物を(ポリマー18)とする。
[Synthesis Example 18]
8.3 g of monomer 1 in a 2 L flask, 6.4 g of 6-acetoxy-2-vinylnaphthalene, 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonane methacrylate 6.7 g of -9-yl, 5.6 g of PAG monomer 3 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 6-hydroxy-2-vinylnaphthalene: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0. 30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 8,800
Molecular weight distribution (Mw / Mn) = 1.93
This polymer is designated as (Polymer 18).

Figure 2011138107
Figure 2011138107

[合成例19]
2Lのフラスコにモノマー1の8.3g、メタクリル酸(5−ヒドロキシインダン−2−イル)6.5g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸(5−ヒドロキシインダン−2−イル):メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=8,600
分子量分布(Mw/Mn)=1.99
この高分子化合物を(ポリマー19)とする。
[Synthesis Example 19]
In a 2 L flask, 8.3 g of monomer 1, 6.5 g of methacrylic acid (5-hydroxyindan-2-yl), 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4 , 8 ] Nonane-9-yl 6.7 g, PAG monomer 3 5.6 g, and tetrahydrofuran 40 g as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: Methacrylic acid (5-hydroxyindan-2-yl): 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 8,600
Molecular weight distribution (Mw / Mn) = 1.99
This polymer compound is referred to as (Polymer 19).

Figure 2011138107
Figure 2011138107

[合成例20]
2Lのフラスコにモノマー1の8.3g、メタクリル酸(5,8−ジヒドロキシ−1,2,3,4−テトラヒドロナフタレン−2−イル)7.4g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸(5,8−ジヒドロキシ−1,2,3,4−テトラヒドロナフタレン−2−イル):メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.20:0.40:0.10
重量平均分子量(Mw)=8,800
分子量分布(Mw/Mn)=2.06
この高分子化合物を(ポリマー20)とする。
[Synthesis Example 20]
In a 2 L flask, 8.3 g of monomer 1, 7.4 g of methacrylic acid (5,8-dihydroxy-1,2,3,4-tetrahydronaphthalen-2-yl), 3-oxo-2,7-dimethacrylate 6.7 g of oxatricyclo [4.2.1.0 4,8 ] nonan-9-yl, 5.6 g of PAG monomer 3 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: Methacrylic acid (5,8-dihydroxy-1,2,3,4-tetrahydronaphthalen-2-yl): 3-oxo-2,7-dioxatricyclomethacrylic acid [4.2.1.0 4,8 ] nonan-9-yl: PAG monomer 3 = 0.30: 0.20: 0.40: 0.10
Weight average molecular weight (Mw) = 8,800
Molecular weight distribution (Mw / Mn) = 2.06
This polymer compound is referred to as (Polymer 20).

Figure 2011138107
Figure 2011138107

[合成例21]
2Lのフラスコにモノマー1の8.3g、メタクリル酸(6−ヒドロキシクマリン−3−イル)7.4g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸(6−ヒドロキシクマリン−3−イル):メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.20:0.40:0.10
重量平均分子量(Mw)=8,300
分子量分布(Mw/Mn)=1.86
この高分子化合物を(ポリマー21)とする。
[Synthesis Example 21]
In a 2 L flask, 8.3 g of monomer 1, 7.4 g of methacrylic acid (6-hydroxycoumarin-3-yl), 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4 , 8 ] Nonane-9-yl 6.7 g, PAG monomer 3 5.6 g, and tetrahydrofuran 40 g as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: methacrylic acid (6-hydroxycoumarin-3-yl): 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.20: 0.40: 0.10
Weight average molecular weight (Mw) = 8,300
Molecular weight distribution (Mw / Mn) = 1.86
This polymer compound is referred to as (Polymer 21).

Figure 2011138107
Figure 2011138107

[合成例22]
2Lのフラスコにモノマー2の13.7g、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=8,600
分子量分布(Mw/Mn)=1.98
この高分子化合物を(ポリマー22)とする。
[Synthesis Example 22]
In a 2 L flask, 13.7 g of monomer 2, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -6.7 g of yl, 5.6 g of PAG monomer 3, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 8,600
Molecular weight distribution (Mw / Mn) = 1.98
This polymer compound is referred to as (Polymer 22).

Figure 2011138107
Figure 2011138107

[合成例23]
2Lのフラスコにモノマー1の8.3g、メタクリル酸−4−ヒドロキシ−1−ナフタレン4.5g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸−4−ヒドロキシ−1−ナフタレン:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.20:0.40:0.10
重量平均分子量(Mw)=7,600
分子量分布(Mw/Mn)=1.70
この高分子化合物を(ポリマー23)とする。
[Synthesis Example 23]
In a 2 L flask, 8.3 g of monomer 1, 4.5 g of methacrylic acid-4-hydroxy-1-naphthalene, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 6.7 g of nonan-9-yl, 5.6 g of PAG monomer 3 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: methacrylic acid-4-hydroxy-1-naphthalene: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.20: 0.40: 0.10
Weight average molecular weight (Mw) = 7,600
Molecular weight distribution (Mw / Mn) = 1.70
This polymer is designated as (Polymer 23).

Figure 2011138107
Figure 2011138107

[合成例24]
2Lのフラスコにモノマー5の8.3g、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー5:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,300
分子量分布(Mw/Mn)=1.93
この高分子化合物を(ポリマー24)とする。
[Synthesis Example 24]
In a 2 L flask, 8.3 g of monomer 5, 5.3 g of methacrylate 4-hydroxyphenyl, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -6.7 g of yl, 5.6 g of PAG monomer 3, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 5: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,300
Molecular weight distribution (Mw / Mn) = 1.93
This polymer is designated as (Polymer 24).

Figure 2011138107
Figure 2011138107

[合成例25]
2Lのフラスコにモノマー6の8.9g、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー6:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,600
分子量分布(Mw/Mn)=1.87
この高分子化合物を(ポリマー25)とする。
[Synthesis Example 25]
In a 2 L flask, 8.9 g of monomer 6, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonane-9 methacrylate -6.7 g of yl, 5.6 g of PAG monomer 3, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 6: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,600
Molecular weight distribution (Mw / Mn) = 1.87
This polymer is designated as (Polymer 25).

Figure 2011138107
Figure 2011138107

[合成例26]
2Lのフラスコにモノマー7の7.9g、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー7:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,300
分子量分布(Mw/Mn)=1.87
この高分子化合物を(ポリマー26)とする。
[Synthesis Example 26]
Into a 2 L flask, 7.9 g of monomer 7, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonane-9 methacrylate -6.7 g of yl, 5.6 g of PAG monomer 3, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 7: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,300
Molecular weight distribution (Mw / Mn) = 1.87
This polymer is designated as (Polymer 26).

Figure 2011138107
Figure 2011138107

[合成例27]
2Lのフラスコにモノマー1の8.3g、メタクリル酸4−ヒドロキシフェニル5.3g、密着性モノマー1の6.7g、PAGモノマー3を5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸4−ヒドロキシフェニル:密着性モノマー1:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,900
分子量分布(Mw/Mn)=1.64
この高分子化合物を(ポリマー27)とする。
[Synthesis Example 27]
To a 2 L flask, 8.3 g of monomer 1, 5.3 g of 4-hydroxyphenyl methacrylate, 6.7 g of adhesive monomer 1, 5.6 g of PAG monomer 3 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 4-hydroxyphenyl methacrylate: Adhesive monomer 1: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,900
Molecular weight distribution (Mw / Mn) = 1.64
This polymer is designated as (Polymer 27).

Figure 2011138107
Figure 2011138107

[合成例28]
2Lのフラスコにモノマー1の8.3g、メタクリル酸4−ヒドロキシフェニル5.3g、密着性モノマー2を7.4g、PAGモノマー3を5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸4−ヒドロキシフェニル:密着性モノマー2:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,700
分子量分布(Mw/Mn)=1.76
この高分子化合物を(ポリマー28)とする。
[Synthesis Example 28]
To a 2 L flask was added 8.3 g of monomer 1, 5.3 g of 4-hydroxyphenyl methacrylate, 7.4 g of adhesion monomer 2, 5.6 g of PAG monomer 3, and 40 g of tetrahydrofuran as a solvent. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 4-hydroxyphenyl methacrylate: Adhesive monomer 2: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,700
Molecular weight distribution (Mw / Mn) = 1.76
This polymer is designated as (Polymer 28).

Figure 2011138107
Figure 2011138107

[合成例29]
2Lのフラスコにモノマー1の4.1g、メタクリル酸2−(7−オキサノルボルナン−2−イル)シクロペンチル5.0g、メタクリル酸4−ヒドロキシフェニル4.4g、メタクリル酸−2,7−ジヒドロ−2−オキソベンゾ[C]フラン−5−イル6.5g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸2−(7−オキサノルボルナン−2−イル)シクロペンチル:メタクリル酸4−ヒドロキシフェニル:メタクリル酸−2,7−ジヒドロ−2−オキソベンゾ[C]フラン−5−イル:PAGモノマー3=0.15:0.20:0.25:0.30:0.10
重量平均分子量(Mw)=7,300
分子量分布(Mw/Mn)=1.68
この高分子化合物を(ポリマー29)とする。
[Synthesis Example 29]
In a 2 L flask, 4.1 g of monomer 1, 5.0 g of 2- (7-oxanorbornan-2-yl) cyclomethacrylate, 4.4 g of 4-hydroxyphenyl methacrylate, 2,7-dihydro-2 methacrylate -6.5 g of oxobenzo [C] furan-5-yl, 5.6 g of PAG monomer 3 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 2- (7-oxanorbornan-2-yl) methacrylate methacrylate: 4-hydroxyphenyl methacrylate: methacrylate-2,7-dihydro-2-oxobenzo [C] furan-5-yl: PAG monomer 3 = 0.15: 0.20: 0.25: 0.30: 0.10
Weight average molecular weight (Mw) = 7,300
Molecular weight distribution (Mw / Mn) = 1.68
This polymer is designated as (Polymer 29).

Figure 2011138107
Figure 2011138107

[合成例30]
2Lのフラスコにモノマー1の4.1g、メタクリル酸1−エチルシクロペンチルエステル3.6g、メタクリル酸4−ヒドロキシフェニル4.4g、メタクリル酸−2,7−ジヒドロ−2−オキソベンゾ[C]フラン−5−イル6.5g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:メタクリル酸1−エチルシクロペンチルエステル:メタクリル酸4−ヒドロキシフェニル:メタクリル酸−2,7−ジヒドロ−2−オキソベンゾ[C]フラン−5−イル:PAGモノマー3=0.15:0.20:0.25:0.30:0.10
重量平均分子量(Mw)=6,900
分子量分布(Mw/Mn)=1.69
この高分子化合物を(ポリマー30)とする。
[Synthesis Example 30]
In a 2 L flask, 4.1 g of monomer 1, 3.6 g of methacrylic acid 1-ethylcyclopentyl ester, 4.4 g of 4-hydroxyphenyl methacrylate, methacrylic acid-2,7-dihydro-2-oxobenzo [C] furan-5 -6.5 g of yl, 5.6 g of PAG monomer 3 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: Methacrylic acid 1-ethylcyclopentyl ester: 4-hydroxyphenyl methacrylate: Methacrylic acid-2,7-dihydro-2-oxobenzo [C] furan-5-yl: PAG monomer 3 = 0.15: 0.20 : 0.25: 0.30: 0.10
Weight average molecular weight (Mw) = 6,900
Molecular weight distribution (Mw / Mn) = 1.69
This polymer compound is referred to as (Polymer 30).

Figure 2011138107
Figure 2011138107

[合成例31]
2Lのフラスコにモノマー8の8.7、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー8:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,900
分子量分布(Mw/Mn)=1.65
この高分子化合物を(ポリマー31)とする。
[Synthesis Example 31]
In a 2 L flask, 8.7 of monomer 8, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -6.7 g of yl, 5.6 g of PAG monomer 3, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 8: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,900
Molecular weight distribution (Mw / Mn) = 1.65
This polymer compound is referred to as (Polymer 31).

Figure 2011138107
Figure 2011138107

[合成例32]
2Lのフラスコにモノマー9の8.8、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー9:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,300
分子量分布(Mw/Mn)=1.60
この高分子化合物を(ポリマー32)とする。
[Synthesis Example 32]
In a 2 L flask, 8.8 of monomer 9, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -6.7 g of yl, 5.6 g of PAG monomer 3, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 9: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,300
Molecular weight distribution (Mw / Mn) = 1.60
This polymer compound is referred to as (Polymer 32).

Figure 2011138107
Figure 2011138107

[合成例33]
2Lのフラスコにモノマー10の9.3、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー10:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,100
分子量分布(Mw/Mn)=1.60
この高分子化合物を(ポリマー33)とする。
[Synthesis Example 33]
In a 2 L flask, 9.3 of monomer 10, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonane-9 methacrylate -6.7 g of yl, 5.6 g of PAG monomer 3, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 10: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,100
Molecular weight distribution (Mw / Mn) = 1.60
This polymer compound is referred to as (Polymer 33).

Figure 2011138107
Figure 2011138107

[合成例34]
2Lのフラスコにモノマー11の8.3、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー11:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,600
分子量分布(Mw/Mn)=1.64
この高分子化合物を(ポリマー34)とする。
[Synthesis Example 34]
In a 2 L flask, 8.3 of monomer 11, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -6.7 g of yl, 5.6 g of PAG monomer 3, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 11: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,600
Molecular weight distribution (Mw / Mn) = 1.64
This polymer compound is referred to as (Polymer 34).

Figure 2011138107
Figure 2011138107

[合成例35]
2Lのフラスコにモノマー11の8.3、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー4の5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー11:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー4=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,200
分子量分布(Mw/Mn)=1.69
この高分子化合物を(ポリマー35)とする。
[Synthesis Example 35]
In a 2 L flask, 8.3 of monomer 11, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -6.7 g of yl, 5.6 g of PAG monomer 4 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 11: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 4 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,200
Molecular weight distribution (Mw / Mn) = 1.69
This polymer compound is referred to as (Polymer 35).

Figure 2011138107
Figure 2011138107

[合成例36]
2Lのフラスコにモノマー11の8.3、メタクリル酸4−ヒドロキシフェニル5.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー5の5.7g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー11:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー5=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,100
分子量分布(Mw/Mn)=1.70
この高分子化合物を(ポリマー36)とする。
[Synthesis Example 36]
In a 2 L flask, 8.3 of monomer 11, 5.3 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -6.7 g of yl, 5.7 g of PAG monomer 5 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 11: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 5 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,100
Molecular weight distribution (Mw / Mn) = 1.70
This polymer is designated as (Polymer 36).

Figure 2011138107
Figure 2011138107

[比較合成例1]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
ヒドロキシスチレン:メタクリル酸1−エチルシクロペンチルエステル=0.70:0.30
重量平均分子量(Mw)=9,300
分子量分布(Mw/Mn)=1.86
この高分子化合物を(比較ポリマー1)とする。
[Comparative Synthesis Example 1]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
Hydroxystyrene: Methacrylic acid 1-ethylcyclopentyl ester = 0.70: 0.30
Weight average molecular weight (Mw) = 9,300
Molecular weight distribution (Mw / Mn) = 1.86
This polymer compound is referred to as (Comparative Polymer 1).

Figure 2011138107
Figure 2011138107

[比較合成例2]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
ヒドロキシスチレン:メタクリル酸1−エチルアダマンチルエステル=0.77:0.23
重量平均分子量(Mw)=8,100
分子量分布(Mw/Mn)=1.96
この高分子化合物を(比較ポリマー2)とする。
[Comparative Synthesis Example 2]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
Hydroxystyrene: Methacrylic acid 1-ethyladamantyl ester = 0.77: 0.23
Weight average molecular weight (Mw) = 8,100
Molecular weight distribution (Mw / Mn) = 1.96
This polymer compound is referred to as (Comparative Polymer 2).

Figure 2011138107
Figure 2011138107

[比較合成例3]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
ヒドロキシスチレン:メタクリル酸1−エチルシクロペンチルエステル:インデン=0.73:0.17:0.10
重量平均分子量(Mw)=8,100
分子量分布(Mw/Mn)=1.79
この高分子化合物を(比較ポリマー3)とする。
[Comparative Synthesis Example 3]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
Hydroxystyrene: Methacrylic acid 1-ethylcyclopentyl ester: Indene = 0.73: 0.17: 0.10
Weight average molecular weight (Mw) = 8,100
Molecular weight distribution (Mw / Mn) = 1.79
This polymer compound is referred to as (Comparative Polymer 3).

Figure 2011138107
Figure 2011138107

[比較合成例4]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
ヒドロキシスチレン:メタクリル酸1−エチルシクロペンチルエステル:アセナフチレン=0.75:0.15:0.10
重量平均分子量(Mw)=7,200
分子量分布(Mw/Mn)=1.79
この高分子化合物を(比較ポリマー4)とする。
[Comparative Synthesis Example 4]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
Hydroxystyrene: 1-ethylcyclopentyl ester of methacrylic acid: acenaphthylene = 0.75: 0.15: 0.10
Weight average molecular weight (Mw) = 7,200
Molecular weight distribution (Mw / Mn) = 1.79
This polymer compound is referred to as (Comparative Polymer 4).

Figure 2011138107
Figure 2011138107

[比較合成例5]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
メタクリル酸1−エチルシクロペンチルエステル:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,800
分子量分布(Mw/Mn)=1.93
この高分子化合物を(比較ポリマー5)とする。
[Comparative Synthesis Example 5]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
Methacrylic acid 1-ethylcyclopentyl ester: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,800
Molecular weight distribution (Mw / Mn) = 1.93
This polymer compound is referred to as (Comparative Polymer 5).

Figure 2011138107
Figure 2011138107

[比較合成例6]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
ヒドロキシスチレン:メタクリル酸テトラヒドロナフタレン−1−イル=0.70:0.30
重量平均分子量(Mw)=7,200
分子量分布(Mw/Mn)=1.71
この高分子化合物を(比較ポリマー6)とする。
[Comparative Synthesis Example 6]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
Hydroxystyrene: Tetrahydronaphthalen-1-yl methacrylate = 0.70: 0.30
Weight average molecular weight (Mw) = 7,200
Molecular weight distribution (Mw / Mn) = 1.71
This polymer compound is referred to as (Comparative Polymer 6).

Figure 2011138107
Figure 2011138107

[実施例、比較例]
上記で合成した高分子化合物を用いて、界面活性剤として住友スリーエム(株)製界面活性剤のFC−4430を100ppm溶解させた溶剤に表1,2に示される組成で溶解させた溶液を、0.2μmサイズのフィルターで濾過してポジ型レジスト材料を調製した。
なお、下記表中の各組成は次の通りである。
ポリマー1〜36:上記合成例1〜36で得られた高分子化合物
比較ポリマー1〜6:上記比較合成例1〜6で得られた高分子化合物
有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)
CyH(シクロヘキサノン)
PGME(プロピレングリコールモノメチルエーテル)
酸発生剤:PAG1、PAG2(下記構造式参照)
塩基性化合物:Amine1、Amine2、Amine3(下記構造式参照)
溶解制御剤:DRI1、DRI2(下記構造式参照)
[Examples and Comparative Examples]
Using the polymer compound synthesized above, a solution prepared by dissolving the composition shown in Tables 1 and 2 in a solvent in which 100 ppm of surfactant FC-4430 manufactured by Sumitomo 3M Limited was dissolved as a surfactant, A positive resist material was prepared by filtering through a 0.2 μm size filter.
In addition, each composition in the following table | surface is as follows.
Polymers 1 to 36: Comparative polymer compounds obtained in Synthesis Examples 1 to 36 Polymers 1 to 6: Polymer compounds obtained in Comparative Synthesis Examples 1 to 6 Organic solvent: PGMEA (propylene glycol monomethyl ether acetate)
CyH (cyclohexanone)
PGME (propylene glycol monomethyl ether)
Acid generator: PAG1, PAG2 (see structural formula below)
Basic compounds: Amine1, Amine2, Amine3 (see the structural formula below)
Dissolution control agent: DRI1, DRI2 (see the following structural formula)

Figure 2011138107
Figure 2011138107

電子ビーム描画評価
得られたポジ型レジスト材料をHMDS(ヘキサメチルジシラザン)ベーパープライムされた直径6インチφのSi基板上に、クリーントラックMark 5(東京エレクトロン(株)製)を用いてスピンコートし、ホットプレート上で110℃で60秒間プリベークして100nmのレジスト膜を作製した。これに、(株)日立製作所製HL−800Dを用いてHV電圧50keVで真空チャンバー内描画を行った。
描画後直ちにクリーントラックMark 5(東京エレクトロン(株)製)を用いてホットプレート上で60秒間ポストエクスポージャベーク(PEB)を行い、2.38質量%のTMAH水溶液で30秒間パドル現像を行い、ポジ型のパターンを得た。
得られたレジストパターンを次のように評価した。
120nmのラインアンドスペースを1:1で解像する露光量における、最小の寸法を解像力とし、120nmLSのエッジラフネスをSEMで測定した。レジスト組成とEB露光における感度、解像度の結果を表1,2に示す。
Electron beam writing evaluation The obtained positive resist material is spin-coated on a 6-inch diameter Si substrate with HMDS (hexamethyldisilazane) vapor primed using clean track Mark 5 (manufactured by Tokyo Electron Ltd.). Then, a 100 nm resist film was prepared by pre-baking on a hot plate at 110 ° C. for 60 seconds. To this, drawing in a vacuum chamber was performed at an HV voltage of 50 keV using HL-800D manufactured by Hitachi, Ltd.
Immediately after drawing, post-exposure baking (PEB) was performed for 60 seconds on a hot plate using a clean track Mark 5 (manufactured by Tokyo Electron Ltd.), and paddle development was performed for 30 seconds with a 2.38 mass% TMAH aqueous solution. A positive pattern was obtained.
The obtained resist pattern was evaluated as follows.
The minimum dimension at the exposure amount for resolving 120 nm line and space at 1: 1 was taken as the resolving power, and 120 nm LS edge roughness was measured by SEM. Tables 1 and 2 show the results of resist composition, sensitivity and resolution in EB exposure.

Figure 2011138107
Figure 2011138107

Figure 2011138107
Figure 2011138107

耐ドライエッチング性評価
耐ドライエッチング性の試験では、上記各ポリマー2gをシクロヘキサノン10gに溶解させて0.2μmサイズのフィルターで濾過したポリマー溶液をSi基板にスピンコートで成膜し、300nmの厚さの膜にし、以下のような条件で評価した。
CHF3/CF4系ガスでのエッチング試験:
東京エレクトロン(株)製ドライエッチング装置TE−8500Pを用い、エッチング前後のポリマー膜の膜厚差を求めた。
エッチング条件は下記に示す通りである。
チャンバー圧力 40.0Pa
RFパワー 1,000W
ギャップ 9mm
CHF3ガス流量 30ml/min
CF4ガス流量 30ml/min
Arガス流量 100ml/min
時間 60sec
この評価では、膜厚差の少ないもの、即ち減少量が少ないものが、エッチング耐性があることを示している。
耐ドライエッチング性の結果を表3に示す。
Evaluation of dry etching resistance In the dry etching resistance test, a polymer solution prepared by dissolving 2 g of each polymer in 10 g of cyclohexanone and filtering through a 0.2 μm size filter was formed on a Si substrate by spin coating, and the thickness was 300 nm. The film was evaluated under the following conditions.
Etching test with CHF 3 / CF 4 gas:
Using a dry etching apparatus TE-8500P manufactured by Tokyo Electron Ltd., the film thickness difference between the polymer films before and after etching was determined.
Etching conditions are as shown below.
Chamber pressure 40.0Pa
RF power 1,000W
Gap 9mm
CHF 3 gas flow rate 30ml / min
CF 4 gas flow rate 30ml / min
Ar gas flow rate 100ml / min
60 sec
In this evaluation, a film having a small difference in film thickness, that is, a film having a small amount of decrease has an etching resistance.
The results of dry etching resistance are shown in Table 3.

Figure 2011138107
Figure 2011138107

EUV露光評価
上記で合成した高分子化合物を用いて、表4に示される組成で溶解させた溶液を、0.2μmサイズのフィルターで濾過してポジ型レジスト材料を調製した。
得られたポジ型レジスト材料をヘキサメチルジシラザン(HMDS)ベーパープライム処理した直径4インチφのSi基板上にスピンコートし、ホットプレート上で105℃で60秒間プリベークして50nmのレジスト膜を作製した。これに、NA0.3、ダイポール照明でEUV露光を行った。
露光後直ちにホットプレート上で60秒間ポストエクスポージャベーク(PEB)を行い、実施例1と比較例1では2.38質量%のTMAH水溶液で30秒間パドル現像を行い、実施例2では3.84質量%のTEAH水溶液で30秒間パドル現像を行い、実施例3では5.31質量%のTPAH水溶液で30秒間パドル現像を行い、実施例4、5、6では6.78質量%のTBAH水溶液で30秒間パドル現像を行い、ポジ型のパターンを得た。
得られたレジストパターンを次のように評価した。
35nmのラインアンドスペースを1:1で解像する露光量における、最小の寸法を解像力とし、35nmLSのエッジラフネスをSEMで測定した。
レジスト組成とEUV露光における感度、解像度の結果を表4に示す。
EUV Exposure Evaluation Using the polymer compound synthesized above, a solution obtained by dissolving the composition shown in Table 4 was filtered through a 0.2 μm size filter to prepare a positive resist material.
The obtained positive resist material was spin-coated on a Si substrate having a diameter of 4 inches φ treated with hexamethyldisilazane (HMDS) vapor prime and prebaked on a hot plate at 105 ° C. for 60 seconds to produce a 50 nm resist film. did. This was subjected to EUV exposure with NA 0.3 and dipole illumination.
Immediately after exposure, post-exposure baking (PEB) was performed on a hot plate for 60 seconds. In Example 1 and Comparative Example 1, paddle development was performed for 30 seconds with a 2.38 mass% TMAH aqueous solution, and in Example 2, 3.84. Paddle development with 30% by weight TEAH aqueous solution, 30 second paddle development with 5.31% by weight TPAH aqueous solution in Example 3, and 6.78% by weight TBAH aqueous solution in Examples 4, 5, and 6 Paddle development was performed for 30 seconds to obtain a positive pattern.
The obtained resist pattern was evaluated as follows.
The minimum dimension at the exposure amount for resolving 35 nm line and space at 1: 1 was taken as the resolving power, and the edge roughness of 35 nm LS was measured by SEM.
Table 4 shows the results of resist composition, sensitivity and resolution in EUV exposure.

Figure 2011138107
Figure 2011138107

表1,2の結果より、本発明に係る高分子化合物を用いたレジスト材料は、十分な解像力と感度とエッジラフネスを満たし、また、表3の結果より、エッチング後の膜厚差が小さいことから優れた耐ドライエッチング性を有していることがわかった。更に、表4の結果より、現像液を従来のTMAHからTEAH、TPAH、TBAHに変更することによってパターン倒れを防止することによって解像力とラフネスを改善できることがわかった。   From the results in Tables 1 and 2, the resist material using the polymer compound according to the present invention satisfies sufficient resolution, sensitivity, and edge roughness, and from the results in Table 3, the difference in film thickness after etching is small. Thus, it was found that the film has excellent dry etching resistance. Furthermore, from the results shown in Table 4, it was found that the resolution and roughness can be improved by preventing pattern collapse by changing the developer from the conventional TMAH to TEAH, TPAH, and TBAH.

Claims (11)

カルボキシル基の水素原子が下記一般式(1)で示される酸不安定基によって置換されている樹脂をベース樹脂にしていることを特徴とするポジ型レジスト材料。
Figure 2011138107

(式中、R1、R2は水素原子、それぞれ炭素数1〜4のアルキル基、アルコキシ基、アルカノイル基もしくはアルコキシカルボニル基、ヒドロキシ基、炭素数6〜10のアリール基、ハロゲン原子、又はシアノ基である。Rは水素原子、酸素原子又は硫黄原子を有していてもよい炭素数1〜12の直鎖状、分岐状又は環状のアルキル基、炭素数2〜12のアルケニル基、炭素数2〜12のアルキニル基、又は炭素数6〜10のアリール基である。m、nは1〜4の整数である。Gはメチレン基、エチレン基、ビニレン基、又は−CH2−S−である。)
A positive resist material characterized in that a resin in which a hydrogen atom of a carboxyl group is substituted with an acid labile group represented by the following general formula (1) is used as a base resin.
Figure 2011138107

(Wherein R 1 and R 2 are each a hydrogen atom, an alkyl group having 1 to 4 carbon atoms, an alkoxy group, an alkanoyl group or an alkoxycarbonyl group, a hydroxy group, an aryl group having 6 to 10 carbon atoms, a halogen atom, or cyano. R is a straight chain, branched or cyclic alkyl group having 1 to 12 carbon atoms which may have a hydrogen atom, an oxygen atom or a sulfur atom, an alkenyl group having 2 to 12 carbon atoms, or a carbon number. An alkynyl group having 2 to 12 carbon atoms, or an aryl group having 6 to 10 carbon atoms, m and n are each an integer of 1 to 4. G is a methylene group, an ethylene group, a vinylene group, or —CH 2 —S—. is there.)
下記一般式(2)で示される式(1)の酸不安定基で置換された(メタ)アクリル酸及びその誘導体、スチレンカルボン酸、ビニルナフタレンカルボン酸から選ばれる繰り返し単位aを有する重量平均分子量が1,000〜500,000の範囲である高分子化合物をベース樹脂にしていることを特徴とする請求項1に記載のポジ型レジスト材料。
Figure 2011138107

(式中、R1、R2、G、R、m、nは前述の通りである。X1は単結合、又は−C(=O)−O−R4−、フェニレン基又はナフチレン基であり、R4は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エステル基、エーテル基又はラクトン環を有していてもよい。R3は水素原子又はメチル基である。)
Weight average molecular weight having a repeating unit a selected from (meth) acrylic acid substituted by an acid labile group of formula (1) represented by the following general formula (2) and derivatives thereof, styrene carboxylic acid, and vinyl naphthalene carboxylic acid 2. The positive resist material according to claim 1, wherein the base resin is a polymer compound having a molecular weight of 1,000 to 500,000.
Figure 2011138107

(Wherein R 1 , R 2 , G, R, m, and n are as described above. X 1 is a single bond, or —C (═O) —O—R 4 —, a phenylene group, or a naphthylene group. R 4 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, and may have an ester group, an ether group or a lactone ring, and R 3 is a hydrogen atom or a methyl group. is there.)
一般式(2)で示される式(1)の酸不安定基で置換された(メタ)アクリル酸及びその誘導体、スチレンカルボン酸、ビニルナフタレンカルボン酸から選ばれる繰り返し単位aに加えて、ヒドロキシ基、ラクトン環、エーテル基、エステル基、カルボニル基、シアノ基、環状の−O−C(=O)−S−又は−O−C(=O)−NH−から選ばれる密着性基を有する繰り返し単位bを共重合した重量平均分子量が1,000〜500,000の範囲である高分子化合物(但し、0<a<1.0、0<b<1.0、0.05≦a+b≦1.0の範囲である。)をベース樹脂にしていることを特徴とする請求項2に記載のレジスト材料。   In addition to the repeating unit a selected from (meth) acrylic acid substituted by an acid labile group of the formula (1) represented by the general formula (2) and a derivative thereof, styrene carboxylic acid, vinyl naphthalene carboxylic acid, a hydroxy group , A lactone ring, an ether group, an ester group, a carbonyl group, a cyano group, a cyclic group having an adhesive group selected from —O—C (═O) —S— or —O—C (═O) —NH— A polymer compound having a weight average molecular weight in the range of 1,000 to 500,000 copolymerized with the unit b (where 0 <a <1.0, 0 <b <1.0, 0.05 ≦ a + b ≦ 1 The resist material according to claim 2, wherein a base resin is used. 繰り返し単位bが、フェノール性水酸基を有する繰り返し単位であることを特徴とする請求項3に記載のレジスト材料。   The resist material according to claim 3, wherein the repeating unit b is a repeating unit having a phenolic hydroxyl group. フェノール性水酸基を有する繰り返し単位が、下記一般式(3)で示されるb1〜b8から選ばれるものであることを特徴とする請求項4に記載のレジスト材料。
Figure 2011138107

(式中、X2、X3は単結合、又は−C(=O)−O−R6−であり、X4、X5は−C(=O)−O−R6−であり、R6は単結合、又は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基である。R5は同一又は異種の水素原子又はメチル基である。Y1、Y2はメチレン基又はエチレン基、Zはメチレン基、酸素原子又は硫黄原子、pは1又は2である。)
The resist material according to claim 4, wherein the repeating unit having a phenolic hydroxyl group is selected from b1 to b8 represented by the following general formula (3).
Figure 2011138107

(Wherein X 2 and X 3 are a single bond or —C (═O) —O—R 6 —, X 4 and X 5 are —C (═O) —O—R 6 —, R 6 is a single bond or a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, R 5 is the same or different hydrogen atom or methyl group, and Y 1 and Y 2 are methylene groups. Or an ethylene group, Z is a methylene group, an oxygen atom or a sulfur atom, and p is 1 or 2.)
高分子化合物が、更に下記一般式(4)で示されるインデン、アセナフチレン、クロモン、クマリン、ノルボルナジエン及びこれらの誘導体c1〜c5から選ばれる繰り返し単位を共重合してなることを特徴とする請求項3〜5のいずれか1項に記載のレジスト材料。
Figure 2011138107

(式中、R9〜R13は水素原子、それぞれ炭素数1〜30のアルキル基、一部又は全てがハロゲン原子で置換されたアルキル基、アルコキシ基、アルカノイル基もしくはアルコキシカルボニル基、炭素数6〜10のアリール基、ハロゲン原子、又は1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール基である。Zはメチレン基、酸素原子又は硫黄原子である。)
The polymer compound is obtained by further copolymerizing a repeating unit selected from indene, acenaphthylene, chromone, coumarin, norbornadiene and derivatives c1 to c5 thereof represented by the following general formula (4). The resist material of any one of -5.
Figure 2011138107

(In the formula, R 9 to R 13 are each a hydrogen atom, an alkyl group having 1 to 30 carbon atoms, an alkyl group partially or entirely substituted with a halogen atom, an alkoxy group, an alkanoyl group or an alkoxycarbonyl group, and 6 carbon atoms. -10 aryl group, halogen atom, or 1,1,1,3,3,3-hexafluoro-2-propanol group, Z is a methylene group, oxygen atom or sulfur atom.)
高分子化合物が、一般式(2)で示される式(1)の酸不安定基で置換された(メタ)アクリル酸及びその誘導体、スチレンカルボン酸、ビニルナフタレンカルボン酸から選ばれる繰り返し単位a、ヒドロキシ基、ラクトン環、エーテル基、エステル基、カルボニル基、シアノ基から選ばれる密着性基の繰り返し単位bに加えて、下記一般式(5)で示されるスルホニウム塩d1〜d3のいずれかを共重合してなることを特徴とする請求項3〜6のいずれか1項に記載のレジスト材料。
Figure 2011138107

(式中、R20、R24、R28は水素原子又はメチル基、R21は単結合、フェニレン基、−O−R33−、又は−C(=O)−Y−R33−である。Yは酸素原子又はNH、R33は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、フェニレン基又はアルケニレン基であり、カルボニル基、エステル基、エーテル基又はヒドロキシ基を含んでいてもよい。R22、R23、R25、R26、R27、R29、R30、R31は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表す。Z0は単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R32−、又は−C(=O)−Z1−R32−である。Z1は酸素原子又はNH、R32は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、フェニレン基又はアルケニレン基であり、カルボニル基、エステル基、エーテル基又はヒドロキシ基を含んでいてもよい。M-は非求核性対向イオンを表す。0≦d1≦0.3、0≦d2≦0.3、0≦d3≦0.3、0≦d1+d2+d3≦0.3の範囲である。)
The polymer compound is a repeating unit a selected from (meth) acrylic acid substituted with an acid labile group of the formula (1) represented by the general formula (2) and a derivative thereof, styrene carboxylic acid, vinyl naphthalene carboxylic acid, In addition to the repeating unit b of the adhesive group selected from a hydroxy group, a lactone ring, an ether group, an ester group, a carbonyl group, and a cyano group, any one of the sulfonium salts d1 to d3 represented by the following general formula (5) is used. The resist material according to claim 3, wherein the resist material is polymerized.
Figure 2011138107

(Wherein R 20 , R 24 and R 28 are a hydrogen atom or a methyl group, R 21 is a single bond, a phenylene group, —O—R 33 —, or —C (═O) —Y—R 33 —. Y is an oxygen atom or NH, R 33 is a linear, branched or cyclic alkylene group, phenylene group or alkenylene group having 1 to 6 carbon atoms, including a carbonyl group, an ester group, an ether group or a hydroxy group R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 30 , R 31 are the same or different linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms. And may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or a thiophenyl group, wherein Z 0 is a single bond or a methylene group. , Ethylene group, phenylene group, fluorinated phenylene group, —O—R 32 —, or —C (═O) —Z 1 —R 32 —, wherein Z 1 is an oxygen atom or NH, and R 32 is a linear, branched or cyclic group having 1 to 6 carbon atoms. An alkylene group, a phenylene group or an alkenylene group, which may contain a carbonyl group, an ester group, an ether group or a hydroxy group, M represents a non-nucleophilic counter ion, 0 ≦ d1 ≦ 0.3, 0 ≦ d2 ≦ 0.3, 0 ≦ d3 ≦ 0.3, 0 ≦ d1 + d2 + d3 ≦ 0.3.
更に、有機溶剤及び酸発生剤を含有する化学増幅ポジ型レジスト材料であることを特徴とする請求項1〜7のいずれか1項に記載のポジ型レジスト材料。   The positive resist composition according to claim 1, further comprising a chemically amplified positive resist composition containing an organic solvent and an acid generator. 更に、溶解制御剤を含有するものであることを特徴とする請求項8に記載のポジ型レジスト材料。   The positive resist composition according to claim 8, further comprising a dissolution control agent. 更に、添加剤として塩基性化合物及び/又は界面活性剤を配合してなることを特徴とする請求項8又は9に記載のポジ型レジスト材料。   The positive resist composition according to claim 8 or 9, further comprising a basic compound and / or a surfactant as an additive. 請求項1〜10のいずれか1項に記載のポジ型レジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法。   A step of applying the positive resist material according to any one of claims 1 to 10 on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. The pattern formation method characterized by the above-mentioned.
JP2010241622A 2009-12-02 2010-10-28 Positive resist material and pattern forming method using the same Active JP5573595B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010241622A JP5573595B2 (en) 2009-12-02 2010-10-28 Positive resist material and pattern forming method using the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009274166 2009-12-02
JP2009274166 2009-12-02
JP2010241622A JP5573595B2 (en) 2009-12-02 2010-10-28 Positive resist material and pattern forming method using the same

Publications (2)

Publication Number Publication Date
JP2011138107A true JP2011138107A (en) 2011-07-14
JP5573595B2 JP5573595B2 (en) 2014-08-20

Family

ID=44349561

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010241622A Active JP5573595B2 (en) 2009-12-02 2010-10-28 Positive resist material and pattern forming method using the same

Country Status (1)

Country Link
JP (1) JP5573595B2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012073398A (en) * 2010-09-28 2012-04-12 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, and pattern formation method
JP2012168279A (en) * 2011-02-10 2012-09-06 Tokyo Ohka Kogyo Co Ltd Resist composition for extreme ultraviolet (euv), production method of resist composition for euv, and method for forming resist pattern
JP2012181272A (en) * 2011-02-28 2012-09-20 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film using the same and pattern formation method
KR20130063480A (en) 2011-12-06 2013-06-14 신에쓰 가가꾸 고교 가부시끼가이샤 Resist protective film-forming composition and patterning process
KR20130063482A (en) 2011-12-06 2013-06-14 신에쓰 가가꾸 고교 가부시끼가이샤 Resist protective film-forming composition and patterning process
JP2014012815A (en) * 2012-06-04 2014-01-23 Shin Etsu Chem Co Ltd Polymeric compound, resist material and pattern forming method
JP2014114239A (en) * 2012-12-10 2014-06-26 Shin Etsu Chem Co Ltd Sulfonium salt and polymeric compound, resist material and pattern forming method, and production method of the polymeric compound
JP2014177407A (en) * 2013-03-13 2014-09-25 Shin Etsu Chem Co Ltd Sulfonium salt, polymeric compound, resist material, and pattern forming method
US8846303B2 (en) 2011-10-11 2014-09-30 Shin-Etsu Chemical Co., Ltd. Resist top coat composition and patterning process
US8951712B2 (en) 2012-09-14 2015-02-10 Shin-Etsu Chemical Co., Ltd. Resist protective film-forming composition and patterning process
JP2017146521A (en) * 2016-02-19 2017-08-24 信越化学工業株式会社 Positive resist material, and pattern forming method
US10901120B2 (en) 2017-12-05 2021-01-26 Shin-Etsu Chemical Co., Ltd. Transparent antireflective lamination film, method of forming antireflective lamination film, and eyeglass type display
JP2021033026A (en) * 2019-08-22 2021-03-01 東京応化工業株式会社 Resist composition, resist pattern forming method, polymer compound, and compound
US10996378B2 (en) 2017-12-21 2021-05-04 Shin-Etsu Chemical Co., Ltd. Antireflective film, method of producing antireflective film, and eyeglass type display
CN114080404A (en) * 2019-08-09 2022-02-22 丸善石油化学株式会社 Polymer, method for producing same, and resin composition for resist
US11762127B2 (en) 2017-12-15 2023-09-19 Shin-Etsu Chemical Co., Ltd. Antireflective film including a photoresist material containing a polymer compound having an aromatic group, method of producing antireflective film, and eyeglass type display

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008203535A (en) * 2007-02-20 2008-09-04 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP2008250227A (en) * 2007-03-30 2008-10-16 Fujifilm Corp Positive resist composition and method for forming pattern using same
JP2011141471A (en) * 2010-01-08 2011-07-21 Shin-Etsu Chemical Co Ltd Positive resist material and method for forming pattern using the same
JP2011150103A (en) * 2010-01-21 2011-08-04 Shin-Etsu Chemical Co Ltd Positive-type resist material and pattern forming method using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008203535A (en) * 2007-02-20 2008-09-04 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP2008250227A (en) * 2007-03-30 2008-10-16 Fujifilm Corp Positive resist composition and method for forming pattern using same
JP2011141471A (en) * 2010-01-08 2011-07-21 Shin-Etsu Chemical Co Ltd Positive resist material and method for forming pattern using the same
JP2011150103A (en) * 2010-01-21 2011-08-04 Shin-Etsu Chemical Co Ltd Positive-type resist material and pattern forming method using the same

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012073398A (en) * 2010-09-28 2012-04-12 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, and pattern formation method
JP2012168279A (en) * 2011-02-10 2012-09-06 Tokyo Ohka Kogyo Co Ltd Resist composition for extreme ultraviolet (euv), production method of resist composition for euv, and method for forming resist pattern
JP2012181272A (en) * 2011-02-28 2012-09-20 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film using the same and pattern formation method
US8846303B2 (en) 2011-10-11 2014-09-30 Shin-Etsu Chemical Co., Ltd. Resist top coat composition and patterning process
KR20130063480A (en) 2011-12-06 2013-06-14 신에쓰 가가꾸 고교 가부시끼가이샤 Resist protective film-forming composition and patterning process
KR20130063482A (en) 2011-12-06 2013-06-14 신에쓰 가가꾸 고교 가부시끼가이샤 Resist protective film-forming composition and patterning process
US8883379B2 (en) 2011-12-06 2014-11-11 Shin-Etsu Chemical Co., Ltd. Resist-protective film-forming composition and patterning process
US9029075B2 (en) 2011-12-06 2015-05-12 Shin-Etsu Chemical Co., Ltd. Resist-protective film-forming composition and patterning process
JP2014012815A (en) * 2012-06-04 2014-01-23 Shin Etsu Chem Co Ltd Polymeric compound, resist material and pattern forming method
US8951712B2 (en) 2012-09-14 2015-02-10 Shin-Etsu Chemical Co., Ltd. Resist protective film-forming composition and patterning process
JP2014114239A (en) * 2012-12-10 2014-06-26 Shin Etsu Chem Co Ltd Sulfonium salt and polymeric compound, resist material and pattern forming method, and production method of the polymeric compound
US9146464B2 (en) 2012-12-10 2015-09-29 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, polymer, polymer making method, resist composition, and patterning process
US9091918B2 (en) 2013-03-13 2015-07-28 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, polymer, resist composition, and patterning process
JP2014177407A (en) * 2013-03-13 2014-09-25 Shin Etsu Chem Co Ltd Sulfonium salt, polymeric compound, resist material, and pattern forming method
JP2017146521A (en) * 2016-02-19 2017-08-24 信越化学工業株式会社 Positive resist material, and pattern forming method
KR20170098186A (en) * 2016-02-19 2017-08-29 신에쓰 가가꾸 고교 가부시끼가이샤 Positive resist composition and pattern forming process
KR102096141B1 (en) 2016-02-19 2020-04-01 신에쓰 가가꾸 고교 가부시끼가이샤 Positive resist composition and pattern forming process
US10901120B2 (en) 2017-12-05 2021-01-26 Shin-Etsu Chemical Co., Ltd. Transparent antireflective lamination film, method of forming antireflective lamination film, and eyeglass type display
US11762127B2 (en) 2017-12-15 2023-09-19 Shin-Etsu Chemical Co., Ltd. Antireflective film including a photoresist material containing a polymer compound having an aromatic group, method of producing antireflective film, and eyeglass type display
US10996378B2 (en) 2017-12-21 2021-05-04 Shin-Etsu Chemical Co., Ltd. Antireflective film, method of producing antireflective film, and eyeglass type display
CN114080404A (en) * 2019-08-09 2022-02-22 丸善石油化学株式会社 Polymer, method for producing same, and resin composition for resist
CN114080404B (en) * 2019-08-09 2024-03-12 丸善石油化学株式会社 Polymer, process for producing the same, and resin composition for resist
JP2021033026A (en) * 2019-08-22 2021-03-01 東京応化工業株式会社 Resist composition, resist pattern forming method, polymer compound, and compound
JP7292150B2 (en) 2019-08-22 2023-06-16 東京応化工業株式会社 RESIST COMPOSITION, RESIST PATTERN FORMATION METHOD, POLYMER AND COMPOUND

Also Published As

Publication number Publication date
JP5573595B2 (en) 2014-08-20

Similar Documents

Publication Publication Date Title
JP5407941B2 (en) Positive resist material and pattern forming method using the same
JP5463963B2 (en) Positive resist material and pattern forming method using the same
JP5839019B2 (en) Polymer compound, chemically amplified positive resist material, and pattern forming method
JP5573595B2 (en) Positive resist material and pattern forming method using the same
JP5565293B2 (en) Positive resist material and pattern forming method using the same
JP5708518B2 (en) Resist material and pattern forming method using the same
JP5407892B2 (en) Positive resist material and pattern forming method using the same
JP5318697B2 (en) Resist material and pattern forming method using the same
JP5655755B2 (en) Positive resist material and pattern forming method using the same
JP5655756B2 (en) Positive resist material and pattern forming method using the same
JP5712963B2 (en) Polymer compound, positive resist material, and pattern forming method using the same
JP5464131B2 (en) Chemically amplified resist material and pattern forming method using the same
JP6020361B2 (en) Polymer compound, positive resist material, and pattern forming method using the same
JP5601309B2 (en) Positive resist material and pattern forming method using the same
JP5655754B2 (en) Positive resist material and pattern forming method using the same
JP5233976B2 (en) Positive resist material and pattern forming method using the same
JP5402651B2 (en) Positive resist material and pattern forming method using the same
JP5434983B2 (en) Positive resist material and pattern forming method using the same
JP5798100B2 (en) Resist material and pattern forming method using the same
JP2013257542A (en) Resist material, pattern forming method using the same, polymerizable monomer, and polymeric compound
JP5628093B2 (en) Positive resist material and pattern forming method using the same
JP5772760B2 (en) Positive resist material and pattern forming method using the same
JP5954252B2 (en) Resist material and pattern forming method using the same
JP6028687B2 (en) Positive resist material, polymerizable monomer, polymer compound and pattern forming method using the same
JP5290947B2 (en) Positive resist material and pattern forming method using the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121026

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131119

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140603

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140616

R150 Certificate of patent or registration of utility model

Ref document number: 5573595

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150