JP2010041055A - 照射の不均等性および画像歪みの補償 - Google Patents

照射の不均等性および画像歪みの補償 Download PDF

Info

Publication number
JP2010041055A
JP2010041055A JP2009181392A JP2009181392A JP2010041055A JP 2010041055 A JP2010041055 A JP 2010041055A JP 2009181392 A JP2009181392 A JP 2009181392A JP 2009181392 A JP2009181392 A JP 2009181392A JP 2010041055 A JP2010041055 A JP 2010041055A
Authority
JP
Japan
Prior art keywords
aperture
apertures
arrangement
particle beam
blanking
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009181392A
Other languages
English (en)
Inventor
Elmar Platzgummer
プラッツグンマー エルマー
Heinrich Fragner
フラクナー ハインリヒ
Stefan Cernusca
ツェルヌスカ シュテファン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IMS Nanofabrication GmbH
Original Assignee
IMS Nanofabrication GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by IMS Nanofabrication GmbH filed Critical IMS Nanofabrication GmbH
Publication of JP2010041055A publication Critical patent/JP2010041055A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Electron Beam Exposure (AREA)

Abstract

【課題】粒子ビーム露光装置で、帯電した粒子のビームで照射され、ビームが複数のアパーチャを介してのみ通過することを可能にすることによって、ターゲット上にパターンを画定するためのデバイス内の改良されたアパーチャ配置を提供する。
【解決手段】アパーチャを透過するビームの形状および相対的配置を画定する同一の形状の複数のアパーチャを有するアパーチャアレイと、アパーチャを透過し、それらによって画定された選択されたビームレットの通過をスイッチオフするためのブランキング手段とを備える。アパーチャは、わずかな偏差だけ規則的配置から偏移した配置に従ってアパーチャアレイ手段上に配置され、粒子ビーム露光装置によって生じた歪みを調整し、アパーチャおよび対応する開口部を介してターゲット上に照射される電流を調整することができるように、アパーチャアレイのアパーチャのサイズは、アパーチャアレイに全体にわたって異なる。
【選択図】図10

Description

本発明は、荷電されたエネルギー粒子のビームを利用して、基板、すなわちターゲットの表面上にパターンを形成するためのマスクレス粒子ビーム露光装置に関する。より詳細には、本発明は、パターン画定手段、およびこのパターン画定手段を採用する露光装置に関する。詳細には、パターン画定手段は、粒子ビーム露光装置内でパターンを画定するためのデバイスであって、このデバイスは、荷電された粒子のビームによって照射され、ビームを複数のアパーチャのみを介して通過させるように適合される。これは、該アパーチャを透過するビームレットの形状および相対的配置を画定する規定された形状の複数のアパーチャを有するアパーチャアレイ手段と、さらに、選択されたビームレットの通過をスイッチオフするためのブランキング手段とを備える。このブランキング手段は、各開口部が、アパーチャアレイ手段の各アパーチャに対応する複数の開口部を有し、開口部を介して照射された粒子を、その経路から外して該露光装置内の吸収面に偏向させるように制御可能な偏向手段を備える。
荷電粒子ビーム投影によるダイレクトパターン転写用にアドレス可能マスクを使用する可能性は、1980年代から研究されてきた。このようなマスクは、半導体技術で使用される粒子ビームリソグラフィの分野で有利である。その中で、リソグラフィ装置は、例えば、シリコンウエーハなどのターゲット上に構造を画定するのに使用される(この開示を通して、用語ターゲットおよび基板は、相互交換可能に使用される)。所望のパターンを基板ウエーハ上に画定するために、ウエーハは、感放射性フォトレジストの層で被覆される。その後、リソグラフィ装置を利用して、所望の構造がフォトレジスト上に結像され、次いでフォトレジストは、先の露光ステップによって画定されたパターンに従って部分的に除去することによってパターニングされ、その後、エッチングなど別の構造化プロセス用のマスクとして使用される。別の重要な用途において、パターンは、イオンミリニングまたは反応性イオンビームエッチングまたは蒸着など、レジストなしのダイレクトパターニングによって生成することができる。
1997年に、I.L.Berry等は、J.Vac.Sci.Technol.B,15(6)、1997年、ページ2382から2386において、ブランキングアパーチャアレイおよびイオン投影系に基づいた描画戦略を提示した。Arai等の米国特許第5,369,282号は、パターン画定手段の役割を果たす、いわゆるブランキングアパーチャアレイ(BAA)を使用する電子ビーム露光システムを考察する。BAAは、多数のアパーチャの列を有し、アパーチャの像は、アパーチャの列に対して垂直の方向の制御された連続的移動で、基板の表面全面にわたって走査される。列は、走査方向から見て、アパーチャがジグザグ状のライン(staggered lines)を形成するように、インターレース式に互いに対して整列される。したがって、ジグザグ状のラインは、それらが基板に対して移動する際、その間に間隙を残さずに基板表面上に連続線を掃引し、これにより、基板上の露光されるべき全領域が網羅される。
上記に記載のBerry等の論文は、n=4で列とジグザグ状のラインを整列させる5μm側長の3000x3000個のアパーチャのアレイを有する「プログラマブルアパーチャアレイ」を備えるパターン画定デバイスを記載する。論文は、基板上にBAAのアパーチャを結像するために、200倍の縮小イオン光学系を使用することを提案している。
Berryの方式から発して、E.Platzgummer等は、米国特許第6,768,125号で、相互に積み重ねられた多数の平板を備え、その間にアパーチャアレイ手段およびブランキング手段を備えるパターン画定デバイスを採用する、PML2(「投影マスクレスリソグラフィ」の省略)と呼ばれるマルチビーム直接描画方式を提示した。これらの分離した平板は、規定された距離で、例えば、ケーシング内に一緒に搭載される。アパーチャアレイ手段は、該アパーチャを透過するビームレットの形状を画定する同一形状の複数のアパーチャを有し、アパーチャは、アパーチャの複数のジグザグ状のラインで構成されたパターン画定フィールド内に配置され、アパーチャは、アパーチャの幅の第1の整数倍だけ該ライン内で離間して配置され、隣同士のライン間では、該幅の整数倍の分数だけオフセットされている。ブランキング手段は、アパーチャアレイ手段のアパーチャに対応する配置で配置され、詳細には、ブランキング開口部の対応するジグザグ状のラインを有する。パターン画定デバイスの構造および動作に関する米国特許第6,768,125号の教示は、参照により本開示の一部として本明細書に含まれる。
PML2マルチビームダイレクト描画方式の主な利点は、単一ビームライターと比較して描画速度が大きく向上することである(マルチビーム手法とは、帯電粒子ビームが、切り替え可能なブランカーデバイスを含むアパーチャプレートによって動的に構造化された複数のサブビームから成ることを意味する)。改良された生産性は、主に以下の特徴から生じる。
−必要な電流密度が、著しく減少する(粒子源の要件が緩和される)、
−必要な単一ビームブランキング速度を低MHz形態に限定することができる、
−空間電荷の重要性が低下する(広いビームが使用されるとき、電流は、大きな断面に分布される)、
−並行描画戦略によるピクセル転写率の向上(シーケンシャルなラスタ走査の代わりに)、
−複数のビームを使用することで可能な高度の冗長性(例えば、グレイスケール生成に使用可能な)。
しかしながら、PML2は、マルチビームパターニングに関していくつかの課題を有する。
−全てのビームが、ほぼ同一の照射率(すなわち、グレイレベルピクセル露光当たりの粒子の数)を有する必要がある;
−全てのビームが、ほぼ同一の形状を有する必要がある;
−全てのビームは、高度に規則的なグリッド上でターゲット上に配置される必要があり、これは、事実上歪みない結像、および電流依存性(=パターン依存性)の画像歪みおよびピンボケの完全な制御を必要とする;
−精巧なビーム追跡による極めて精密なウエーハ走査システムに対する要望(像位置、像回転、像拡大、像歪み誤差の修正を含む)。
出願人/譲受人の米国特許第7,276,714号は、少なくとも1つのアパーチャプレートおよびブランキング手段を備える粒子ビーム処理に関するパターン画定手段を開示する。アパーチャプレート内のアパーチャは、「インターロッキンググリッド」内に配置される、すなわちアパーチャは、その基本グリッドが互いに噛み合う正方形または矩形内にグループで配置される。これは、走査方向に垂直のおよび/またはそれに対して平行な方向に対して取られたアパーチャの位置が、該方向に沿って取られたアパーチャの実効幅の整数倍のみならず、該実効幅の整数倍の分数だけ互いにオフセットされることを意味する。この文脈において、「走査方向」とは、荷電粒子ビームによってターゲット表面上に形成されたアパーチャの像が、露光プロセス中にターゲット表面全面にわたって移動される方向を意味する。
これは、個々のアパーチャの各像によって形成された個々のスポットのサイズがたとえ縮小されないとしても、ターゲット表面上でより微細な解像度が得られることになる。分数オフセットの詳細な値は、アパーチャの実効幅の1/2倍の整数倍であり、但しNは、正の整数である。
先行技術PML2方式は、基板が連続して移動され、引き続き一列に配置されたアパーチャを露光することによって、構造化されたビームの投影像が、100パーセントのグレイピクセルを生成する戦略である。グレイレベルを実現するために、一列のアパーチャの全体が、コラムへさらに分割され、コラムの数は、所望のグレイレベルの数に対応する。出願人/譲受人による米国特許出願第12/051087号に記載される最近の変形形態において、グレイピクセルの全セットを生成するために、各ピクセルに対して(機械的)走査方向に沿った1つまたは少数のビームが使用される、いわゆる「トロッティングモード(trotting mode)」描画戦略が提案される。この変形形態の利点は、CMOS構造の複雑さが低減され、データ管理が改良される点である。
ビットマップタイプのパターンコーディングに基づく全ての描画戦略において、リソグラフィ要件を満たすために、架空のグリッド(典型的には20nmピッチの物理的グリッド)に対する個々のビームの精密な位置制御が必須である。基本的に像の系統的な歪みは、ボケの異方性の変化を引き起こし、記載の「トロッティングモード」では、系統的な歪みはまた、1つのピクセルの全露光照射に寄与する、ここでは1つのみの(または、選択された戦術および冗長性によって少数の)ビームとして最終的に生成されるパターンの著しい歪みを生じさせる。同様に、アパーチャサイズ、およびアパーチャプレート上のそれぞれの位置での電流密度に直接関連するレベル当たりの照射は、トロッティングモードの場合、より重要になる。
論文「Оverview of the Ion Projection Lithography European MEDEA and International Program」(SPIEマイクロリソグラフィ会議、米国、サンタクララ、2000年2月28日から3月1日)において、R.KaesmaierおよびH.Lbschnerは、イオン光学パターン転写が事実上歪みゼロでウエーハレベルで実現されるようなX/Y位置補正を導入した、ステンシルマスク内でのパターン位置の補正を考察する。
上記を鑑みて、本発明のタスクは、従来技術の欠点を克服し、ターゲット上のその最終的な位置で歪められることなく、グレイレベル当たり(すなわち、同等の「ビームオン」間隔に対して)同一の照射増加量および事実上同一のビーム形状を有するビームのアレイを形成するために、照射率(グレイレベル当たりのビームごとの照射)の改良された制御、およびマルチビームアレイ内でのビームの相対的配置を可能にする方法を見いだすことである。換言すると、本発明のタスクは、架空のグリッド上に分布された同一のビームに基づいて、オンラインデータ作成モジュールを使用して「リアルタイム」で行うことができる、描画データストリームの極めて有効なビットマップコーディングを可能にすることである。本発明の基本概念によると、ビームの相対的配置は、BAA(パターン画定デバイス)内のアパーチャのアレイの事前の歪みによって実現され、照射率補正は、照明ビームの電流密度に従ってアパーチャのサイズを適合させることによって行われる。
先行技術で十分確立されてきたように、ビームごとの照射率は、照明ビームによって生成される局所電流密度に影響を受けることは避けがたく、この電流密度は、一般にに光学軸からの半径方向距離の関数である。投影系の歪み誤差によって生じた像の歪みも同様に、一般に半径方向距離(すなわち、中心からの距離、通常は、光学軸からの距離)の関数である。したがって、半径方向距離にわたる照射率および相対的配置の補正(事前歪み)の平均化が、極めて望ましい。電流密度の変動および歪みは共に、空間電荷および極めて精密なフィールド計算を含む、先行技術の荷電粒体光学計算法を使用して、かなり正確に事前に計算することができる。さらに、一般に、投影系の歪み誤差によって生じた像の歪みは、単に半径方向距離の関数であり得るだけでなく、むしろ、特に半径方向の対称性を持たない粒体光学構成要素(多極電極など)の場合、歪みは方位角にも同様に依存し得る。
換言すると、本発明は、粒子ビーム露光装置内での使用に関してターゲット上にパターンを画定するためのデバイスであって、荷電された粒子のビームによって照射されるように適合され、ビームが複数のアパーチャのみを介して通過できるようにし、
−該アパーチャを透過するビームレットの形状を画定する複数のアパーチャを備えるアパーチャアレイを有するアパーチャアレイ手段と、
−選択されたビームレットの通過をスイッチオフするためのブランキングアレイ手段であって、それぞれの対応する開口部が、アパーチャアレイの各アパーチャに対応して配置された複数の対応する開口部を有し、開口部を介して照射された粒子をその経路から外して偏向させるための制御可能な偏向手段を備えるブランキングアレイ手段とを備え、
アパーチャが、アパーチャのサイズおよび位置を画定するアパーチャの配置に従ってアパーチャアレイ手段内に配置され、アパーチャが、粒子ビーム露光装置内で露光されるべきターゲット上に結像されることになるデバイスから生じる上記に記載の問題を解決する。
本発明によると、この配置は、それが、基本配置に基づくものであるが、基本配置からの偏移を有するように選択され、基本配置は、規則的な並進格子グリッド内に配置された同等のサイズおよび形状のアパーチャを含む少なくとも1つの非オーバーラップ領域に対応し、基本配置からの偏移は、複数のアパーチャを横断するビームの電流密度の不均等性および/または粒子ビーム露光装置内の結像歪みを補償するように構成される。偏移は、規則的並進グリッド内で許容される最小オフセットより少なくとも1オーダーの大きさだけ小さい一定量だけ、1つのアパーチャから隣接するアパーチャまで(または、1つのビームから隣接するビームまで)変動する準連続関数に対応する。
本発明により、アパーチャプレート内のアパーチャの位置および/またはサイズの適切な調整(これは、好ましくはアパーチャが、同一の形状を有するが、変動するサイズを有し得ることを示す)によって、上記のビームおよび結像不良の有効な補正が可能になる。したがって、本発明は、ターゲット上に生成されるべき像の精密なリソグラフィ画定を向上させ、光学軸に対するビームの相対的配置から独立した、像の個々のフィーチャの高い解像度を保証する。さらに、本発明により、基板上に「所望の」構造を生成するのに必要とされる照射分布の有効なビットマップコーディングが可能になる。ビットマップコーディングは、設計パターン(例えば、GDSIIファイルとして与えられた)を、BAAおよびデータパス要素によって処理することが可能なデータストリームに変換するために高速アルゴリズムを採用することが必須である。高度なスループット用途に関して、BAAは、一般に、ラインをアドレス指定するための空間が制限され、アパーチャメンブレン内埋め込まれたASIC構造のクロック速度が制限されることにより、数が限られた可能なグレイレベルを有する。
実際、照射率補正に必要なアパーチャサイズの変化は、アパーチャサイズそれ自体と比較するとわずかである。したがって、ビーム形状、および詳細には幾何学的ビームサイズ(=基板上のスポットサイズまたはピクセルサイズ)の変化は、生成されるリソグラフィパターン(または、直接パターン形成される構造)に対して有意な影響は全く持たない。この理由としては、1つのビームによって生成される典型的照射分布は、幅と同一範囲内にボケを含む2次元エラー関数の形状を有するためである。したがって、照射率jがj/j=A/Aのように変化し、この絶対変化が小さく、例えば(A*−A)/A<5%である場合、領域AからAまでのサイズの変動は、50%の輪郭(ここで、50%の輪郭を、レジストがフィーチャエッジを形成する閾値照射と仮定する)に対してわずかに作用するのみである。1つのビームに関する典型的な幾何学的ビームサイズ(スポットサイズ)は、20nmであり、−4%の照明の電流密度誤差は、+2%の幅補正によって、すなわち20.4nmの幾何学的スポットサイズに補償される。この例が示すように、0.4nmのスポットサイズのわずかな変化は、フィーチャエッジの位置、すなわちクリティカルディメンション(線幅)に対して有意な影響を与えないが、それでも有意な照射の増加は意味する。インターロッキンググリッドの場合においても、出願人/譲受人の米国特許第7,276,714号で考察されるように、本発明によるスポットサイズの変動によるフィーチャエッジの位置、すなわちクリティカルディメンションに対する有意な影響は存在しない。
ブランキングプレート内の開口部の位置は、アパーチャの位置と対応して選択され、通常、対応するアパーチャの位置偏移および公差を考慮したとしても、明らかにビームレットが通過することができるような十分なサイズを有する。換言すると、ブランキング手段内の対応する開口部は、基本配置に基づいてよいが、基本配置からの偏移も有する開口部の配置で配置される。アパーチャアレイのアパーチャの配置は、対応するブランキング手段の開口部の配置と異なるように、例えば、アパーチャと比較して、ブランキング開口部内で緩やかな変動が可能であるように選択されてよい。これは、ビームレットの形状および位置を画定するのがアパーチャであるため可能であり、ブランキング開口部は、いずれにしてもビームの通過を可能にするべきであり、ブランキング偏向すなわちは、所望のパターンに従って個々のビームレットに偏向を生じさせてよい。
一般に、任意の精度で光学的歪みを事前計算することは不可能であり、故に、像内(基板上の)で完全に歪みのないビームのアレイを可能にする理想的な配置に対して、アパーチャ配置の残留誤差が常に存在する。
本発明の1つの特別な態様において、アパーチャのサイズは、均一のサイズから変動するように構成され、全てのアパーチャを介してターゲット上に同一の電流を拡散させることができるように、アパーチャを透過するビームの電流密度の、所与の設定値からの偏移を補償するように構成された配置の偏移を実現する。典型的な補正の最大値は、アパーチャの側長の数パーセント、例えば2%に相当する。
別の特別な態様において、アパーチャの位置は、規則的な基本配置から変動するように構成され、特殊な粒子ビーム露光装置の結像システムに関して前もって測定された結像歪みを補償するように構成された配置の偏移を実現する。
アパーチャアレイは、粒子ビームの方向から見て、ブランキング手段の前または後に配置されてよい。
上記に記載するようにターゲット上にパターンを画定するためのデバイスに関して、アパーチャの位置がこれに従って画定される、アパーチャの配置を画定するために、本発明による以下の方法を使用することができる。まず、アパーチャアレイ手段上(および場合によっては、ブランキングアレイ手段上)にアパーチャを含む少なくとも1つの領域を画定する、次いで、各領域内に、規則的な並進格子グリッド内に配置された同等のサイズおよび形状のアパーチャを含む基本配置を画定し、各基本配置に関して、規則的並進グリッド内で許容される最小オフセットより少なくとも1オーダの大きさだけ小さい一定の量だけ、1つのアパーチャから隣接するアパーチャまで変動する準連続関数に対応する付加的偏移を画定し、基本配置からの該偏移が、複数のアパーチャを横断するビームの電流密度の不均等性および粒子ビーム露光装置内の結像歪みのうちの少なくとも一方を補償するように構成されることを保証する。
本発明のさらなる展開は、以下で粗補正および微細補正とそれぞれ称される2つの補正態様を有する2ステップの補正を実装する。粗補正を利用して、アパーチャプレートおよびブランキングプレート(主要な歪み誤差が、像内で100〜200nmまでであるCMOS回路を含む)内のアパーチャアレイを含む、事前に歪められた構成のアパーチャアレイを有するPDデバイスを使用することによって、投影光学機器の主要な歪みが補正される。事前の歪みは、それが、事前に知られる投影系の結像偏移を補償し、これにより大半の結像歪みを補正するように選択される。次いで、照度の変動(数パーセント)および残留歪み誤差(数ナノメータ)を補償する目的で、アパーチャプレート内のアパーチャの微細な位置およびサイズ変動を調整するために、微細補正ステップが追加される。
上記の別の展開に関連して、アパーチャの配置を画定するための方法は、まずパターン画定デバイスのアパーチャアレイ手段内で実現することができ、場合によっては、ブランキングアレイ手段内で、対応する配置が実現される(「粗補正」)。次いで、パターン画定デバイスが実装された粒子ビーム露光装置内で、該装置内に形成されたアパーチャアレイの像が測定される。このように測定された像は、複数のアパーチャを横断するビームの電流密度の残留する不均等性、および粒子ビーム露光装置内の残留する結像歪みの内の少なくとも一方を包含する残留偏移を判定し、該残留偏移を補償するように構成された第2の付加的偏移を決定する目的で、所望の設定値像と比較される。アパーチャアレイ手段内のアパーチャの配置は、次いで、第2の付加的偏移に従って調整される(「微細補正」)。
本発明による補正に関して推測される典型的な最大値は、 粗補正に関してターゲット上の像内で100から200nmの範囲であり、これは、パターン画定フィールド内のおよそ20〜40μmに対応し、微細補正は、像内で(付加的に)ほぼ1から2nmの範囲であり、これは、アパーチャプレート内の0.2から0.4μmに対応する。
以下、本発明を図面を参照してより詳細に記載する。
本発明が適用されるリソグラフィ装置のレイアウトの縦断面図である。 図1のリソグラフィ装置のパターン画定デバイスの縦断面図である。 規則的に配置されたアパーチャを有するアパーチャプレートの平面図である。 アパーチャのサイズが調整されたアパーチャプレートの平面図である。 二次元に移動されたアパーチャを有するアパーチャプレートの平面図である。 アパーチャの位置が移動されサイズが調整されたアパーチャプレートの平面図である。 アパーチャの配置を示す図6の詳細な図である。 従来技術によるパターン画定デバイスの縦断面図である。 粗補正が適用された本発明によるパターン画定デバイスの縦断面図である。 微細補正が適用された、図9のパターン画定デバイスの図である。
発明の詳細な記載
本発明は、以下に考察される実施形態に限定されるものではなく、これらの実施形態は、単に本発明の可能な実装を示すのみであることを理解すべきである。
本発明の好ましい実施形態を採用するリソグラフィ装置の概要が、図1に示される。このようなリソグラフィ装置は、従来技術においてよく知られている。以下において、本発明を開示するのに必要な詳細のみが示されており、明確化のために、構成要素は、図1において同サイズで示されない。リソグラフィ装置1の主要な構成要素は、この例において図1内を垂直に下方に走るリソグラフィビームlb、pbの方向に対応して、照明系2、パターン画定(PD)系3、投影系4、および基板13を備えたターゲットステーション5である。装置1の全体は、ビームlb、pbが、装置の光学軸cxに沿って妨げられずに確実に伝播するように、高真空に保持された真空筐体6の中に収容される。粒体光学系2、4は、静電気または電磁レンズを使用して実現される。
照明系2は、例えば、電子銃7、抽出系8ならびに集光レンズ系9を備える。しかしながら、電子の代わりに、一般に他の電荷を帯びた粒子を同様に使用することができることに留意されたい。電子以外に、これらは、例えば水素イオンまたは重イオン、荷電原子クラスタ、または荷電分子であってよい。
抽出系8は、典型的な数keV、例えば10keVの規定されたエネルギーに粒子を加速させる。集光レンズ系9を利用して、光源11から放出された粒子は、リソグラフィビームlbとして機能する、幅広の、実質的にテレセントリックな粒子ビームに形成される。リソグラフィビームlbは、次いで、複数の開口部および/またはアパーチャを備えた多数のプレートを備えるPD系3を照射する。PD系3は、リソグラフィビームlbの経路内の特定の位置に保持され、これにより、リソグラフィビームは、複数のアパーチャおよび/または開口部を照射し、多数のビームレットへと分かれる。
アパーチャおよび/または開口部のいくつかは、それらによって、それを介して伝送されたビーム(ビームレット)の一部が、ターゲットに到達することが可能になるという意味において、入射ビームに対して透過性であるように「スイッチオン」または「開放する」、他のアパーチャおよび/または開口部は、「スイッチオフ」または「閉鎖される」、すなわち、対応するビームレットが、ターゲットに到達することができず、したがって、これらのアパーチャおよび/または開口部は事実上、ビームに対して非透過性(不透明)である。したがって、リソグラフィビームlbは、PD系3から出現するパターン形成されたビームpbに構造化される。スイッチオンされたアパーチャおよび/または開口部のパターン、すなわち、リソグラフィビームlbに対して透過性のPD系3の一部のみが、基板13上に露光されるべきパタ−ンに従って選択される。ビームレットの「スイッチオン/オフ」は通常、PD系3のプレートの1つの中に設けられるある種のブランキング手段によって実現され、「スイッチオフされた」ビームレットは、その経路から外れて偏向され(極めて小さい角度で)、故に、それらは、ターゲットに到達することができず、リソグラフィ装置内のいずれかの場所で、例えば吸収板11によって吸収されるのみであることに留意されたい。
パターン形成されたビームpbによって表されるパターンは、次いで、電気−磁気−光学投影系4を利用して基板13上に投影され、そこで、パターンは、「スイッチオンされた」アパーチャおよび/または開口部の像を形成する。投影系4は、例えば、2つのクロスオーバ−c1およびc2による200xの縮小装置を実装する。基板13は、例えば、フォトレジスト層で被覆されたシリコンウエーハである。ウエーハは、ターゲットステーション5のウエーハステージ14によって保持され配置される。
図1に示される実施形態において、投影系4は、静電気または電磁レンズおよび他の偏向手段から成る、いくつかの連続する電気−磁気−光学プロジェクタステージで構成される。これらのレンズおよび手段は、その用途が、従来技術においてよく知られているため、象徴的形態でのみ示される。投影系4は、クロスオーバc1、c2による縮小結像を採用する。両ステージに関する縮小因子は、全体の縮小が数百、例えば200xとなるように選択される。このオーダーの縮小は、PDデバイス内における小型化の問題を改善する目的で、リソグラフィセットアップに特に好適である。
投影系4全体において、色および幾何学的収差に対して、レンズおよび/または偏向手段を広範に補償するための対処が成される。全体的に像を横に、すなわち光学軸cxに対して垂直の方向に沿って移動させるための手段として、偏向手段10および12が投影系4内に設けられる。偏向手段は、例えば、図1に第1偏向手段10で示されるようにクロスオーバ付近、または図1の第2ステージ偏向手段12の場合のように、それぞれのプロジェクタの最終レンズの後のいずれかに配置される多極電極系として実現することができる。この装置において、多極電極は、像をステージ移動に関連して移動させるため、およびアライメントシステムと共に結像系を補正するための2つの目的の偏向手段として使用される。これらの偏向手段10、12は、全体として粒子ビームを扱うのみであるため、パターン形成されたビームpdの選択されたビームレットをスイッチ「オン」または「オフ」するのに使用されるPD系3の偏向アレイ手段と混同されるべきではない。
図2は、PD系3の断面の詳細をより詳細に記載する。これは、パターン形成ビームに構造化されるリソグラフィビームlbを示すが、簡素化のために、複数のビームの代わりに、ここでは領域を越える(transgressing)2つのビームレット20のみが記載される。ビームレットがその経路から外れて偏向される可能なケースに関して、偏向されたビーム21は、点線で示される。
図2のPD系3は、入射ビームlbの方向で見たとき、連続して配置されたアパーチャプレート16およびブランキングプレート17を備える。この配置は、PD系の多くの異なる実施形態のうちの1つでしかなく、本発明の範囲を限定することは、意図していない。
アパーチャプレート16は、衝突するエネルギー粒子からプレートを保護する任意選択の保護層15と、2つのアパーチャ22、23とを有する。もともとこのようなアパーチャプレート16は通常は、複数のアパーチャを有するが、簡素化のために、これらの2つのアパーチャのみがここに記載される。
ブランキングプレート17も同様に、アパーチャプレート16のアパーチャに対応するいくつかの開口部を有する。各開口部は、領域を越えるビームレットに作用する1セットのブランキング手段を備える。図2に記載されるケースにおいて、これらのブランキング手段は、一組の電極、すなわち接地電極18および偏向電極19である。これらの電極18、19を通電させることによって、開口部を「スイッチオフさせる」ことができ、これにより、ビームレットが偏向され(点線の矢印21によって示される経路)、その結果、ターゲットに達することはない。電極が通電されないとき、開口部は「スイッチオンされ」、ビームレットは、その経路から外れるように偏向されない(矢印20)。通電は、非通電状態におけるデフォルト電圧と十分に異なる電圧を電極18、19の間に付加することによって行われ、通常、デフォルト電圧は、ゼロであり、すなわち電極は、同一の電位にある(通電電圧と比較して小さい公差内で)。通電電圧は典型的には、数ボルトの範囲内であってよい。
従来技術によると、領域を越えるビームの幅を画定する、アパーチャプレート16のアパーチャの幅w1、w2は、基本的に同一である。しかしながら、ターゲットに伝送される照射率は、主に光学軸cxからの距離に応じて大きく変化することが示されてきた。光学軸cxに近接して位置するビームレットは通常、照明光源の伝達機能および角度輝度の均等性の高次の変化によって、周辺部のビームレットと比べて異なる(より高いまたはより低い)照射を伝送する。さらに、上記に記載の補償措置にも関わらず、リソグラフィ装置の電気光学構成要素は、リソグラフィビームlbに残留する色収差および光学収差をもたらす。多くの不規則性を考慮する目的で、該問題を解決するために種々の手法を適用することができる。2つの基本的手法が、図4および5に示され、以下の図面においてより詳細に説明される。アパーチャの幅w1、w2は、アパーチャプレート上の位置によって異なってよく、さらに、アパーチャは、規則的グリッドに従って配置されないが、結像不良を補償するように構成されたわずかな偏移を有する。
図3は、例示のアパーチャプレート16の平面図を示し、簡素化のために、正方形に規則的に配置された36個のみのアパーチャのアパーチャフィールド30を有して示され、リソグラフィ装置内で実際に使用するアパーチャプレートは、さらに多くのアパーチャ(典型的には、256*256または512*512)を有することは明らかである。アパーチャフィールド内のアパーチャの配置は、規則的形状、この場合、正方形の規則的グリッドに対応し、いくつかの形状は、アパーチャの配置として選択される。したがって、図3に示されるケースでは、隣接するアパーチャ間の位置は、いくつかのグリッド位置のものであり、これは、この場合、いくつかの隣接する正方形に匹敵する。図3から6のアパーチャの基本配置の記載は、本発明をより明確に例証する目的で簡素化され、示される基本配置は、直線の規則的アレイのものであり、米国特許第6,768,125号および米国特許第7,276,714号に示されるジグザグ状のラインの配置を抑制する。米国特許第6,768,125号および米国特許第7,276,714号のジグザグ状のラインのグリッドを回復する目的で、示される規則的グリッドは、好適な方法で、水平ラインと垂直コラムと間の空間内にジグザグ状の配置による付加的なラインおよびコラムを内挿することによって補償される。
グリッドにより、米国特許第7,276,714号で考察されるように、1/2Nなど、グリッド長さの分数であるアパーチャ間のオフセットを可能にすることができることに留意する価値がある。また、アパーチャフィールド全体は、米国特許第7,276,714号で考察されるように、配置グリッドが互いにオフセットされた非オーバーラップ領域に分けることができる。一方、最も簡素なケースは、基本的な正方形グリッドであり、最小オフセットが、正方形の一辺に等しく、各アパーチャは、グリッドの一つの正方形を占める。これら全てのケースにおいて本発明を実現することができ、本発明は、アパーチャサイズ/位置の偏移が、このような規則的グリッドと適合しない、通常、各グリッド内または隣接するグリッド間で許容される最小オフセットよりはるかに小さい値を取ることができる点で、そこで使用されるオフセットに関して異なっている。
図4は、半径方向の距離の関数として変動する局所電流密度の問題を解決するための、本発明による手法を示す。この例示のアパーチャフィールド40において、プレートの中心からアパーチャが離れて配置されるにつれて、その幅が大きくなる。これは、外側に向かって半径方向の距離が大きくなる際中心値からゆっくりと減少する電流密度を補償する。可能なアパーチャサイズの一例は、8μmであり、±0.1μmまでゆっくりと増大する補正を含む。これは、フィールド全面にわたる±2.5パーセントの照射率変動に対応する。
図5は、光学歪みを補償するようにアパーチャ位置が調整された別のプレートを示す。各アパーチャの位置は、基本グリッドの位置からわずかに外れて移動される。全体的に、基本グリッドはまだ認識することができるが、基本グリッド(図面中に誇張して記載される)からのわずかな偏移を明確に見ることができる。一般に、フィールド50全体は、画像投影系における"枕形状の"歪みを補償する目的で、「バレル様の」 (barrel−like)歪みを実現する。
アパーチャサイズ/位置の変動は、1つのアパーチャから次のアパーチャまでほんのわずか変動するだけであるが、アパーチャフィールド全面にわたる基本グリッド(図3のアパーチャフィールド30内の位置によって示される)からの偏移は、標準値に対してサイズ/位置の差が著しい大きさに達し得ることが、図4および5から明らかである。
図4に示される手法により、照射率を調整し、基板上にわずかに異なるサイズのスポットを生成することが可能になるが、光学歪み(すなわち、基板上のビームの中心位置)には影響を及ぼさないことは明らかなはずである。逆に、図5の手法は、電流密度、すなわち、半径方向の距離の関数としてのビームレット当たりの照射電流に影響を及ぼすことなく、光学歪みを補償することに向けられている。
さらなる展開において、図6のアパーチャ配置60によって示されるように、2つの上記の補償手法を1つの配置に組み合わせることが可能である。図6に記載されるものと同様のいくつかのアパーチャの断面図が、図7に示される。規則的グリッド61は点線であり、アパーチャの基本位置を示しており、従来技術において、アパーチャは、まさにこれらの位置に配置されるように設計された(起こり得る製造偏差は考慮せずに)。比較のために、移動されサイズが調整されたアパーチャ62が塗りつぶされて示される。アパーチャは、1つのアパーチャから次のアパーチャまでゆっくりと変動する関数に従って、その位置に関して調整されることが、この図から明らかであろう。
本発明による調整は、電気−光学系によってシステム内にもたらされた欠陥、およびリソグラフィビームの領域にわたる位置、典型的には半径方向の距離に円滑に連続して依存する他の不規則性を補正するように機能する(周辺の依存性は通常、ごくわずかであり、多極電極など適切な手段によって補正することができる)。個々のビームレットに関して、この連続する依存性は、半径方向の距離の関数として、位置(またはビームレット電流)の偏移の準連続挙動として示され、結果としてこれは、隣接するビームレット間でゆっくりと変動するのみとなる。したがって、本発明によってアパーチャの位置/サイズにもたらされる補正は、局所的に顕著な値を取り得る、半径方向の距離の準連続関数のようなものであるが、隣接するアパーチャ間の変動は小さい。用語「隣接するアパーチャ」は、本明細書では、幾何学的に最も近い隣のアパーチャを称し、すなわち、各アパーチャに関して、隣接アパーチャは、問題のアパーチャに対して最小の距離を有する周辺アパーチャである。
本発明による解法の適用は、半径方向の距離に依存する歪みの補正に限定されないことに価値がある。アパーチャのサイズおよび位置は、いずれの対称性の歪みも、例えば、中心照度が移動された粒子源、高次の光学構成要素(多極電極など)などによって生じた歪みも補償するように適合させることができる。
本発明のさらなる展開は、粗補正および微細補正による2つのステップの補正を実装する。この2ステップの補正は、パターン画定デバイス80、90、100の縦断面を示す図9および10に示される。補正の範囲は、よりよく見ることができるように、図9および10において誇張されている。図8は、比較の目的で、従来技術によるパターン画定デバイス80を示す。簡素化のために、図8から10のパターン画定デバイスは、多数のこのような組の代わりに、アパーチャと開口部の4つの組のみを示す。図8のパターン画定デバイス80は、1セットのアパーチャ83、84、85、86と対応する開口部83’、84’、85’、86’を備えるアパーチャプレート81と、ブランキングプレート82とを備える。アパーチャプレート81内の全てのアパーチャ83、84、85、86は、同一の幅を有し、ブランキングプレート82のそれに続く開口部83’、84’、85’、86’と適合する。完全性のために、アパーチャプレート81の後にブランキングプレート82が続く(粒子ビームの方向から見て)このセットアップは、単に1つの例示のシーケンスであることが、ここで再び言及される。
本発明による補正は、以下のステップを含む、まず、投影光学機器の主要な歪みを補正する目的で、アパーチャプレート91内、同様にブランキングプレート92内のアパーチャ内に粗補正(図9)が導入される。これは、アパーチャプレート91内のアパーチャ93、94、95、96に事前に歪められた配置を設けることによって行われる。開口部93’および96’の場合にように、開口部93’、94’、95’、96’も同様に歪められてよい。図8から10のアパーチャ/開口部を比較することができるように、各アパーチャと開口部の組に関して、トンツー式ラインが設けられ、従来技術による歪められない配置のアパーチャおよび各開口部の中心を表す。像のその位置でビームのテレセントリック性を最適化する目的で、通常、80μmの大きな像フィールドのエッジで、200nmであり得るかなり大きな歪み誤差を容認する必要がある。このために、像内で100から200nmまでの変位を可能にするために、CMOS回路内に適用することが好適である、または必須ですらあり得る。事前歪みは、投影系の結像偏移を補償するように選択され、これは、事前に決定することができる。これは、大きな範囲で結像歪みを補正するが、一般に残留する歪みが依然として残る(2nmを下回る位置誤差の要求される位置精度は、先行技術の計算ツールを使用して予知可能な範囲内である)。次いで、これらの残留歪みを補償する目的で、基準露光の計測学に基づく微細補正ステップが追加される。
粗補正によって補償することができなかった照射率およびビーム位置に残留する誤差を、基準露光を使用して仮想グリッドに対して測定することによって、微細調整(図10)が行われ、この微細補正データは、アパーチャプレート内に組み込まれる。この微細調整は通常、アパーチャプレート101内のアパーチャ103、104、105、106のサイズおよび/または微細な位置の変動を生じさせる。ブランキングプレート102は、修正されない。簡素化のために、サイズの変動のみが図10に記載される。見ることができるように、2つのアパーチャ103および106の幅は増加し、2つのアパーチャ104および105の幅は縮小する。
一般的なケースにおいて、照度および残留歪み誤差を補償する目的で、必要な補正を採り入れてわずかに変化するアパーチャの形状および位置を画定するために、好適なリソグラフィプロセスを使用して新規のアパーチャプレート101を製造することが必須であり得る。いくつかのケースにおいて、アパーチャまたは1集団のアパーチャの幅を増減させるために、フォトマスク修復ツールと同様に、例えば、集束イオンまたは電子またはレーザビーム変形形態、あるいは局所的修正を可能にする任意の他の技術を適用することが可能である。
微細補正は、全体的にビームアレイの形状およびサイズを調整するために、より高次の電磁場を適用する多極ビーム補正を使用することによって促進される。この方法の利点は、ツール依存の誤差(レンズのアライメント、一定の漂遊磁界等)を、むしろ簡素なアパーチャプレート幾何学形状(このプレート上のアパーチャのサイズおよび位置)を適用することによって補償することができ、粗補正、およびしたがってブランキングプレートの幾何学形状は、ツール生成部内の全てのツールに関して同等であってよく、光学系の設計にのみ関連する点である。
次いで、図5に示される補正は、粗補正で使用される。アパーチャ位置は、±40μmまで仮想グリッドと異なり、これは、±200nmの像フィールド歪み補正まで対応する。また、ブランキングプレート内の開口部の位置に対しても粗補正を行うことができ、増分変位が小さいため、これは、構成要素を必要なわずかな量の変位だけ調整することによって容易に行うことができる。この例において、粗補正は、像歪みに対処するだけであり、起こり得る照射率の不均等性には影響を及ぼさないが、当然のことながら、系統的な光源の不均等性が事前に知られている場合、同様にこれを考慮することが可能である。
図6によるPDデバイスは、微細補正によるプレートを示しており、移動されたアパーチャに加えて、光学歪みおよび照射不均等性を補償するために、アパーチャのサイズが同様に調整される。これにより、ここでは、基板ウエーハ全面にわたる同一の照射が転送されることによって同一のスポットを生成することが可能になる。アパーチャが、むしろランダムな順序で配置されたとしても、結果として生じる基板ウエーハ上のアパーチャの像は、規則的順序であり、全て同一の照射によって照明される。
全てのアパーチャに、同一の照射、すなわち同一の粒子の数を、基板ウエーハ上のその像位置まで送り出させることが本発明の第1の目的ではあるが、これによってアパーチャのサイズおよび位置を調整することによって、各画像位置に一定の照射を与えるために本発明を使用することも可能である。これは、例えば、周辺アパーチャの像に、中央アパーチャの照射の半分を提供するのに使用することができる。

Claims (9)

  1. 粒子ビーム露光装置内での使用に関して、荷電された粒子のビームで照射されるように適合され、前記ビームが複数のアパーチャのみを介して通過できるようにする、ターゲット上にパターンを画定するためのデバイスであって、
    −前記アパーチャを透過するビームレットの形状および相対的配置を画定する複数のアパーチャを備えるアパーチャアレイを有するアパーチャアレイ手段と、
    −選択されたビームレットの通過をスイッチオフするためのブランキングアレイ手段であって、それぞれの対応する開口部が、前記アパーチャアレイの各アパーチャに対応して配置された複数の対応する開口部を有し、前記開口部を介して照射された粒子をその経路から外して偏向させるための制御可能な偏向手段を備えるブランキングアレイ手段とを備え、
    前記アパーチャが、前記アパーチャのサイズおよび位置を画定するアパーチャの配置に従って前記アパーチャアレイ手段内に配置され、
    前記配置が、基本配置に基づくものであるが、前記基本配置からの偏移を有し、前記基本配置が、規則的な並進格子グリッド内に配置された同等のサイズおよび形状のアパーチャを含む少なくとも1つの非オーバーラップ領域に対応し、前記基本配置からの前記偏移が、前記複数のアパーチャを横断する前記ビームの電流密度の不均等性および前記粒子ビーム露光装置内の結像歪みの内の少なくとも一方を補償するように構成され、
    前記偏移が、前記規則的並進グリッド内で許容される最小オフセットより少なくとも1オーダーの大きさだけ小さい一定量だけ、1つのアパーチャから隣接するアパーチャまで変動する準連続関数に対応するデバイス。
  2. 前記ブランキング手段内の前記対応する開口部が、基本配置を基づくものであるが、前記基本配置からの偏移を有する開口部の配置に配置され、前記アパーチャアレイの前記アパーチャの前記配置が、前記ブランキング手段の前記対応する開口部の前記配置と異なる、請求項1に記載のデバイス。
  3. 前記アパーチャのサイズが、均一なサイズから変動するように構成され、前記アパーチャを透過する前記ビームの電流密度の所与の設定値からの偏移を補償するように構成された前記配置の偏移を実現する、請求項1または2に記載のデバイス。
  4. 前記アパーチャの位置が、規則的基本配置から変動するように構成され、特定の粒子ビーム露光装置の結像系に関して事前に測定された結像歪みを補償するように構成された前記配置の偏移を実現する、請求項1から3のいずれか一項に記載のデバイス。
  5. 前記アパーチャアレイが、前記粒子ビームの方向から見て前記ブランキング手段の後に配置される、請求項1から4のいずれか一項に記載のデバイス。
  6. 前記アパーチャアレイが、前記粒子ビームの方向から見て前記ブランキング手段の前に配置される、請求項1から4のいずれか一項に記載のデバイス。
  7. 荷電されたエネルギー粒子のビームを利用してターゲットを照射するための粒子ビーム露光装置であって、
    −前記エネルギー粒子を生成し、実質的にテレセントリックで十分に幅の広い照明ビームに形成するための照明系と、
    −前記ビームの方向から見て前記照明系の後に配置され、請求項1から6のいずれか一項に記載のデバイスとして実現される、前記請求項のうちの一項に記載のパターン画定デバイスと、
    −前記パターン画定デバイスの後に配置され、前記パターン形成されたビームを、投影系の後に配置されるべきターゲット上に投影するように適合された投影系とを備える粒子ビーム露光装置。
  8. 請求項1から6のいずれか一項に記載のターゲット上にパターンを画定するためのデバイス内でアパーチャの位置がこれに従って画定される、アパーチャの配置を画定するための方法であって、
    アパーチャを含む少なくとも1つの領域を画定するステップと、
    各領域内に、規則的な並進格子グリッド内に配置された同等のサイズおよび形状のアパーチャを含む基本配置を画定するステップと、
    各基本配置に関して、前記規則的並進グリッド内で許容される最小オフセットより少なくとも1オーダの大きさだけ小さい一定の量だけ、1つのアパーチャから隣接するアパーチャまで変動する準連続関数に対応する付加的偏移を画定するステップとを含み、
    前記基本配置からの前記偏移が、前記複数のアパーチャを横断する前記ビームの電流密度の不均等性および前記粒子ビーム露光装置内の結像歪みのうちの少なくとも一方を補償するように構成される方法。
  9. アパーチャの前記配置が、前記パターン画定デバイスの前記アパーチャアレイ手段内で実現され、対応する配置が、前記ブランキングアレイ手段内で実現され、前記方法が、
    前記パターン画定デバイスが実装された粒子ビーム露光装置内で、前記装置内に形成された前記アパーチャアレイの像を測定するステップと、
    このように測定された前記像を所望の設定値の像と比較するステップと、
    前記複数のアパーチャを横断する前記ビームの電流密度の残留する不均等性および前記粒子ビーム露光装置内の残留する結像歪みのうちの少なくとも一方を包含する残留偏移を判定するステップと、
    前記残留偏移を補償するように構成された第2の付加的偏移を決定するステップと、
    前記第2の付加的偏移に従って前記アパーチャアレイ手段内のアパーチャの配置を調整するステップとをさらに含む、請求項8に記載の方法。
JP2009181392A 2008-08-07 2009-08-04 照射の不均等性および画像歪みの補償 Pending JP2010041055A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP08450121 2008-08-07

Publications (1)

Publication Number Publication Date
JP2010041055A true JP2010041055A (ja) 2010-02-18

Family

ID=41680650

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009181392A Pending JP2010041055A (ja) 2008-08-07 2009-08-04 照射の不均等性および画像歪みの補償

Country Status (3)

Country Link
US (1) US8258488B2 (ja)
JP (1) JP2010041055A (ja)
NL (1) NL2003304C2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011171713A (ja) * 2010-02-22 2011-09-01 Ims Nanofabrication Ag 複数のマルチビーム・アレイを有するパターン定義装置
JP2012243802A (ja) * 2011-05-16 2012-12-10 Canon Inc 描画装置、および、物品の製造方法
JP2012243803A (ja) * 2011-05-16 2012-12-10 Canon Inc 描画装置、および、物品の製造方法
US8993985B2 (en) 2012-12-11 2015-03-31 Canon Kabushiki Kaisha Drawing apparatus and method of manufacturing article
KR101833094B1 (ko) 2013-06-26 2018-02-27 캐논 가부시끼가이샤 블랭킹 장치, 묘화 장치 및 물품의 제조 방법
WO2018155542A1 (ja) * 2017-02-24 2018-08-30 株式会社ニコン 電子ビーム装置及び露光方法、並びにデバイス製造方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2001369C2 (nl) * 2007-03-29 2010-06-14 Ims Nanofabrication Ag Werkwijze voor maskerloze deeltjesbundelbelichting.
TW201239943A (en) * 2011-03-25 2012-10-01 Canon Kk Drawing apparatus and method of manufacturing article
JP5859778B2 (ja) * 2011-09-01 2016-02-16 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP6316019B2 (ja) * 2013-03-06 2018-04-25 キヤノン株式会社 X線発生管、該x線発生管を備えたx線発生装置及びx線撮影システム
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP3358599B1 (en) * 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using row calibration
KR102247563B1 (ko) 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
JP6892214B2 (ja) 2014-07-10 2021-06-23 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
JP6616986B2 (ja) * 2015-09-14 2019-12-04 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画方法及びマルチ荷電粒子ビーム描画装置
JP6674327B2 (ja) * 2016-06-03 2020-04-01 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム露光方法及びマルチ荷電粒子ビーム露光装置
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US10593509B2 (en) 2018-07-17 2020-03-17 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
US10483080B1 (en) * 2018-07-17 2019-11-19 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
US20230019113A1 (en) * 2019-12-20 2023-01-19 Asml Netherlands B.V. Multi-modal operations for multi-beam inspection system
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
EP4095882A1 (en) 2021-05-25 2022-11-30 IMS Nanofabrication GmbH Pattern data processing for programmable direct-write apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110527A (ja) * 2000-10-03 2002-04-12 Advantest Corp 電子ビーム補正方法及び電子ビーム露光装置
JP2004040076A (ja) * 2002-01-17 2004-02-05 Ims Nanofabrication Gmbh パターンを基板上に露光するマスクレス粒子ビーム装置
JP2005322918A (ja) * 2004-04-30 2005-11-17 Ims Nanofabrication Gmbh 粒子ビーム処理のための新型のパターン画定法
JP2006080155A (ja) * 2004-09-07 2006-03-23 Hitachi High-Technologies Corp 電子ビーム応用装置
JP2007513460A (ja) * 2003-09-05 2007-05-24 カール・ツァイス・エスエムティー・アーゲー 粒子光学システム及び装置、並びに、かかるシステム及び装置用の粒子光学部品

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5367282A (en) * 1992-07-21 1994-11-22 Texas Instruments Incorporated Electric motor protector sensor
US5369282A (en) * 1992-08-03 1994-11-29 Fujitsu Limited Electron beam exposure method and system for exposing a pattern on a substrate with an improved accuracy and throughput
US5352899A (en) * 1992-08-18 1994-10-04 Ruxam, Inc. Method and apparatus for fabricating a device/circuit pattern by a converging atomic beam
US5674413A (en) * 1993-12-23 1997-10-07 International Business Machines Corporation Scattering reticle for electron beam systems
US5824437A (en) * 1996-01-09 1998-10-20 Fujitsu Limited Mask and method of creating mask as well as electron-beam exposure method and electron-beam exposure device
US6014200A (en) * 1998-02-24 2000-01-11 Nikon Corporation High throughput electron beam lithography system
US7444616B2 (en) * 1999-05-20 2008-10-28 Micronic Laser Systems Ab Method for error reduction in lithography
US6407399B1 (en) * 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
TWI297167B (en) * 2000-06-27 2008-05-21 Ebara Corp Inspection apparatus and inspection method
JP2004063988A (ja) * 2002-07-31 2004-02-26 Canon Inc 照明光学系、当該照明光学系を有する露光装置及びデバイス製造方法
CN101414124B (zh) * 2002-10-30 2012-03-07 迈普尔平版印刷Ip有限公司 电子束曝光系统
GB2408383B (en) * 2003-10-28 2006-05-10 Ims Nanofabrication Gmbh Pattern-definition device for maskless particle-beam exposure apparatus
EP1766653B1 (en) * 2004-05-17 2009-08-26 Mapper Lithography Ip B.V. Charged particle beam exposure system
US7385197B2 (en) * 2004-07-08 2008-06-10 Ebara Corporation Electron beam apparatus and a device manufacturing method using the same apparatus
JP4907092B2 (ja) * 2005-03-01 2012-03-28 株式会社日立ハイテクノロジーズ 電子ビーム描画装置および電子ビーム描画方法
WO2006093268A1 (ja) * 2005-03-03 2006-09-08 Ebara Corporation 写像投影型電子線装置及び該装置を用いた欠陥検査システム
NL2001369C2 (nl) * 2007-03-29 2010-06-14 Ims Nanofabrication Ag Werkwijze voor maskerloze deeltjesbundelbelichting.
WO2009106397A1 (en) * 2008-02-26 2009-09-03 Mapper Lithography Ip B.V. Projection lens arrangement

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110527A (ja) * 2000-10-03 2002-04-12 Advantest Corp 電子ビーム補正方法及び電子ビーム露光装置
JP2004040076A (ja) * 2002-01-17 2004-02-05 Ims Nanofabrication Gmbh パターンを基板上に露光するマスクレス粒子ビーム装置
JP2007513460A (ja) * 2003-09-05 2007-05-24 カール・ツァイス・エスエムティー・アーゲー 粒子光学システム及び装置、並びに、かかるシステム及び装置用の粒子光学部品
JP2005322918A (ja) * 2004-04-30 2005-11-17 Ims Nanofabrication Gmbh 粒子ビーム処理のための新型のパターン画定法
JP2006080155A (ja) * 2004-09-07 2006-03-23 Hitachi High-Technologies Corp 電子ビーム応用装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011171713A (ja) * 2010-02-22 2011-09-01 Ims Nanofabrication Ag 複数のマルチビーム・アレイを有するパターン定義装置
JP2012243802A (ja) * 2011-05-16 2012-12-10 Canon Inc 描画装置、および、物品の製造方法
JP2012243803A (ja) * 2011-05-16 2012-12-10 Canon Inc 描画装置、および、物品の製造方法
US8993985B2 (en) 2012-12-11 2015-03-31 Canon Kabushiki Kaisha Drawing apparatus and method of manufacturing article
KR101833094B1 (ko) 2013-06-26 2018-02-27 캐논 가부시끼가이샤 블랭킹 장치, 묘화 장치 및 물품의 제조 방법
WO2018155542A1 (ja) * 2017-02-24 2018-08-30 株式会社ニコン 電子ビーム装置及び露光方法、並びにデバイス製造方法

Also Published As

Publication number Publication date
NL2003304C2 (en) 2010-09-14
US20100038554A1 (en) 2010-02-18
NL2003304A1 (nl) 2010-02-09
US8258488B2 (en) 2012-09-04

Similar Documents

Publication Publication Date Title
JP2010041055A (ja) 照射の不均等性および画像歪みの補償
US10410831B2 (en) Multi-beam writing using inclined exposure stripes
US9053906B2 (en) Method for charged-particle multi-beam exposure
JP4995261B2 (ja) パターン化ビームの総合変調を持つ粒子ビーム露光装置
EP2317535B1 (en) Pattern definition device with multiple multibeam array
JP4858745B2 (ja) 粒子ビーム処理のための新型のパターン画定法
US7084411B2 (en) Pattern-definition device for maskless particle-beam exposure apparatus
US8278635B2 (en) Global point spreading function in multi-beam patterning
KR101119890B1 (ko) 전자 빔 노출 시스템
JP2011199279A (ja) ターゲット上へのマルチビーム露光のための方法
JP2010123966A (ja) 定電流マルチビームパターニング
JP2010123958A (ja) マスクなし粒子ビーム露光のための方法
KR20120027374A (ko) 리소그래픽 처리를 위한 2?레벨 패턴 발생 방법 및 이를 이용한 패턴 발생기
US10886103B2 (en) Data processing method, data processing apparatus, and multiple charged-particle beam writing apparatus
JP7275647B2 (ja) マルチビーム用アパーチャ基板セット及びマルチ荷電粒子ビーム装置
KR102519434B1 (ko) 다중 빔 라이터용 개선된 도우즈 레벨 양자화
US20230052445A1 (en) Beam Pattern Device Having Beam Absorber Structure
JP6948765B2 (ja) 斜めに配された露光ストライプを用いるマルチビーム描画
US10586682B2 (en) Method of obtaining beam deflection shape and method of obtaining arrangement angle of blanking aperture array plate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120618

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130516

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130528

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130828

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130917

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131002

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131009

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140520