JP2009503247A - β‐ジケチミナート配位子源およびその金属含有化合物、およびそれらを含むシステムと方法 - Google Patents

β‐ジケチミナート配位子源およびその金属含有化合物、およびそれらを含むシステムと方法 Download PDF

Info

Publication number
JP2009503247A
JP2009503247A JP2008519487A JP2008519487A JP2009503247A JP 2009503247 A JP2009503247 A JP 2009503247A JP 2008519487 A JP2008519487 A JP 2008519487A JP 2008519487 A JP2008519487 A JP 2008519487A JP 2009503247 A JP2009503247 A JP 2009503247A
Authority
JP
Japan
Prior art keywords
group
metal
structural formula
pentyl
butyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008519487A
Other languages
English (en)
Inventor
ミルワード,ダン
クイック,ティモシー,エイ.
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2009503247A publication Critical patent/JP2009503247A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/02Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
    • C07C251/04Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C251/10Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of an unsaturated carbon skeleton
    • C07C251/12Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of an unsaturated carbon skeleton being acyclic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本発明は、一種以上のβ‐ジケチミナート配位子を含む金属含有化合物、およびそれらを生成する方法と使用する方法を提供する。或る実施形態では、金属含有化合物は一種以上のフッ素含有有機を置換基として持つ一種以上のβ‐ジケチミナート配位子を含む。他の或る実施形態では、金属含有化合物は、当該技術分野で周知の或る金属含有化合物のβ‐ジケチミナート配位子における対応する置換基よりも大きな自由度を有するように選択された一種以上の脂肪族基を置換基として持つ、一種以上のβ‐ジケチミナート配位子を含む。化合物は蒸着法を用いて金属含有層を堆積させるために利用できる。化合物を含む蒸着システムも提供される。β‐ジケチミナート配位子の源も提供される。
【選択図】図1

Description

本出願は、2005年6月28日出願の米国特許出願No. 11/169,065の優先権を主張し、その全体を本明細書に参照として引用する。
集積回路装置の縮小は、高誘電率の材料をコンデンサとゲートに組み込む必要性を生じてきた。現在の技術の最小サイズは、標準的な誘電性材料の使用によって実質的に制約されるため、新たな高誘電率の材料と工程の探索が、より重要になってきている。アルカリ土類金属を含む誘電性材料は、従来の誘電性材料と比較して、静電容量において著しい利点を提供できる。例えば、ペロブスカイト材料SrTiO3は最大で500のバルク誘電率を有することが開示されている。
あいにく、アルカリ土類金属を蒸着工程にうまく組み込むことは難しいことがわかっている。例えば、アルカリ土類金属ジケトナートの原子層堆積(ALD)は開示されているが、これらの金属ジケトナートは揮発性が低く、液体注入システムでの使用のために有機溶媒に溶解されることを通常必要とする。低い揮発性に加え、これらの金属ジケトナートは一般に反応性に乏しく、被膜を増大させるために高い基板温度と強酸化剤をしばしば必要とするが、この膜はしばしば炭素で汚染される。置換された、もしくは置換されていないシクロペンタジエニル配位子を含むものなど、他のアルカリ土類金属源は、熱安定性が低いだけでなく揮発性に乏しく、基板表面上で望ましくない熱分解を引き起こす。
高誘電性材料を組み込む新たな源と方法が、新型の集積回路装置のために求められている。
本発明は、一種以上のβ‐ジケチミナート配位子を含む金属含有化合物(すなわち金属含有錯体)、および生成方法と使用方法、およびそれらを含む蒸着システムとを提供する。一種以上のβ‐ジケチミナート配位子を持つ或る金属含有化合物は当該技術分野で周知である。そのような或る既知の金属含有化合物においては、β‐ジケチミナート配位子はイソプロピル置換基を両窒素原子上に持つか、あるいはtert-ブチル置換基を両窒素原子上に持つ。例えばEl-Kaderi et al., Organometallics, 23:4995-5002 (2004)を参照のこと。本発明は、蒸着法における使用のために好ましい特性(例えば、より高い蒸気圧、より低い融点、およびより低い昇華点のうちの一つ以上)を有する可能性のあるβ‐ジケチミナート配位子を一種以上含む金属含有化合物(すなわち金属含有錯体)を提供する。
或る実施形態では、本発明は一種以上のフッ素含有有機基を置換基として持つ一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を提供する。他の或る実施形態では、本発明は、当該技術分野で周知の或る金属含有化合物のβ‐ジケチミナート配位子における対応する置換基よりも大きな自由度を有するものとして選択された、一種以上の脂肪族基を置換基として持つ、一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を提供する。
或る態様では、本発明は蒸着工程を用いて基板(例えば半導体基板またはアセンブリ基板)の上に金属含有層を形成する方法を提供する。この方法は半導体構造の製造において役立つ。この方法は、基板を用意することと、(構造式I)の化合物を一種以上含む気体を用意すること、
(構造式I):
ならびに、基板の一つ以上の表面上に金属含有層を形成するために、構造式Iの化合物を一種以上含む気体を基板と接触させること(および通常気体を基板に向けること)を含む。(構造式I)の化合物は、一種以上のβ‐ジケチミナート配位子を含み、ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10で、xは1からnであり、各R1、R2、R3、R4およびR5は独立に水素または有機基であり、但しR基の一つ以上がフッ素含有有機基である。
別の態様では、本発明は蒸着工程を用いて基板(例えば半導体基板またはアセンブリ基板)の上に金属含有層を形成する方法を提供する。この方法は半導体構造の製造において役立つ。この方法は、基板を用意することと、(構造式I)の化合物を一種以上含む気体を用意すること、
(構造式I):
ならびに、基板の一つ以上の表面上に金属含有層を形成するために、構造式Iの化合物を一種以上含む気体を基板と接触させること(および通常気体を基板に向けること)を含む。(構造式I)の化合物は一種以上のβ‐ジケチミナート配位子を含み、ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10であり、xは1からnであり、各R1、R2、R3、R4およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基(好ましくは脂肪族部分)であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分である。
別の態様では、本発明は蒸着工程を用いて基板(例えば半導体基板またはアセンブリ基板)の上に金属含有層を形成する方法を提供する。この方法は半導体構造の製造において役立つ。この方法は、基板を用意することと、(構造式I)の化合物を一種以上含む気体を用意すること、
(構造式I):
ならびに、基板の一つ以上の表面上に金属含有層を形成するために、構造式Iの化合物を一種以上含む気体を基板に接触させること(および通常気体を基板に向けること)を含む。(構造式I)の化合物は一種以上のβ‐ジケチミナート配位子を含み、ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10であり、xは1からnであり、各R1、R2、R3、R4およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基(好ましくは脂肪族部分)であり、但しR1とR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分である。
別の態様では、本発明は一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物、そのような化合物を含む前駆体組成、そのような化合物を含む蒸着システム、およびそのような化合物の生成方法を提供する。そのような金属含有化合物は、(構造式I)の化合物を含み、
(構造式I):
ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10であり、xは1からnであり、各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、但しR基の一つ以上がフッ素含有有機基である。本発明はフッ素含有脂肪族基を持つβ‐ジケチミナート配位子源、およびそれらを生成する方法も提供し、これはフッ素含有有機基を持つβ‐ジケチミナート配位子を一種以上持つ金属含有化合物を生成するために有用である。
別の態様では、本発明は或るβ‐ジケチミナート配位子を持つ金属含有化合物、そのような化合物を含む前駆体組成、そのような化合物を含む蒸着システム、およびそのような化合物の生成方法を提供する。そのような金属含有化合物は(構造式I)の化合物を含み、
(構造式I):
ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10であり、各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基(好ましくは脂肪族部分)であり、但しR2、R3およびR4の一つ以上がエチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分である。
別の態様では、本発明は或るβ‐ジケチミナート配位子を持つ金属含有化合物、そのような化合物を含む前駆体組成、そのような化合物を含む蒸着システム、およびそのような化合物の生成方法を提供する。そのような金属含有化合物は(構造式I)の化合物を含み、
(構造式I):
ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10であり、各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基(好ましくは脂肪族部分)であり、但しR1とR5の一つ以上がn-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分である。
有利に、本発明の金属含有化合物は、蒸着法における使用のために好ましい特性(例えば、より高い蒸気圧、より低い融点、およびより低い昇華点の一つ以上)を有することができる。
<定義>
本明細書で用いるように、以下の種類の構造式は、
金属に配位された非局在化電子密度を持つペンタジエニル基類の配位子(例えばβ‐ジケチミナート配位子)をあらわすために用いられる。配位子は、一つ、二つ、三つ、四つ、および/または五つの原子を介して金属に配位され得る(すなわちη1‐、η2‐、η3‐、η4‐、および/またはη5‐配位形式)。
本明細書で用いるように、用語“有機基”は、脂肪族基、環状基、もしくは脂肪族基と環状基の組み合わせ(例えばアルカリル基およびアラルキル基)として分類される炭化水素基を意味するよう、本発明の目的のために用いられる。本発明との関連において、本発明の金属含有化合物に適切な有機基は、蒸着技術を用いた金属酸化物層の形成を妨げないようなものである。本発明との関連において、用語“脂肪族基”は飽和または不飽和の、直鎖または分岐炭化水素基を意味する。この用語は、例えばアルキル基、アルケニル基、およびアルキニル基を含むように用いられる。用語“アルキル基”は、飽和直鎖または分岐一価炭化水素基を意味し、例えばメチル基、エチル基、n-プロピル基、イソプロピル基、tert-ブチル基、アミル基、ヘプチル基などを含む。用語“アルケニル基”は、一種以上のオレフィン含有(olefinically)不飽和基(すなわち炭素‐炭素二重結合)を持つ、不飽和直鎖または分岐一価炭化水素基(ビニル基など)を意味する。用語“アルキニル基”は、一つ以上の炭素‐炭素三重結合を持つ、不飽和直鎖または分岐一価炭化水素基を意味する。用語“環状基”は、脂環式基、芳香族基、または複素環基として分類される閉鎖炭化水素環基を意味する。用語“脂環式基”は、脂肪族基の特性に類似している特性を持つ環状炭化水素を意味する。用語“芳香族基”または“アリール基”は、単環芳香族炭化水素基または多環芳香族炭化水素基を意味する。用語“複素環基”は、環内の一つ以上の原子が炭素以外の元素(例えば窒素、酸素、硫黄など)である、閉鎖炭化水素環基を意味する。
本明細書全体で用いられる或る専門用語の考察および詳述を簡略化する手段として、用語“基”および“部分”は、置換を許す、あるいは置換され得る化学種と、置換を許さない、あるいは置換されないかもしれない化学種とを区別するために用いられる。従って、用語“基”が化学置換基をあらわすために用いられるときは、記述された化学物質は、非置換基と、非過酸化O、N、S、Si、またはF原子を例えばカルボニル基または他の従来の置換基と同様に鎖の中に持つ基、とを含む。用語“部分”が化学化合物または置換基をあらわすために用いられる場合、ただ一つの非置換化学物質が含まれることを意味する。例えば、語句“アルキル基”は、純粋な開鎖飽和炭化水素アルキル置換基(メチル基、エチル基、プロピル基、tert-ブチル基など)だけでなく、さらに当該技術分野で周知の置換基を持つアルキル置換基(ヒドロキシル基、アルコキシ基、アルキルスルホニル基、ハロゲン原子、シアノ基、ニトロ基、アミノ基、カルボキシル基など)をも含むことを意味する。従って、“アルキル基”はエーテル基、ハロアルキル基、ニトロアルキル基、カルボキシアルキル基、ヒドロキシアルキル基、スルホアルキル基などを含む。一方、語句“アルキル部分”は、純粋な開鎖飽和炭化水素アルキル置換基(メチル基、エチル基、プロピル基、tert-ブチル基など)のみを含むことに限定される。
本明細書で用いるように、“金属含有”とは、全て金属から成るか、あるいは金属に加えて他の構成成分を含み得るような材料、通常化合物または層をあらわすために用いられる。典型的な金属含有化合物は、金属、金属配位子錯体、金属塩、有機金属化合物、およびそれらの組み合わせを含むが、これらに限定されない。典型的な金属含有層は、金属、金属酸化物、金属ケイ酸塩、およびそれらの組み合わせを含むが、これらに限定されない。
本明細書で用いるように、“a”、“an”、“the”および“一つ以上(at least one)”は互換可能であり、一つまたは一つ以上を意味する。
本明細書で用いるように、“including”または“containing”の同義語である用語“含む(comprising)”は、包含的で、制限のないものであり、列挙されていない追加の要素または方法ステップを除外するものではない。
本明細書で用いるように、用語“堆積工程”および“蒸着工程”は、金属含有層が、基板(例えばドープポリシリコンウェハ)の一つ以上の表面上に、一種以上の金属含有化合物を含む気化した前駆体組成(一種または複数)から形成される工程をあらわす。特に、一種以上の金属含有化合物は気化され、さらに堆積室に配置された基板(例えば半導体基板またはアセンブリ基板)の一つ以上の表面に向けられ、かつ/または接触させられる。通常基板は加熱されている。これらの金属含有化合物は、不揮発性の薄く均一な金属含有層を基板の表面(一つまたは複数)上に形成する(例えば反応または分解によって)。本発明の目的のために、用語“蒸着工程”は、化学蒸着工程(パルス化学蒸着工程を含む)と原子層堆積工程の両方を含むことを意味する。
本明細書で用いるように“化学蒸着工程”(CVD)は、反応成分を分離する手間をかけずに、気化された金属含有化合物(および用いられる任意の反応気体)から、所望の層が基板上に堆積室内で堆積される蒸着工程をあらわす。前駆体組成と任意の反応気体の実質的な同時使用を含む“単純”CVD工程とは対照的に、“パルス”CVDはこれらの材料を堆積室内に交互にパルス化するが、原子層堆積またはALD(下記でより詳細に述べる)で通常なされるように、前駆体と反応気体流との混合を厳密には回避しない。
本明細書で用いるように用語“原子層堆積”(ALD)は、堆積サイクル(好ましくは複数の連続堆積サイクル)が、処理室(すなわち堆積室)で行われる蒸着工程をあらわす。通常、各サイクルの間に前駆体は堆積面(例えばアセンブリ基板表面、または前のALDサイクルからの材料など、前もって堆積された下方の表面)に化学吸着され、追加の前駆体と容易に反応しない(すなわち自己制限的反応)単分子層または副単分子層(sub-monolayer)を形成する。従って必要であれば、化学吸着した前駆体を堆積面上の所望材料へ変換する際に使用するために、反応物質(例えば別の前駆体または反応気体)を処理室に続けて導入することもある。通常、この反応物質は前駆体とのさらなる反応が可能である。さらに、各サイクルの間にパージ(purging)ステップを利用して、処理室から過剰前駆体を除去すること、ならびに/あるいは、過剰反応物質および/または反応副生成物を、化学吸着された前駆体の変換の後に処理室から除去することもある。さらに、本明細書で用いるように、用語“原子層堆積”は、“原子層化学蒸着”、“原子層エピタキシー”(ALE)(Ackermanの米国特許No. 5,256,244を参照)、分子線エピタキシー(MBE)、ガスソースMBE、または有機金属MBE、ならびに、前駆体組成(一種または複数)、反応気体、およびパージガス(例えば不活性搬送ガス)の交互パルスを用いて行われる際の化学線エピタキシーなどの、関連用語によって指定される工程を含むことも意味する。
1サイクルの化学蒸着(CVD)工程に比べて、より長い持続時間の複数サイクルALD工程は、自己制限的な層成長による、層の厚さと組成の制御における改善、ならびに、反応成分の分離による有害な気相反応の縮小を可能にする。ALDの自己制限的性質は、幅広い種類の反応表面上に膜を堆積する方法を提供し、この反応表面には、不規則地形を持つ表面、CVDまたは他の“視線”(line of sight)堆積法(蒸発もしくは物理蒸着(PVDまたはスパッタリング)など)と利用可能なものよりも良い段差被覆性を持つ表面が含まれる。
一種以上のβ‐ジケチミナート配位子を持つ或る金属含有化合物は、当該技術分野で周知である。そのような或る既知の金属含有化合物では、β‐ジケチミナート配位子はイソプロピル置換基を両窒素原子上に持つか、あるいはtert-ブチル置換基を両窒素原子上にもつ。例えばEl-Kaderi et al., Organometallics, 23:4995-5002 (2004)を参照のこと。本発明は一種以上のβ‐ジケチミナート配位子を含む金属含有化合物(すなわち金属含有錯体)を提供し、これは蒸着法における使用のために好ましい特性(例えばより高い蒸気圧、より低い融点、およびより低い昇華点の一つ以上)を有することができる。本発明は、そのような金属含有化合物の生成方法と使用方法、ならびにそれらを含む蒸着システムも提供する。
或る態様では、本発明は一種以上のフッ素含有有機基を置換基として持つ、一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を提供する。そのような一種以上のフッ素含有有機基を含む金属含有化合物は、フッ素含有有機基を持たない対応する金属含有化合物よりも高い揮発性を提供することができる。より高い揮発性を持つ金属含有化合物は、堆積法(例えばCVDおよびALD)において有利である可能性がある。
別の態様では、本発明は、1から5の炭素原子を持つ一種以上の脂肪族基(好ましくは脂肪族部分)を置換基として持つ、一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を提供し、ここで脂肪族基は、当該技術分野で周知のある金属含有化合物のβ‐ジケチミナート配位子における対応する置換基よりも大きな自由度を有するように選択される(すなわち、R2=R4=メチル基、R3=H、R1=R5=イソプロピル基またはR1=R5=tert-ブチル基である構造式Iの化合物)。そのような、或る既知の金属含有化合物の対応する置換基よりも大きな自由度を有する一種以上の置換基を持つ、一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物は、或る既知の金属含有化合物よりも低い融点および/または昇華点を有することができる。より低い融点、より低い昇華点、または両方を持つ金属含有化合物は、堆積法(例えばCVDおよびALD)において有利である可能性がある。例えば、より低い融点を持つ金属含有化合物は特に融解前駆体組成(molten precursor compositions)に役立ち、これは融解物質の蒸気圧が、同じ温度における類似固形物質のものよりも通常高いためである。加えて、気化融解前駆体組成(vaporizing molten precursor compositions)の表面領域(そのため、そのような組成からの気化速度と、そのような組成への熱伝導)は、予測可能な一定速度で変化し得る。最終的に、融解前駆体組成は通常堆積工程において好ましくない粒子の源ではない。従って、所定の種類の前駆体組成にとって、その種類内の融解形は、非融解形よりも低い温度で、再現可能な条件下において、また好ましくはその過程で問題のある粒子を生成することなく、堆積のために適切な蒸気圧を提供することができる。
いくつかの実施形態では、金属含有化合物は対称であっても非対称であってもよいβ‐ジケチミナート配位子を含むホモレプティック錯体(すなわち、金属が一種類の配位子のみと結合した錯体)である。他の実施形態では、金属含有化合物は対称であっても非対称であってもよい一種以上のβ‐ジケチミナート配位子を含むヘテロレプティック錯体(すなわち、金属が一種類以上の配位子と結合した錯体)である。例えば、2005年6月28日出願の米国出願No. 11/169,082(表題"UNSYMMETRICAL LIGAND SOURCES, REDUCED SYMMETRY METAL-CONTAINING COMPOUNDS, AND SYSTEMS AND METODS INCLUDING SAME")を参照のこと。いくつかの実施形態では、β‐ジケチミナート配位子はη5‐配位形式をとることができる。
<一種以上のフッ素含有有機基を持つ化合物>
或る態様では、一種以上のフッ素含有有機基を持つ一種以上のβ‐ジケチミナート配位子を含む金属含有化合物、およびそのような化合物を含む前駆体組成が開示される。そのような一種以上のフッ素含有有機基を含む金属含有化合物は、フッ素含有有機基を持たない対応する金属含有化合物よりも高い揮発性を提供することができる。より高い揮発性を有する金属含有化合物は堆積法(例えばCVDおよびALD)において有利である可能性がある。
そのような化合物は(構造式I)の化合物を含み、
(構造式I):
ここで式中のMは二族金属(例えばCa、Sr、Ba)、三族金属(例えばSc、Y、La)、ランタニド(例えばPr、Nd)またはそれらの組み合わせである。MはCa、Sr、またはBaであることが好ましい。より好ましくはMはSrである。各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10、xは1からnである。
各R1、R2、R3、R4およびR5は、独立に水素または有機基(例えばアルキル基、またはある実施形態では、アルキル部分)であり、但し一つ以上のR基がフッ素含有有機基である。或る実施形態では、R1、R2、R3、R4およびR5は、それぞれ独立に水素、または1から10の炭素原子を持つ有機基(例えばメチル基、エチル基、プロピル基、イソプロピル基、ブチル基、sec-ブチル基、tert-ブチル基)であり、好ましくは水素または1から5の炭素原子を持つ脂肪族基である。或る実施形態では、R3はHで、かつR1、R2、R4およびR5の一つ以上がフッ素含有有機基である。
フッ素含有有機基は、部分的にフッ素化された基(すなわち、いくつか、しかし全てではない水素がフッ素で置換されている)としても良いし、完全にフッ素化された基(すなわち、全ての水素がフッ素で置換されているペルフルオロ基)とすることもできる。或る実施形態では、フッ素含有有機基はフッ素含有脂肪族基、および好ましくはフッ素含有アルキル基である。例示的なフッ素含有アルキル基は、-CH2F、-CHF2、-CF3、-CH2CF3、-CF2CF3、-CH2CH2CF3、-CF2CF2CF3、-CH(CH3)(CF3)、-CH(CF3)2、-CF(CF3)2、-CH2CH2CH2CF3、-CF2CF2CF2CF3、-CH(CF3)(CF2CF3)、-CF(CF3)(CF2CF3)、-C(CF3)3、などを含む。
Lは幅広い種類のアニオン配位子をあらわす。アニオン配位子(L)の例は、ハロゲン化物、アルコキシド基、アミド基、メルカプチド基、シアン化物、アルキル基、アミジナート基、グアニジナート基、イソウレアート基、β‐ジケトナート基、β‐イミノケトナート基、β‐ジケチミナート基、およびそれらの組み合わせを含む。或る実施形態では、Lは構造式Iで示したβ‐ジケチミナート配位子と同じ構造を持つβ‐ジケチミナート基である。他の或る実施形態では、Lは構造式Iで示したβ‐ジケチミナート配位子とは異なる構造を持つβ‐ジケチミナート基(例えば対称または非対称)である。
Yは任意の中性配位子をあらわす。中性配位子(Y)の例は、カルボニル基(CO)、ニトロシル基(NO)、アンモニア(NH3)、アミン(NR3)、窒素(N2)、ホスフィン(PR3)、エーテル(ROR)、アルコール(ROH)、水(H2O)、テトラヒドロフラン、およびそれらの組み合わせを含み、ここで各Rは独立に水素または有機基をあらわす。任意の中性配位子(Y)の数はzであらわされ、zは0から10、好ましくは0から3である。より好ましくは、Yは存在しない(すなわちz=0)。
或る実施形態では、一種以上のフッ素含有有機基を置換基として持つ一種以上のβ‐ジケチミナート配位子を含む金属含有化合物は、例えば、一種以上のフッ素含有有機基を置換基として持つβ‐ジケチミナート配位子源、金属源、随意に中性配位子Yの源、および、一種以上のフッ素含有有機基を置換基として持つβ‐ジケチミナート配位子源と同じかまたは異なることが可能なアニオン配位子Lの源を含む成分を混合させることを含む方法によって生成することができる。通常、配位子源は配位子となるために脱プロトン化され得る。
例示的な方法は、(構造式III)の配位子源、その互変異性体、
(構造式III):
または脱プロトン化された共役塩基またはその金属錯体(例えばスズ錯体)、アニオン配位子Lの源(例えば本明細書記載の通り)、随意に中性配位子Yの源(例えば本明細書記載の通り)、ならびに金属(M)源を含む成分を、金属含有化合物を生成するのに十分な条件下で混合することを含む。好ましくは、成分は有機溶媒(例えばヘプタン、トルエン、またはジエチルエーテル)内で、通常混合または攪拌条件下で混ぜ合わされ、好都合な温度(例えば室温またはそれ以下、還流温度またはそれ以上、もしくは中間温度)で、所望の生成物を十分量生成するだけの時間にわたって、反応することができる。好ましくは、成分は不活性雰囲気(例えばアルゴン)の下で、通常実質的に水が存在しない状態で混合される。
金属(M)源は、二族金属源、三族金属源、ランタニド金属源、およびそれらの組み合わせから成る群から選択することができる。幅広い種類の適切な金属源が当業者に明らかであろう。そのような金属源は、本明細書で上記に定めたような一種以上の中性配位子Yを随意に含むことができる。例示的な金属源は、例えばM(II)ハロゲン化物(すなわち一種以上のハロゲン化物配位子を持つM(II)化合物)、M(II)擬ハロゲン化物(すなわち一種以上の擬ハロゲン化物配位子を持つM(II)化合物)、M(II)アミド(すなわち一種以上のアミド配位子を持つM(II)化合物、例えばM(II)ビス(ヘキサメチルジシラザン)、および/またはM(II)ビス(ヘキサメチルジシラザン)‐ビス(テトラヒドロフラン))、β‐ジケチミナート金属錯体(例えばスズ錯体)との金属交換反応で用いるM(0)、またはそれらの組み合わせを含む。
各R1、R2、R3、R4およびR5は、独立に水素または有機基(例えばアルキル基、またはある実施形態ではアルキル部分)であり、但し一つ以上のR基がフッ素含有有機基である。或る実施形態では、R3=H、かつR1、R2、R4、およびR5の一つ以上がフッ素含有有機基である。
方法は、(構造式I)の金属含有化合物を提供し、
(構造式I):
ここで式中のM、L、Y、R1、R2、R3、R4およびR5は上記で定めた通りで、nは金属の原子価状態をあらわし、zは0から10、xは1からnである。
一種以上のフッ素含有脂肪族基を置換基として持つβ‐ジケチミナート配位子源は、例えば縮合反応を用いて生成することができる。例えば、一種以上のフッ素含有脂肪族基を持つβ‐ジケチミナート配位子源の例は、構造式R1NH2のアミンを、(構造式IV)の化合物またはその互変異性体と、
(構造式IV):
アミンとの反応のためにカルボニル基を活性化させることができる物質の存在下で、(構造式III)の配位子源もしくはその互変異性体をもたらすのに十分な条件下で混合することを含む方法によって生成することができる。
(構造式III):
好ましくは、成分は有機溶媒(例えばヘプタン、トルエン、またはジエチルエーテル)内で、通常混合または攪拌条件下で混ぜ合わされ、好都合な温度(例えば室温またはそれ以下、還流温度またはそれ以上、もしくは中間温度)で、所望の生成物を十分量生成するだけの時間にわたって、反応することができる。好ましくは、成分は不活性雰囲気(例えばアルゴン)の下で、通常実質的に水が存在しない状態で混合される。
各R1、R2、R3、R4およびR5は、独立に水素または脂肪族基(例えばメチル基、エチル基、プロピル基、イソプロピル基、ブチル基、sec-ブチル基、tert-ブチル基)であり、但し一つ以上のR基がフッ素含有脂肪族基である。或る実施形態では、R3=H、かつR1、R2、R4、およびR5の一つ以上がフッ素含有脂肪族基である。従って、本発明は構造式IIIの配位子源も提供する。
構造式IIIおよび構造式IVの化合物の互変異性体は、水素原子が別の原子に結合した異性体を含む。通常互変異性体は相互に平衡状態であることができる。
特に、本発明は構造式IIIの互変異性体を検討し、これは例えば
および
を含む。
同様に、本発明は構造式IVの互変異性体を検討し、これは例えば
および
を含む。
アミンとの反応のためにカルボニル基を活性化させることができる適切な活性化剤は、当業者に周知であり、例えばアルキル化剤とルイス酸(例えばTiCl4)を含む。例示的なアルキル化剤は、テトラフルオロホウ酸トリエチルオキソニウム、ジメチル硫酸、ニトロソ尿素、マスタードガス(例えば1,1-チオビス(2-クロロエタン))およびそれらの組み合わせを含む。
一種以上のフッ素含有有機基を持つ一種以上のβ‐ジケチミナート配位子を含むさらなる金属含有化合物は、例えば、一種以上のフッ素含有有機基を持つ一種以上のβ‐ジケチミナート配位子を含む金属含有化合物と、一種以上の別のβ‐ジケチミナート配位子を含む金属含有化合物との間の配位子交換反応によって生成することができる。そのような方法の例は、(構造式I)の化合物と、
(構造式I):
(構造式V)の化合物を含む成分を、
(構造式V):
金属含有化合物を生成するのに十分な条件下で混合することを含む。
各Mは二族金属、三族金属、ランタニド、またはそれらの組み合わせであり、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10、xは1からnである。
各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または有機基であり、但し一つ以上のR基がフッ素含有有機基であり、ならびに、構造式Iと構造式Vに示したβ‐ジケチミナート配位子が異なる構造を持つ。
方法は(構造式II)の金属含有化合物を提供することができ、
(構造式II):
ここで式中のM、L、Y、R1、R2、R3、R4、R5、R6、R7、R8、R9、R10、nおよびzは上記で定めた通りである。
<より大きな自由度を持つ一種以上の置換基を持つ化合物>
別の態様では、本発明は1から5の炭素原子を持つ一種以上の脂肪族基(好ましくは脂肪族部分)を置換基として持つ一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を提供し、ここで脂肪族基は、当該技術分野で周知の或る金属含有化合物のβ‐ジケチミナート配位子における対応する置換基よりも大きな自由度をもつように選択される(すなわち、R2=R4=メチル基、R3=H、および、R1=R5=イソプロピル基またはR1=R5=tert-ブチル基である構造式Iの化合物)。例えばEl-Kaderi et al., Organometallics, 23:4995-5002 (2004)を参照のこと。
金属含有化合物の配位子の置換基の自由度を定量化する一つの方法は、Li et al.によってInorganic Chemistry, 44:1728-1735(2005)に開示されている。自由度を計算するこの方法では、非水素単結合(non-hydrogen single bonds)(配位子に置換基を結合する単結合を含む)についての回転が計算される。しかし、メチル基をその3回対称軸まわりに回転させるだけの単結合、あるいはtert-ブチル基をその3回対称軸まわりに回転させるだけの単結合は無視される。これは、結果として起こるエネルギー変化が、結晶充填にほとんど影響を与えないことがあるためである。不斉炭素原子(すなわち四種の異なる置換基を持つ炭素原子)は、鏡像異性体が、堆積法で直面する典型的な温度では相互転換できないため、付加的な自由度としてみなす。上記の方法はいくつかの例示的な置換基の自由度を定量化するために用いられ、その結果は表1に示す。
金属含有化合物の配位子の置換基の自由度を定量化するための上記記載の方法は、一つの例示的なやり方である。当業者は、金属含有化合物の配位子の置換基の自由度を定量化するための他の方法も、要望通りに利用できることがわかるだろう。
こうした、或る既知の金属含有化合物の対応する置換基よりも大きな自由度を有する一種以上の置換基を持つ、一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物は、一種以上のβ‐ジケチミナート配位子を持つ或る既知の金属含有化合物よりも低い融点および/または昇華点を有することができる。より低い融点、より低い昇華点、またはその両方を有する金属含有化合物は、堆積法(例えばCVDおよびALD)において有利である可能性がある。例えば、より低い融点を有する金属含有化合物は、特に融解前駆体組成に役立ち、これは融解物質の蒸気圧が、同じ温度における類似固形物質のものよりも通常高いためである。加えて、気化融解前駆体組成の表面領域(そのためそのような組成からの気化速度と、そのような組成への熱伝導)は、予測可能な一定速度で変化することができる。最終的に、融解前駆体組成は通常堆積工程において好ましくない粒子源ではない。従って、所定の種類の前駆体組成にとって、その種類内の融解形は、非融解形よりも低い温度で、再現可能な条件下において、また好ましくはその過程で問題のある粒子を生成することなく、堆積のために適切な蒸気圧を提供することができる。
或る態様では、本発明は、或る既知の金属含有化合物の対応する置換基よりも大きな自由度を有する一種以上の置換基を持つ、一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を提供する。そのような化合物は(構造式I)の化合物を含み、
(構造式I):
ここで式中のMは二族金属(例えばCa、Sr、Ba)、三族金属(例えばSc、Y、La)、ランタニド(例えばPr、Nd)またはそれらの組み合わせである。MはCa、Sr、またはBaであることが好ましい。より好ましくはMはSrである。各Lは独立にアニオン配位子であり、各Yは独立に中性配位子であり、nは金属の原子価状態をあらわし、zは0から10であり、xは1からnである。
或る実施形態では、各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基(例えばアルキル基、または或る実施形態ではアルキル部分)であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分である。とりわけ、上記群に記載した部分は全て、El-Kaderi et al., Organometallics, 23:4995-5002 (2004)に開示された金属含有化合物における対応する置換基(R2=R4=メチル基、かつR3=H)よりも高い数量的自由度を有する(例えば表1)。
別の実施形態では、各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基(例えばアルキル基、または或る実施形態ではアルキル部分)であり、但しR1およびR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分である。とりわけ、上記群に記載した部分は全て、El-Kaderi et al., Organometallics, 23:4995-5002 (2004)に開示された金属含有化合物における対応する置換基(R1=R5=イソプロピル基、またはR1=R5=tert-ブチル基)よりも高い数量的自由度を有する(例えば表1)。
Lは幅広い種類のアニオン配位子をあらわすことができる。例示的なアニオン配位子(L)は、ハロゲン化物、アルコキシド基、アミド基、メルカプチド基、シアン化物、アルキル基、アミジナート基、グアニジナート基、イソウレアート基、β‐ジケトナート基、β‐イミノケトナート基、β‐ジケチミナート基、およびそれらの組み合わせを含む。或る実施形態では、Lは構造式Iに示したβ‐ジケチミナート配位子と同じ構造を持つβ‐ジケチミナート基である。他の或る実施形態では、Lは構造式Iに示したβ‐ジケチミナート配位子と異なる構造を持つβ‐ジケチミナート基(例えば対称または非対称)である。
Yは任意の中性配位子をあらわす。例示的な中性配位子(Y)はカルボニル基(CO)、ニトロシル基(NO)、アンモニア(NH3)、アミン(NR3)、窒素(N2)、ホスフィン(PR3)、エーテル(ROR)、アルコール(ROH)、水(H2O)、テトラヒドロフラン、およびそれらの組み合わせを含み、ここで各Rは独立に水素または有機基をあらわす。任意の中性配位子(Y)の数はzであらわされ、zは0から10であり、好ましくは0から3である。より好ましくは、Yは存在しない(すなわちz=0)。
或る実施形態では、或る既知の金属含有化合物の対応する置換基よりも大きな自由度を持つ一種以上の置換基を持つ一種以上のβ‐ジケチミナート配位子を含む金属含有化合物は、例えば、或る既知の金属含有化合物の対応する置換基よりも大きな自由度を持つ一種以上の置換基を持つβ‐ジケチミナート配位子源、金属源、随意に中性配位子Yの源、ならびに、或る既知の金属含有化合物の対応する置換基よりも大きな自由度を持つ一種以上の置換基を持つβ‐ジケチミナート配位子源と同じとすることも、または異なるものとすることもできるアニオン配位子Lの源を含む成分を混合することを含む方法によって生成することができる。通常、配位子源は配位子となるために脱プロトン化され得る。
例示的な方法は、(構造式III)の配位子源、その互変異性体、
(構造式III):
あるいは、脱プロトン化された共役塩基またはその金属錯体、アニオン配位子Lの源(例えば本明細書記載の通り)、随意に中性配位子Yの源(例えば本明細書記載の通り)、ならびに金属(M)源を含む成分を、金属含有化合物を生成するのに十分な条件下で混合することを含む。好ましくは、成分は有機溶媒(例えばヘプタン、トルエン、またはジエチルエーテル)内で、通常混合または攪拌条件下で混合され、好都合な温度(室温またはそれ以下、還流温度またはそれ以上、もしくは中間温度)で、所望の生成物を十分量生成するだけの時間にわたって反応することができる。好ましくは、成分は不活性雰囲気(例えばアルゴン)の下で、通常実質的に水が存在しない状態で混合される。
金属(M)源は二族金属源、三族金属源、ランタニド金属源、またはそれらの組み合わせから成る群から選択される。幅広い種類の適切な金属源が当業者に明らかであろう。そのような金属源は、本明細書で上記に定めたような一種以上の中性配位子Yを随意に含むことができる。例示的な金属源は、例えばM(II)ハロゲン化物(すなわち一種以上のハロゲン化物配位子を持つM(II)化合物)、M(II)擬ハロゲン化物(すなわち一種以上の擬ハロゲン化物配位子を持つM(II)化合物)、M(II)アミド(すなわち一種以上のアミド配位子を持つM(II)化合物、例えばM(II)ビス(ヘキサメチルジシラザン)、および/またはM(II)ビス(ヘキサメチルジシラザン)‐ビス(テトラヒドロフラン))、β‐ジケチミナート金属錯体(例えばスズ錯体)との金属交換反応で用いるM(0)、もしくはそれらの組み合わせを含む。
或る実施形態では、各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基(例えばアルキル基、または或る実施形態ではアルキル部分)であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分である。
別の実施形態では、各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基(例えばアルキル基、または或る実施形態ではアルキル部分)であり、但しR1およびR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分である。
方法は、(構造式I)の金属含有化合物を提供し、
(構造式I):
ここで式中のM、L、Y、R1、R2、R3、R4、およびR5は上記で定めた通りで、nは金属の原子価状態をあらわし、zは0から10、xは1からnである。
或る既知の金属含有化合物の対応する置換基よりも大きな自由度を持つ一種以上の置換基を持つβ‐ジケチミナート配位子源は、例えば縮合反応を用いて生成できる。例えば、或る既知の金属含有化合物の対応する置換基よりも大きな自由度を持つ一種以上の置換基を持つβ‐ジケチミナート配位子源の例は、構造式R1NH2のアミンを、(構造式IV)の化合物またはその互変異性体と、
(構造式IV):
アミンとの反応のためにカルボニル基を活性化させることができる物質の存在下で、(構造式III)の配位子源もしくはその互変異性体をもたらすのに十分な条件下で混合することを含む方法によって生成することができる。
(構造式III):
好ましくは、成分は有機溶媒(例えばヘプタン、トルエン、またはジエチルエーテル)内で、通常混合または攪拌条件下で混ぜ合わされ、好都合な温度(例えば室温またはそれ以下、還流温度またはそれ以上、もしくは中間温度)で、所望の生成物を十分量生成するだけの時間にわたって、反応することができる。好ましくは、成分は不活性雰囲気(例えばアルゴン)の下で、通常実質的に水が存在しない状態で混合される。
或る実施形態では、各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基(例えばアルキル基、または或る実施形態ではアルキル部分)であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分である。
別の実施形態では、各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基(例えばアルキル基、または或る実施形態ではアルキル部分)であり、但しR1およびR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分である。
構造式IIIと構造式IVの化合物の互変異性体は、水素原子が別の原子に結合した異性体を含む。通常互変異性体は相互に平衡状態であることができる。
特に、本発明は構造式IIIの互変異性体を検討し、これらは例えば
および
を含む。
同様に、本発明は構造式IVの互変異性異体を検討し、これらは例えば
および
を含む。
アミンとの反応のためにカルボニル基を活性化させることができる適切な活性化剤は、当業者に周知であり、例えばアルキル化剤とルイス酸(例えばTiCl4)を含む。例示的なアルキル化剤は、テトラフルオロホウ酸トリエチルオキソニウム、ジメチル硫酸、ニトロソ尿素、マスタードガス(例えば1,1-チオビス(2-クロロエタン))およびそれらの組み合わせを含む。
或る既知の金属含有化合物の対応する置換基よりも大きな自由度をもつ一種以上の置換基を持つ一種以上のβ‐ジケチミナート配位子を含むさらなる金属含有化合物は、例えば、或る既知の金属含有化合物の対応する置換基よりも大きな自由度をもつ一種以上の置換基を持つ一種以上のβ‐ジケチミナート配位子を含む金属含有化合物と、一種以上の別のβ‐ジケチミナート配位子を含む金属含有化合物との間の配位子交換反応によって生成することができる。そのような例示的な方法は、(構造式I)の化合物と、
(構造式I):
(構造式V)の化合物を含む成分を、
(構造式V):
金属含有化合物を生成するのに十分な条件下で混合することを含む。
各Mは二族金属、三族金属、ランタニド、またはそれらの組み合わせであり、各Lは独立にアニオン配位子で、各Yは独立に中性配位子で、nは金属の原子価状態をあらわし、zは0から10、xは1からnである。
或る実施形態では、各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または1から5の炭素原子を持つ脂肪族基(例えばアルキル基、または或る実施形態ではアルキル部分)であり、但しR2、R3、R4、R7、R8、およびR9の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分であり、ならびに、構造式Iと構造式Vに示したβ−ジケチミナート配位子は異なる構造を持つ。
別の実施形態では、各R1、R2、R3、R4、R5、R6、R7、R8、R9およびR10は独立に水素または1から5の炭素原子を持つ脂肪族基(例えばアルキル基、または或る実施形態ではアルキル部分)であり、但しR1、R5、R6、およびR10の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分であり、構造式Iと構造式Vに示したβ−ジケチミナート配位子は異なる構造を持つ。
方法は(構造式II)の金属含有化合物を提供することができ、
(構造式II):
ここで式中のM、L、Y、R1、R2、R3、R4、R5、R6、R7、R8、R9、R10、nおよびzは上記で定めたとおりである。
<他の金属含有化合物>
一種以上のβ‐ジケチミナート配位子を含む金属含有化合物を含む前駆体組成は、蒸着法を用いて金属含有層を堆積させるのに役立つ。加えて、そのような蒸着法は一種以上の異なる金属含有化合物を含む前駆体組成を含むこともできる。そのような前駆体組成は、例えば下記でより十分に述べるALD工程において、一種以上のβ‐ジケチミナート配位子を持つ金属含有化合物を含む前駆体組成に対して、実質的に同時に、あるいは連続して、堆積/化学吸着されることができる。そのような異なる金属含有化合物の金属は、例えばTi、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Al、およびそれらの組み合わせを含むことができる。適切な異なる金属含有化合物は、例えばテトラキスチタンイソプロポキシド、四塩化チタン、トリクロロチタンジアルキルアミド、テトラキスチタンジアルキルアミド、テトラキスハフニウムジアルキルアミド、トリメチルアルミニウム、塩化ジルコニウム(IV)、ペンタキスタンタルエトキシド、およびそれらの組み合わせを含む。
<蒸着法>
金属含有層は、例えば基板(例えば半導体基板またはアセンブリ基板)上に堆積させることができる。本明細書で用いるように“半導体基板”または“アセンブリ基板”は、半導体基層、または一つ以上の層、構造、またはその上に形成される領域を持つ半導体基板などの半導体基板をあらわす。半導体基層は、通常、ウェハ上のシリコン材料の最下層、または他の材料の上に堆積されたシリコン層(サファイアの上のシリコンなど)である。アセンブリ基板について参照すると、領域、接点、種々の構造または特徴、および開口部(トランジスタ、活性化領域(active areas)、拡散(diffusions)、埋込領域、ビア(vias)、接点開口部、高アスペクト比開口部、蓄電板、コンデンサのための障壁など)を形成し、あるいは定めるために、種々の工程ステップが以前より用いられることがあった。
本明細書で用いるように、“層”とは、本明細書記載の堆積工程に従って、一種以上の前駆体および/または反応物質から基板上に形成され得る任意の層をあらわす。用語“層”は、障壁層、誘電体層(すなわち、高誘電率を持つ層)、および導電層などの、半導体産業に特異的な層を含むことを意味するが、これらに限定されないことは明らかである。用語“層”は、半導体産業で頻繁に用いられる用語“膜”の同義語である。用語“層”は、ガラス上の塗装など、半導体技術の他の技術で見られる層を含むことも意味する。そのような層は、例えば半導体基板以外の基板である、繊維やワイヤーなどの上に直接形成することができる。さらに、層は基板の最下部の半導体表面上に直接形成することができ、あるいは、例えばパターンウェハなどの、任意の種々の層(例えば表面)の上に形成することができる。
層または膜は、還元金属、金属ケイ酸塩、金属酸化物、金属窒化物など、さらにそれらの組み合わせなどの金属含有膜の形で形成されることもある。例えば、金属酸化物層は単一金属を含むことがあり、金属酸化物層は二種以上の異なる金属を含むことがあり(すなわち混合金属酸化物である)、あるいは金属酸化物層は随意に他の金属でドープされることもある。
もし金属酸化物層が二種以上の異なる金属を含む場合、金属酸化物層は合金、固溶体、またはナノラミネートの形をとることができる。これらは誘電特性を持つことが好ましい。金属酸化物層(特に誘電体層である場合)は、好ましくはBaTiO3、SrTiO3、CaTiO3、(Ba,Sr)TiO3、SrTa2O6、SrBi2Ta2O9(SBT)、SrHfO3、SrZrO3、BaHfO3、BaZrO3、(Pb,Ba)Nb2O6、(Sr,Ba)Nb2O6、Pb[(Sc,Nb)0.575Ti0.425]O3(PSNT)、La2O3、Y2O3、LaAlO3、YAlO3、Pr2O3、Ba(Li,Nb)1/4O3-PbTiO3、およびBa(0.6)Sr(0.4)TiO3-MgOのうちの一種以上を含む。驚くべきことに、本発明に従って形成された金属酸化物層は、基本的に炭素を含まない。本発明のシステムと方法で形成された金属酸化物層は、炭素、水素、ハロゲン化物、リン、硫黄、窒素、もしくはそれらの化合物を基本的に含まないことが好ましい。本明細書で用いるように、“基本的に含まない”とは、金属含有層が上記の不純物を少量は含むかもしれないことを意味するように定義される。例えば、金属酸化物層にとって“基本的に含まない”とは、膜の化学的性質、力学的性質、物理的形状(例えば結晶化度)、または電気的性質にわずかな影響を与えるような、1原子百分率未満の量で上記の不純物が存在することを意味する。
種々の金属含有化合物は、前駆体組成を形成するために、随意に一種以上の有機溶媒と様々に組み合わせて利用できる(特にCVD工程に対して)。有利なことに、本明細書で開示する金属含有化合物のいくつかは、ALDにおいて溶媒を加えることなく利用できる。本明細書で用いるように“前駆体”および“前駆体組成”とは、単独で、あるいは他の前駆体組成(または反応物質)と共に、堆積工程においてアセンブリ基板上の層を形成するために利用可能な組成物をあらわす。さらに、用いられる前駆体の種類と量は、蒸着工程を用いて最終的に形成される層の内容によって決まることが、当業者にはわかるだろう。本発明の好ましい前駆体組成は、気化温度で液体であることが好ましく、より好ましくは、室温で液体であることが好ましい。
前駆体組成は室温で液体または固体である可能性がある(好ましくはこれらは気化温度で液体である)。通常、これらは既知の蒸着技術を用いて使用されるのに十分な揮発性を持った液体である。しかし、これらは既知の蒸着技術を用いて固体状態から気化または昇華され得るのに十分な揮発性を持った固体である可能性もある。もしこれらが揮発性の低い固体である場合、これらがフラッシュ蒸発、バブリング(bubbling)、微液滴形成技術などにおいて利用できるように、有機溶媒に十分に可溶性であるか、あるいは堆積温度よりも低い融点を有することが好ましい。
本明細書では、気化した金属含有化合物は、単独で、あるいは必要であれば随意に他の金属含有化合物の蒸発分子と共に、または随意に蒸発した溶媒分子、もしくは不活性ガス分子と共に用いられることがある。本明細書で用いるように、“液体”とは溶液または原液(室温で液体、または高温で融解する室温で固体のもの)をあらわす。本明細書で用いるように、“溶液”は固体の完全な溶解性を要するものではなく、十分量の固体が有機溶媒によって化学蒸着工程の気相内に運ばれる限り、いくらか非溶解固体も許容され得る。もし溶媒希釈が堆積で用いられた場合、生成された溶媒蒸気の総モル濃度は、不活性搬送ガスとしてもみなされ得る。
本明細書で用いるように、“不活性ガス”または“非反応性ガス”は、通常接触する成分と反応しない任意の気体である。例えば、不活性ガスは通常、窒素、アルゴン、ヘリウム、ネオン、クリプトン、キセノン、任意の他の非反応性ガス、およびそれらの混合物を含む群から選択される。そのような不活性ガスは、通常本発明に従って述べた一種以上のパージ工程において用いられ、またいくつかの実施形態では、前駆体蒸気輸送を助けるためにも用いられることがある。
本発明の或る実施形態に適した溶媒は、以下のうちの一種以上である可能性がある。脂肪族炭化水素または不飽和炭化水素(C3-C20、および好ましくはC5-C10、環状、分岐、もしくは直鎖)、芳香族炭化水素(C5-C20、および好ましくはC5-C10)、ハロゲン化炭化水素、シリル化炭化水素(アルキルシラン、ケイ酸アルキル、エーテル、ポリエーテル、チオエーテル、エステル、ラクトン、二トリル、シリコーン油など)、または上記の任意の組み合わせを含む化合物、または上記の一種以上の混合物。また、化合物は一般に相互に相溶性があり、可変量の金属含有化合物の混合物が、相互作用して物理的性質を著しく変えることがないようになっている。
本発明の前駆体組成は、一種以上の反応気体の存在下で実質的に同時に、随意に気化ならびに堆積/化学吸着されることができる。あるいは金属含有層は、各堆積サイクルの間に前駆体組成と反応気体(一種または複数)を交互に導入することによって形成されることもある。そのような反応気体は通常、酸素、水蒸気、オゾン、酸化窒素、酸化硫黄、水素、硫化水素、セレン化水素、テルル化水素、過酸化水素、アンモニア、有機アミン、ヒドラジン(例えばヒドラジン、メチルヒドラジン、対称および非対称ジメチルヒドラジン)、シラン、ジシランおよび高級シラン、ジボラン、プラズマ、空気、ボラゼン(窒素源)、一酸化炭素(還元剤)、アルコール、およびこれらの気体の任意の組み合わせを含む。例えば、酸素含有源は通常金属‐酸化物層の堆積に用いられる。金属‐酸化物層の形成で用いられる好ましい任意の反応気体は、酸化気体(例えば酸素、オゾン、および酸化窒素)を含む。
本発明の適切な基板材料は、導電体、半導体材料、導電性金属窒化物、導電性金属、導電性金属酸化物などを含む。金属含有層がその上に形成される基板は、半導体基板またはアセンブリ基板であることが好ましい。例えばボロンリンシリケートガラス(BPSG)、例えば伝導的にドープされたポリシリコン、単結晶シリコン、などのシリコン(本発明にとって、ケイ素の適切な形は単に“シリコン”としてあらわされる)といった幅広い種類の半導体材料は、例えばシリコンウェハ、オルトケイ酸テトラエチル(TEOS)酸化物、スピンオンガラス(すなわち随意にドープされ、スピン工程によって堆積されたSiO2の薄層)、TiN、TaN、W、Ru、Al、Cu、貴金属などの形で検討される。アセンブリ基板は、白金、イリジウム、酸化イリジウム、ロジウム、ルテニウム、酸化ルテニウム、ルテニウム酸ストロンチウム、ニッケル酸ランタン、窒化チタン、窒化タンタル、窒化タンタルシリコン、二酸化ケイ素、アルミニウム、ガリウム、ヒ化物、ガラスなど、ならびに、例えばダイナミックランダムアクセスメモリ(DRAM)デバイス、スタティックランダムアクセスメモリ(SRAM)デバイス、および強誘電体メモリ(FERAM)デバイスなどの半導体構造で用いられる、他の既存材料または開発予定材料を含む層も含むことがある。
半導体基板またはアセンブリ基板を含む基板に対して、層は基板の最下部の半導体表面上に直接形成することができ、あるいは例えばパターンウェハなどの任意の種々の層(すなわち表面)の上に形成することができる。
半導体基板またはアセンブリ基板以外の基板も、本発明の方法において利用可能である。金属酸化物層など、その上に金属含有層を有利に形成し得る任意の基板が用いられることもあり、そのような基板は、例えば繊維、ワイヤーなどを含む。
本発明の好ましい堆積工程は蒸着工程である。蒸着工程は、深い接点と他の開口部の内部においても、高度に共形な層を素早く提供する工程能力のために、半導体産業において一般に好まれている。
前駆体組成は、必要であれば不活性搬送ガスの存在下で気化させることができる。加えて、不活性搬送ガスはALD工程(下記記載)におけるパージステップで用いることができる。不活性搬送ガスは通常窒素、ヘリウム、アルゴンなどのうちの一種以上である。本発明との関連において、不活性搬送ガスは金属含有層の形成を妨げないようなものである。不活性搬送ガスの存在下で行われるかどうかにかかわらず、層の酸素汚染(例えば二酸化ケイ素を形成するケイ素の酸化、または堆積室に入る前の気相における前駆体の酸化)を避けるために、蒸発は酸素の非存在下で行われることが好ましい。
化学蒸着(CVD)と原子層堆積(ALD)は、薄く連続的で均一な金属含有層を半導体基板上に形成するためにしばしば利用される二種の蒸着工程である。いずれかの蒸着工程を用いて、通常一種以上の前駆体組成は堆積室で気化され、さらに随意に一種以上の反応気体と混合され、さらに基板上に金属含有層を形成するために基板に向けられ、かつ/または基板と接触する。他の技術だけでなく、プラズマ補助、光補助、レーザー補助などの種々の関連技術を用いて蒸着工程が改善され得ることは、当業者にとって容易にわかることであろう。
化学蒸着(CVD)は、共形で高品質の誘電体層を比較的早い処理時間で提供できる能力のために、半導体処理において誘電体層などの金属含有層を用意するために広く用いられてきた。通常、所望の前駆体組成は気化され、その後、単一堆積サイクルにおいて、任意の反応気体および/または不活性搬送ガスと共に、加熱された基板を含む堆積室内へ導入される。典型的なCVD工程では、気化された前駆体は基板表面で反応気体(一種または複数)に接触して層を形成する(例えば誘電体層)。単一の堆積サイクルは、層の所望の厚みが得られるまで続けることができる。
典型的なCVD工程は一般に、堆積表面またはウェハが配置されている処理室から隔てられた気化室において、前駆体組成を使用する。例えば、液体前駆体組成は通常バブラー(bubbler)に置かれ、気化する温度まで過熱され、その後気化した液体前駆体組成は、バブラーを横切る、あるいは液体前駆体組成を通過する不活性搬送ガスによって輸送される。気体はそれから堆積室までガス管線を通過し、そこで基板表面(一つまたは複数)上に層を堆積する。この処理を精密に制御するために多くの技術が開発されてきた。例えば、堆積室に輸送される前駆体組成の量は、前駆体組成を含む容器の温度、ならびに、容器を通って泡立った、あるいは容器を通過した不活性搬送ガスの流量によって、精密に制御することができる。
典型的なCVD工程は、Genus, Inc. (Sunnyvale, CA)から銘柄7000の下で利用可能な堆積室、Applied Materials, Inc. (Santa Clara, CA)から銘柄5000の下で利用可能な堆積室、あるいはNovelus, Inc. (San Jose, CA)から銘柄Prismの下で利用可能な堆積室などの、化学蒸着反応器で行われることがある。しかし、CVDを行うのに適した任意の堆積室が利用され得る。
CVD工程と試験槽のいくつかの変更が可能であり、例えば大気圧化学蒸着、低圧化学蒸着(LPCVD)、プラズマ励起化学蒸着(PECVD)、熱壁または冷壁反応器、あるいは任意の他の化学蒸着技術を用いる。さらに、ALD(下記でより詳細に述べる)と類似しているが、前駆体と反応気体の流れとの混合を厳密には回避しない、パルスCVDが利用できる。また、パルスCVDにおいて堆積厚は露出時間に依存し、自己制限的なALDとは対照的である(下記でより詳細に述べる)。
あるいは、および好ましくは、本発明の方法で用いられる蒸着工程は、多サイクル原子層堆積(ALD)工程である。この工程は特にCVD工程に対して有利であり、複数の堆積サイクルを提供することによって、堆積層(例えば誘電体層)に対して、原子レベルの厚みと均一性の制御に改善をもたらす点において有利である。ALDの自己制限的性質は、幅広い種類の反応表面上に膜を堆積させる方法を提供し、このような表面には、例えば不規則地形を有する表面、CVDまたは他の“視線”堆積法(例えば蒸発と物理蒸着、すなわちPVDまたはスパッタリング)と共に利用可能なものよりも良い段差被覆性を有する表面が含まれる。さらに、ALD工程は通常金属含有化合物をより低い蒸発温度と反応温度に晒し、例えば典型的なCVD工程と比べて、前駆体の劣化を減らす傾向がある。例えば、2005年6月28日出願の米国出願No. 11/168,160(題目"ATOMIC LAYER DEPOSITION SYSTEMS AND METHODS INCLUDING METAL BETA-DIKETIMINATE COMPOUNDS")を参照のこと。
一般に、ALD工程において各反応物質は、適切な基板上に、通常25℃以上、好ましくは150℃以上、より好ましくは200℃以上の堆積温度で連続的にパルス化される。典型的なALD堆積温度は400℃以下で、好ましくは350℃以下、さらにより好ましくは250℃以下である。これらの温度は一般にCVD工程で現在用いられている温度よりも低く、通常150℃以上、好ましくは200℃以上、より好ましくは250℃以上の基板表面の堆積温度を含む。典型的なCVD堆積温度は600℃以下、好ましくは500℃以下、さらにより好ましくは400℃以下である。
そのような条件下で、ALDによる被膜成長は通常自己制限的であり(すなわち、表面上の反応部位がALD工程において使い尽くされた時、堆積は通常停止する)、優れた共形性だけでなく、十分に広い範囲の均一性、その上単純で精密な構成と厚みの制御を保証する。前駆体および/または反応気体の連続的な共反応によって行われるCVD工程とは対照的に、前駆体組成および/または反応気体の交互注入によって、有害な気相反応が本質的に除去される(Vehkamaki et al., "Growth of SrTiO3 and BaTiO3 Thin Films by Atomic Layer Deposition," Electrochemical and Solid-State Letters, 2(10):504-506 (1999)を参照)。
典型的なALD工程は、基板(例えば水および/またはオゾンで随意に前処理され得る)を第一化学物質に晒して、基板上への種の化学吸着を完成させることを含む。本明細書で用いるように、用語“化学吸着”は、気化した反応性の金属含有化合物の基板表面上への化学的な吸着をあらわす。吸着種は、普通の化学結合の強さと比較して、高い吸着エネルギー(例えば>30 kcal/mol)に特徴づけられる比較的強い結合力の結果として、通常不可逆的に基板表面に結合する。化学吸着種は通常基板表面上に単分子層を形成する。("The Condensed Chemical Dictionary", 10th edition,改訂G. G. Hawley,出版Van Nostrand Reinhold Co., New York, 225 (1981)を参照)。ALDの技術は、反応前駆体分子の飽和単分子層を化学吸着によって形成する原理に基づいている。ALDにおいては、一種以上の適切な前駆体組成または反応気体が、堆積室に交互に導入され(例えばパルス化されて)、基板の表面上に化学吸着される。反応化合物(例えば一種以上の前駆体組成および一種以上の反応気体)の各連続導入は、通常不活性搬送ガスパージによって隔てられる。各前駆体組成の共反応は、蓄積固体層(cumulative solid layer)を形成するために、既に堆積された層に新しい原子層を追加する。このサイクルは所望の層厚さを徐々に形成するために繰り返される。当然のことながら、ALDは、化学吸着される一種の前駆体組成と、化学吸着種と反応する一種の反応気体とを交互に利用することができる。
実質的に、化学吸着は堆積表面(例えば既に堆積されたALD材料)の全ての部分上では起こらない可能性がある。それでもやはり、そのような不完全な単分子層は本発明との関連においては単分子層とみなされる。多くの用途において、ただ単に実質的に飽和した単分子層が適切であることもある。実質的に飽和した単分子層は、それでもやはり堆積単分子層をもたらし、あるいは、所望の品質および/または特性を示す材料をそれほどもたらすものではない可能性もある。
典型的なALD工程は、初期基板を第一化学種A(例えば本明細書記載の金属含有化合物)に晒し、基板上への種の化学吸着を完成させることを含む。種Aは基板表面または種B(下記記載)のいずれとも反応することができるが、それ自身とは反応できない。通常化学吸着では、種Aの一種以上の配位子は基板表面上の反応基によって置換される。理論上では化学吸着は、均一に一つの原子または分子の厚みである単分子層を、晒された初期基板全体の上に形成し、その単分子層は任意の置換された配位子を差し引いた種Aから成る。言い換えれば、飽和単分子層が実質的に基板表面上に形成される。実質的に、化学吸着は基板の全ての部分上では起こらない可能性がある。それでもやはり、そのような部分的な単分子層は、本発明との関連においては単分子層として理解される。多くの用途において、ただ単に実質的に飽和した単分子層が適切であることもある。一つの態様では、実質的に飽和した単分子層は、それでもやはり堆積単分子層をもたらし、あるいは、所望の品質および/または特性を示す材料をそれほどもたらすものではない可能性もある。別の態様では、実質的に飽和した単分子層は、前駆体とのさらなる反応に対して自己制限的なものである。
置換配位子だけでなく、第一の種(例えば種Aの実質的に全ての非化学吸着分子)は基板全体からパージされ、さらに第二化学種である種B(例えば異なる金属含有化合物または反応気体)が、種Aの単分子層と反応するために用意される。種Bは通常、種A単分子層から残りの配位子を置換し、それによって化学吸着されて第二単分子層を形成する。この第二単分子層は種Aのみに反応する表面を示す。置換配位子、および他の反応副生成物だけでなく、非化学吸着種Bはその後パージされ、さらにこのステップは種Bの単分子層を蒸発した種Aに晒しながら繰り返される。第二の種は第一の種と随意に反応することができるが、それに加えてさらなる材料を化学吸着することはできない。つまり、第二の種は化学吸着された第一の種のいくらかの部分を開裂させることができ、その上に別の単分子層を形成することなくこうした単分子層を修正するが、それに続く単分子層の形成に利用可能な反応部位を残す。他のALD工程では、導入された種それぞれは、その導入の前に直ちに作り出される単分子層と反応するという理解の下に、第三の種またはそれ以上の種が引き続いて化学吸着され(あるいは反応し)、第一および第二の種でちょうど述べたようにパージされる。随意に、第二の種(または第三またはそれに続く種)は必要に応じて一種以上の反応気体を含むことができる。
従って、ALDの使用は基板上の金属含有層の厚さ、組成、および均一性の制御を改善する能力を提供する。例えば、金属含有化合物の薄層を複数サイクルで堆積させることは、最終的な膜厚のより精密な制御をもたらす。これは前駆体組成が基板に向けられ、その上で化学吸着することができる際に特に有利であり、好ましくはさらに基板上で化学吸着された種と反応する一種以上の反応気体を含み、さらにより好ましくはこのサイクルが一回以上繰り返される。
基板への堆積/化学吸着に続く、各種の余分な気体のパージ(purging)は、基板および/または単分子層を不活性搬送ガスと接触させること、ならびに/あるいは、基板および/または化学吸着種と接触する種の濃度を減らすために、堆積圧以下に圧力を下げることを含む、種々の技術を含み得るが、これらに限定はされない。搬送ガスの例は上記の通りN2、Ar、Heなどを含み得る。さらに、パージ(purging)はその代わり基板および/または単分子層を任意の物質に接触させることを含むこともあり、この物質は、化学吸着副生成物を脱着させることができ、別の種を導入する準備として接触する種の濃度を減らすようなものである。接触する種は、特定の堆積工程の生成物の規格に基づいて、当業者に既知のいくらか適切な濃度または分圧まで減らされることがある。
ALDはしばしば自己制限的工程として説明され、第一の種が化学結合を形成するかもしれない有限数の部位が基板上に存在する。第二の種は第一の種の化学吸着から作られた表面のみと反応し、従ってまた自己制限的である可能性がある。基板上の有限数の部位の全てが第一の種で結合されると、第一の種は既に基板と結合した第一の種以外とは結合しない。しかし、そのような結合を促進し、ALDを自己制限的でない状態にするために、処理条件はALDにおいて変更することができる(例えばよりパルスCVDに近づける)。従ってALDは、種の積み重ね、一つ以上の原子または分子の厚さの層の形成によって、一度に一種の単分子層以外を形成する種も含むことがある。
記載した方法は、第一前駆体の化学吸着の間における第二前駆体(すなわち第二の種)の“実質的な欠如”を示唆し、これはわずかな量の第二前駆体しか存在しない可能性があるためである。第二前駆体の許容量、および第二前駆体が実質的に存在しないようにするために選択される処理条件については、当業者の知識と選好に従って決定することができる。
従って、ALD工程の間、多数の連続的な堆積サイクルが堆積室で行われ、各サイクルは、関係する基板上に所望の厚さの層が構築されるまで、非常に薄い金属含有層(通常、増加率が平均でサイクルあたり0.2から3.0オングストロームである一種以下の単分子層)を堆積する。層堆積は、基板を含む堆積室に前駆体組成(一種または複数)を交互に導入すること(すなわちパルス化によって)、基板表面上に単分子層として前駆体組成(一種または複数)を化学吸着させること、堆積室をパージ(purging)すること、その後、反応気体および/または他の前駆体組成(一種または複数)を、金属含有層の所望の厚さが得られるまで、化学吸着された前駆体組成(一種または複数)に複数の堆積サイクルで導入することによって完成する。本発明の金属含有層の好ましい厚さは、1オングストローム(Å)以上、より好ましくは5Å以上、およびより好ましくは10Å以上である。さらに、好ましい膜厚は通常500Å以下で、より好ましくは400Å以下で、より好ましくは300Å以下である。
前駆体組成(一種または複数)および不活性搬送ガス(一種または複数)のパルス幅は、一般に基板表面を飽和するのに十分な幅である。通常、パルス幅は0.1以上、好ましくは0.2秒以上、より好ましくは0.5秒以上である。好ましいパルス幅は一般に5秒以下で、好ましくは3秒以下である。
主に熱駆動のCVDと比較して、ALDは主に化学的に駆動されている。従って、ALDはCVDよりもかなり低い温度で有利に行われ得る。ALD工程の間、基板温度は、化学吸着された前駆体組成(一種または複数)と内在する基板表面との間の完全な結合を維持し、前駆体組成(一種または複数)の分解を防ぐために十分低い温度で維持され得る。一方で温度は、前駆体組成(一種または複数)の凝縮を避けるために十分高くなければならない。通常、基板は25℃以上、好ましくは150℃以上、より好ましくは200℃以上の温度で維持される。通常基板は400℃以下、好ましくは300℃以下、より好ましくは250℃以下の温度で維持され、これは上記の通り、典型的なCVD工程で現在用いられる温度よりも一般に低い。従って、第一の種または前駆体組成はこの温度で化学吸着される。第二の種または前駆体組成の表面反応は、第一前駆体の化学吸着と実質的に同じ温度で、あるいは随意に、しかしより好ましくはないが、実質的に異なる温度で起こり得る。明らかに、当業者に判断されるように、温度におけるいくらか小さな違いが起こり得るが、第一前駆体の化学吸着温度で起こり得るものと統計的に同じ反応速度を提供することによって、それでもやはり実質的に同じ温度とみなされる。あるいは、化学吸着とそれに続く反応は、その代わりに実質的に全く同じ温度で起こり得る。
典型的な蒸着工程では、堆積室内の圧力は10-8トール(1.3 x 10-6 Pa)以上、好ましくは10-7トール(1.3 x 10-5 Pa)以上、より好ましくは10-6トール(1.3 x 10-4 Pa)以上である。さらに、堆積圧は通常10トール(1.3 x 103 Pa)以下、好ましくは1トール(1.3 x 102 Pa)以下、より好ましくは10-1トール(13 Pa)以下である。通常、堆積室は、気化した前駆体組成(一種または複数)が試験槽に導入された後、かつ/または各サイクルで反応した後、不活性搬送ガスでパージされる。不活性搬送ガス(一種または複数)は、各サイクルの間に気化した前駆体組成(一種または複数)と共に導入されることもできる。
前駆体組成の反応性はALDの処理変数に著しく影響を与えることができる。典型的なCVD工程の条件下では、反応性の高い化合物は気相内で反応し、微粒子を生成し、望ましくない表面上に早期に堆積し、質の悪い膜を生成し、かつ/または悪い段差被覆率をもたらし、あるいはそうでなければ不均一な堆積をもたらす。少なくともこのような理由のために、反応性の高い化合物はCVDには適さないとみなされることがある。しかし、CVDに適さないいくつかの化合物は優れたALD前駆体である。例えば、もし第一前駆体が第二前駆体と反応する気相ならば、そのような化合物の組み合わせはCVDには適さないかもしれないが、ALDにおいては利用することができる。CVDとの関連において、気相反応性の高い前駆体を用いる際、当業者に周知のように、付着係数と表面移動度の点でも懸念が存在し得るが、しかしALDとの関連においてはそのような懸念はほとんど存在しないか、あるいは皆無である。
基板上の層形成の後、還元雰囲気、不活性雰囲気、プラズマ雰囲気、または酸化雰囲気において、堆積室でin situにアニーリング処理が随意に行われることもある。好ましくは、アニーリング温度は400℃以上、より好ましくは600℃以上である。アニーリング温度は好ましくは1000℃以下、より好ましくは750℃以下、さらにより好ましくは700℃以下である。
アニーリング操作は好ましくは0.5分以上、より好ましくは1分以上の時間で行われる。さらに、アニーリング操作は好ましくは60分以下、より好ましくは10分以下の時間で行われる。
当業者は、そのような温度と時間は変更する可能性があることがわかるだろう。例えば、炉アニールと高速熱アニーリングが用いられ、さらに、こうしたアニールは一つ以上のアニーリングステップで行われる可能性がある。
上述の通り、本発明の膜形成の方法と化合物の利用は、半導体構造、特に高誘電性材料を用いるものにおいて、幅広い種類の薄膜利用に有益である。例えば、そのような利用はゲート誘電体、および平面セル、トレンチセル(例えば二重側壁トレンチコンデンサ)、スタックセル(例えばクラウンコンデンサ、V-セルコンデンサ、デルタセルコンデンサ、多指コンデンサ、または円筒容器スタックコンデンサ)などのコンデンサ、さらに電界効果トランジスタ装置を含む。
本発明の蒸着工程(化学蒸着または原子層堆積)を行うのに利用可能なシステムを図1に示した。システムは密閉された蒸着室 10 を含み、その中にターボポンプ 12 とバッキングポンプ 14 を用いて真空が作られ得る。一つ以上の基板 16 (例えば半導体基板またはアセンブリ基板)は蒸着室 10 に配置されている。一定の公称温度が基板 16 に設定され、これは用いられる処理によって変化することができる。基板 16 は、例えば電気抵抗加熱器 18 によって加熱され、基板 16 がその上にのっている。基板を加熱する他の既知の方法も利用されることがある。
この工程では、本明細書記載の前駆体組成 60 および/または 61 は、容器 62 に格納されている。前駆体組成(一種または複数)は気化され、例えば不活性搬送ガス 68 を用いて、ライン 64 および 66 に沿って堆積室 10 へ分けて供給される。必要に応じて反応気体 70 がライン 72 に沿って供給されることもある。また、不活性搬送ガス 68 としばしば同じであるパージガス 74 が、必要に応じてライン 76 に沿って供給されることもある。示した通り、一組の弁 80-85 は必要に応じて開閉される。
以下の実施例は本発明の様々な特定の実施形態と技術をさらに説明するために提供される。しかし当然のことながら、当業者に理解される多くの変更と修正が、本発明の範囲内にとどまりながら作られる可能性がある。従って、本発明の範囲は以下の実施例によって制限されるものではない。他に特に定めない限り、実施例に示される全ての百分率は重量百分率である。
[実施例1]:R1=R5=sec-ブチル基;R2=R4=メチル基;R3=Hである、構造式IIIの配位子源N-sec-ブチル-(4-sec-ブチルイミノ)-2-ペンテン-2-アミンの合成と特性解析
滴下ロートを取り付けた絶乾1-L Schlenkフラスコに、101 mLのsec-ブチルアミンおよび200 mLのジクロロメタンを詰めた。滴下ロートに103 mLの2,4-ペンタンジオンおよび400 mLのジクロロメタンを詰め、その後これをSchlenkフラスコ内の溶液に滴下した。得られた溶液をその後90時間攪拌した。その後反応の間に生成した水相を分離し、2x50 mL分量のジエチルエーテルで抽出した。混合した有機相を無水硫酸ナトリウムで乾燥させ、ロータリーエバポレーターで濃縮した。濃縮物をその後66℃、0.7トール(93 Pa)で蒸留し、留出物は無色透明な液体であった。70%の収率で108.4 gが集められた。留出物のガスクロマトグラフィー/質量分析(GC/MS)分析は、N-sec-ブチル-4-アミノ-3-ペンテン-2-オンと一致する質量スペクトルを持つ見かけ上の純度99.9%の化合物を示した。
絶乾500-mL Schlenkフラスコに38.0 gのテトラフルオロホウ酸トリエチルオキソニウム(0.2 mol)をアルゴン雰囲気下で詰め、滴下ロートを取り付けた。200 mLのジクロロメタンを加えると、無色透明な溶液が生成した。60 mL分量のジクロロメタンと31.05 gのN-sec-ブチル-4-アミノ-3-ペンテン-2-オン(0.2 mol)を滴下ロートに詰め、この溶液をSchlenkフラスコ内の溶液に滴下し、その後得られた溶液を30分攪拌した。20.2 mLのsec-ブチルアミン溶液(0.2 mol)および30 mLのジクロロメタンを滴下ロートに詰め、反応溶液に加え、その後一晩攪拌した。その後揮発物を真空で(in vacuo)除去し、得られた黄色油状固形物を60 mL分量の冷却酢酸エチルで洗浄し、その間フラスコは氷浴に置いた。この洗浄により固体沈殿物は観察されず、粗生成物のかなりの部分が融解したように見えた。酢酸エチル洗浄液をデカントした後、二回目の60 mL酢酸エチル洗浄を試み、同じ結果が得られた。混合した洗浄液と粗生成物を、8.0 gの水酸化ナトリウム(0.2 mol)を含む500 mLのベンゼンと500 mLの水の混合物に加えた。混合物を3分攪拌し、その後有機相を分離した。水相をそれぞれ100 mLのジエチルエーテル分量で四回抽出した。全ての有機相を混合し、硫酸ナトリウムで乾燥させ、ロータリーエバポレーターで濃縮した。その後粗生成物を20 cmガラス玉充填カラムおよび短経路蒸留ヘッド(short path still head)を通して蒸留した。所望生成物は60-63℃、80 mトール(10 Pa)の圧力で≧99%の純粋な形で収集された。見かけ上の純度はGC/MSで決定され、観察された唯一の不純物はN-sec-ブチル-4-アミノ-3-ペンテン-2-オンであった。
[実施例2]:M=Sr(n=2);R1=R5=sec-ブチル基;R2=R4=メチル基;R3=H;x=2;z=0である、構造式Iの金属含有化合物、ストロンチウムビス(N-sec-ブチル-(4-sec-ブチルイミノ)-2-ペンテン-2-アミナート)の合成と特性解析
乾燥した箱において、500 mLのSchlenkフラスコに7.765 gのストロンチウムビス(ヘキサメチルジシラザン)(19 mmol)および50 mLのトルエンを詰めた。二つ目のSchlenkフラスコに8.000 gのN-sec-ブチル-(4-sec-ブチルイミノ)-2-ペンテン-2-アミン(38 mmol)および50 mLのトルエンを詰めた。配位子溶液をストロンチウム溶液に加えると、直ちに琥珀色の反応溶液が生成し、これを18時間攪拌した。その後真空で(in vacuo)揮発物を除去した。粗生成物の褐色液体を、乾燥した箱において、短経路蒸留ヘッドとSchlenk受けフラスコを取り付けた50 mLの丸底Schlenkフラスコに詰めた。蒸留装置を真空管に取り付け、さらに脱気すると、蒸留ポット内でいくらかの凝固が生じた。完全真空において、蒸留ポットの加熱が始まった。透明な液体(おおよそ0.5 g)が60℃で集められ、GC/MSによりこの物質が配位子前駆体であることが確かめられた。第二受けフラスコを取り付け、生成物を完全真空で145-160℃で蒸留した。凝縮留出物が粘性になり過ぎて蒸留経路を詰まらせるのを防ぐため、蒸留ヘッドの“冷却管”を90℃のエチレングリコールで満たした。冷却後、収集した生成物は黄色のわずかに油状の固形物質を生じた。収率71.6%で6.585 gが収集された。C26H50N4Srで計算した元素分析:Sr, 17.3%、測定値16.6%。蒸留生成物の融点は44-48℃であると決定された。
1Hおよび13C核磁気共鳴(NMR)の結果は化合物の四つのジアステレオマー体の存在と一致した(二つの鏡像異性体の組と二つのメソ体)。1HNMR(C6D6, δ):4.190(m, 2H, J=2.4, 2.4 Hz, β-C-H)、3.330(m, 4H, J=6.3 Hz, N-CH(CH3)(CH2CH3))、1.873(d, 12H, J=2.4 Hz, α-C-CH 3)、1.506(m, 8H, J=1.4, 6.4 Hz, N-CH(CH3)(CH 2CH3))、1.253-1.220(d, 4組重複, 6H, J=6.15-6.45 Hz, N-CH(CH 3)(CH2CH3))、1.188-1.162(d, 4組重複, 6H, J=6.15-6.45 Hz, N-CH(CH 3)(CH2CH3))、0.970-0.897(d, 4組重複, 12H, J=6.2 Hz, N-CH(CH3)(CH2CH 3))。13CNMR(C6D6, δ):161.294, 161.226(α-C-CH3)、94.80, 86.96, 86.89, 86.70(β-CH)、56.19, 56.00, 52.67, 52.58(N-CH(CH3)(CH2CH3))、33.61, 33.56, 32.13, 32.04(N-CH(CH3)(CH2CH3))、23.86, 23.78, 23.67(α-C-CH3)、22.47, 22.39(N-CH(CH3)(CH2CH3))、11.63, 11.33, 10.81, 10.75(N-CH(CH3)(CH2 CH3))。
図2に示したように、R1=R5=sec-ブチル基(例えば表1において定量化されたようにsec-ブチル基の自由度3)である(構造式I)を持つ金属含有化合物は、R1=R5=イソプロピル基(87-89℃、例えば表1において定量化されたようにイソプロピル基の自由度1)、ならびにR1=R5=tert-ブチル基(127-129℃、例えば表1において定量化されたようにtert-ブチル基の自由度0)である(構造式I)を持つ対応する金属含有化合物の開示された融点に比べて低い融点(44-48℃)を持つ(El-Kaderi et al., Organometallics, 23:4995-5002 (2004)を参照)。
本明細書引用の特許、特許文献、および出版物の完全な開示は、それぞれが個別に組み込まれるように、その全体が参照によって組み込まれる。本発明に対する種々の変更と修正は、本発明の範囲と趣旨から逸脱することなく当業者に明らかとなるだろう。当然のことながら本発明は、本明細書で説明した実施形態と実施例に過度に制限されるものではなく、また、そのような実施例と実施形態は、以下の通り本明細書で説明する請求項によってのみ制限される、発明の範囲内のみの実施例としてあらわされる。
図1は、本発明の方法における使用に適した蒸着システムの概略図である。 図2は、一種以上のβ‐ジケチミナート配位子を持つ種々の金属含有化合物の、自由度(x軸)vs. 融点(℃;y軸)のグラフ表示であり、増加する自由度に対して減少する融点を図解している。自由度はLi et al.によってInorganic Chemistry, 44:1728-1735(2005)に記載された方法によって、また本明細書でさらに記載するように定量化した。SDtBKはゼロの自由度を持つ構造式Iの金属含有化合物をあらわし、ここでM=Sr(n=2)、R1=R5=tert-ブチル基、R2=R4=メチル基、R3=H、x=2、およびz=0である。SDiPtBKは2の自由度(二つのイソプロピル基)を持つ構造式Iの金属含有化合物をあらわし、ここでM=Sr(n=2)、R1=イソプロピル基(自由度1)、R5=tert-ブチル基、R2=R4=メチル基、R3=H、x=2、およびz=0である。SDiPKは4の自由度(四つのイソプロピル基)を持つ構造式Iの金属含有化合物をあらわし、ここでM=Sr(n=2)、R1=R5=イソプロピル基(各イソプロピル基は1の自由度を持つ)、R2=R4=メチル基、R3=H、x=2、およびz=0である。SDsBKは12の自由度(四つのsec-ブチル基)を持つ構造式Iの金属含有化合物をあらわし、ここでM=Sr(n=2)、R1=R5=sec-ブチル基(各sec-ブチル基は3の自由度を持つ)、R2=R4=メチル基、R3=H、x=2、およびz=0である。SDsBK(44-48℃)の融点は本明細書の実施例2に開示されている。SDtBK(127-129℃)とSDiPK(87-89℃)の融点はEl-Kaderi et al., Organometallics, 23:4995-5002 (2004)に開示されている。SDiPtBKの融点は109.5℃と測定された(2005年6月28日出願の米国出願No. 11/169,082表題"UNSYMMETRICAL LIGAND SOURCES, REDUCED SYMMETRY METAL-CONTAINING COMPOUNDS, AND SYSTEMS AND METHODS INCLUDING SAME"を参照)。

Claims (60)

  1. 金属含有層を基板上に形成する方法であり、前記方法は、
    基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I):
    ここで式中のMは、二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、但し一つ以上のR基がフッ素含有有機基であり、
    蒸着工程を用いて前記基板の一つ以上の表面上に金属含有層を形成するために、前記構造式Iの化合物を一種以上含む前記気体を前記基板と接触させること
    を含む方法。
  2. 各R1、R2、R3、R4、およびR5が独立に水素または1から10の炭素原子を持つ有機基であることを特徴とする、請求項1の方法。
  3. ここで一つ以上のLは、ハロゲン化物、アルコキシド基、アミド基、メルカプチド基、シアン化物、アルキル基、アミジナート基、グアニジナート基、イソウレアート基、β‐ジケトナート基、β‐イミノケトナート基、β‐ジケチミナート基、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項1の方法。
  4. 前記一つ以上のLが、構造式Iに示したβ‐ジケチミナート配位子と同じ構造を持つβ‐ジケチミナート基であることを特徴とする、請求項3の方法。
  5. 前記一つ以上のLが、構造式Iに示したβ‐ジケチミナート配位子とは異なる構造を持つβ‐ジケチミナート基であることを特徴とする、請求項3の方法。
  6. 一つ以上のYが、カルボニル基、ニトロシル基、アンモニア、アミン、窒素、ホスフィン、アルコール、水、テトラヒドロフラン、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項1の方法。
  7. 半導体構造を製造する方法であり、前記方法は、
    半導体基板またはアセンブリ基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I):
    ここで式中のMは、二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、但し一つ以上のR基がフッ素含有有機基であり、
    蒸着工程を用いて前記半導体基板またはアセンブリ基板の一つ以上の表面上に金属含有層を形成するために、前記構造式Iの化合物を一種以上含む前記気体を前記半導体基板またはアセンブリ基板に向けること
    を含む方法。
  8. 構造式Iと異なる一種以上の金属含有化合物を含む気体を用意すること、ならびに、前記構造式Iと異なる一種以上の金属含有化合物を含む前記気体を前記半導体基板またはアセンブリ基板に向けることをさらに含む、請求項7の方法。
  9. 前記構造式Iと異なる一種以上の金属含有化合物の金属が、Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Alおよびそれらの組み合わせから成る群から選択されることを特徴とする、請求項8の方法。
  10. 一種以上の反応気体を用意することをさらに含む、請求項7の方法。
  11. 前記蒸着工程が化学蒸着工程である、請求項7の方法。
  12. 前記蒸着工程が、複数の堆積サイクルを含む原子層堆積工程である、請求項7の方法。
  13. 金属含有層を基板上に形成する方法であり、前記方法は
    基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分であり、
    蒸着工程を用いて前記基板の一つ以上の表面上に金属含有層を形成するために、前記構造式Iの化合物を一種以上含む前記気体を前記基板に接触させること
    を含む方法。
  14. R2、R3、およびR4の一つ以上がsec-ブチル基である、請求項13の方法。
  15. 半導体構造を製造する方法であり、前記方法は、
    半導体基板またはアセンブリ基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分であり、
    蒸着工程を用いて前記半導体基板またはアセンブリ基板の一つ以上の表面上に金属含有層を形成するために、前記構造式Iの化合物を一種以上含む前記気体を前記半導体基板またはアセンブリ基板に向けること
    を含む方法。
  16. 構造式Iと異なる一種以上の金属含有化合物を含む気体を用意することと、ならびに、前記構造式Iと異なる一種以上の金属含有化合物を含む前記気体を前記半導体基板またはアセンブリ基板に向けることをさらに含む、請求項15の方法。
  17. 前記構造式Iと異なる一種以上の金属含有化合物の金属が、Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Alおよびそれらの組み合わせから成る群から選択されることを特徴とする、請求項16の方法。
  18. 一種以上の反応気体を用意することをさらに含む、請求項15の方法。
  19. 前記蒸着工程が化学蒸着工程である、請求項15の方法。
  20. 前記蒸着工程が、複数の堆積サイクルを含む原子層堆積工程である、請求項15の方法。
  21. 金属含有層を基板上に形成する方法であり、前記方法は
    基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR1とR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分であり、
    蒸着工程を用いて前記基板の一つ以上の表面上に金属含有層を形成するために、前記構造式Iの化合物を一種以上含む前記気体を前記基板に接触させること
    を含む方法。
  22. R1とR5の一つ以上がsec-ブチル基である、請求項21の方法。
  23. 半導体構造を製造する方法であり、前記方法は、
    半導体基板またはアセンブリ基板を用意することと、
    (構造式I)の化合物を一種以上含む気体を用意することと、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR1とR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分であり、
    蒸着工程を用いて前記半導体基板またはアセンブリ基板の一つ以上の表面上に金属含有層を形成するために、前記構造式Iの化合物を一種以上含む前記気体を前記半導体基板またはアセンブリ基板に向けること
    を含む方法。
  24. 構造式Iと異なる一種以上の金属含有化合物を含む気体を用意することと、ならびに、前記構造式Iと異なる一種以上の金属含有化合物を含む前記気体を前記半導体基板またはアセンブリ基板に向けることをさらに含む、請求項23の方法。
  25. 前記構造式Iと異なる一種以上の金属含有化合物の金属が、Ti、Ta、Bi、Hf、Zr、Pb、Nb、Mg、Alおよびそれらの組み合わせから成る群から選択されることを特徴とする、請求項24の方法。
  26. 一種以上の反応気体を用意することをさらに含む、請求項23の方法。
  27. 前記蒸着工程が化学蒸着工程である、請求項23の方法。
  28. 前記蒸着工程が、複数の堆積サイクルを含む原子層堆積工程である、請求項23の方法。
  29. (構造式I)の化合物であり、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、但し一つ以上のR基がフッ素含有有機基であることを特徴とする、
    構造式Iの化合物。
  30. 各R1、R2、R3、R4、およびR5が独立に水素または1から10の炭素原子を持つ有機基である、請求項29の化合物。
  31. MがCa、Sr、Ba、およびそれらの組み合わせから成る群から選択される、請求項29の化合物。
  32. 一つ以上のLは、ハロゲン化物、アルコキシド基、アミド基、メルカプチド基、シアン化物、アルキル基、アミジナート基、グアニジナート基、イソウレアート基、β‐ジケトナート基、β‐イミノケトナート基、β‐ジケチミナート基、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項29の化合物。
  33. 前記一つ以上のLが、構造式Iに示したβ‐ジケチミナート配位子と同じ構造を持つβ‐ジケチミナート基であることを特徴とする、請求項32の化合物。
  34. 前記一つ以上のLが、構造式Iに示したβ‐ジケチミナート配位子とは異なる構造を持つβ‐ジケチミナート基であることを特徴とする、請求項32の化合物。
  35. 一つ以上のYが、カルボニル基、ニトロシル基、アンモニア、アミン、窒素、ホスフィン、アルコール、水、テトラヒドロフラン、およびそれらの組み合わせから成る群から選択されることを特徴とする、請求項29の化合物。
  36. 金属含有化合物を生成する方法であり、前記方法は、
    (構造式III)の配位子源、
    (構造式III):
    その互変異性体、もしくは脱プロトン化した共役塩基またはその金属錯体、
    随意にアニオン配位子Lの源と、
    随意に中性配位子Yの源と、ならびに
    金属(M)源と
    を含む成分を混合することを含み、
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、但し一つ以上のR基がフッ素含有有機基であり、
    前記金属(M)源が二族金属源、三族金属源、ランタニド金属源、およびそれらの組み合わせから成る群から選択され、(構造式I)の金属含有化合物をもたらすのに十分な条件下であり、
    (構造式I):
    ここで式中のM、L、Y、R1、R2、R3、R4、およびR5は上記で定めた通りで、nは金属の原子価状態をあらわし、zは0から10、xは1からnであることを特徴とする、
    金属含有化合物の生成方法。
  37. 前記金属(M)源がM(0)、M(II)ハロゲン化物、M(II)擬ハロゲン化物、M(II)アミド、またはそれらの組み合わせを含むことを特徴とする、請求項36の方法。
  38. MがCa、Sr、Ba、およびそれらの組み合わせから成る群から選択される、請求項36の方法。
  39. 蒸着工程のための前駆体組成であり、前記組成は(構造式I)の化合物を一種以上含み、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、但し一つ以上のR基がフッ素含有有機基であることを特徴とする、
    蒸着工程のための前駆体組成。
  40. (構造式I)の化合物であり、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは前記金属の原子化状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分であることを特徴とする、
    構造式Iの化合物。
  41. MがCa、Sr、Baおよびそれらの組み合わせから成る群から選択される、請求項40の化合物。
  42. 金属含有化合物を生成する方法であり、前記方法は、
    (構造式III)の配位子源、
    (構造式III):
    その互変異性体、もしくは脱プロトン化した共役塩基またはその金属錯体、
    随意にアニオン配位子Lの源、
    随意に中性配位子Yの源、ならびに、
    金属(M)源とを含む成分を混合することを含み、
    ここで式中の各R1、R2、R3、R4およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分であり、
    金属(M)源が二族金属源、三族金属源、ランタニド金属源、およびそれらの組み合わせから成る群から選択され、(構造式I)の金属含有化合物をもたらすのに十分な条件下であり、
    (構造式I):
    ここで式中のM、L、Y、R1、R2、R3、R4、およびR5は上記で定めた通りであり、nは金属の原子価状態をあらわし、zは0から10、xは1からnであることを特徴とする、
    金属含有化合物の生成方法。
  43. 前記金属(M)源がM(0)、M(II)ハロゲン化物、M(II)擬ハロゲン化物、M(II)アミド、またはそれらの組み合わせを含むことを特徴とする、請求項42の方法。
  44. MがCa、Sr、Ba、およびそれらの組み合わせから成る群から選択される、請求項42の方法。
  45. 蒸着工程のための前駆体組成であり、前記組成は(構造式I)の化合物を一種以上含み、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分であることを特徴とする、
    蒸着工程のための前駆体組成。
  46. (構造式I)の化合物であり、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR1とR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分であることを特徴とする、
    構造式Iの化合物。
  47. MがCa、Sr、Ba、およびそれらの組み合わせから成る群から選択される、請求項46の化合物。
  48. 金属含有化合物を生成する方法であり、前記方法は、
    (構造式III)の配位子源、
    (構造式III):
    その互変異性体、もしくは脱プロトン化した共役塩基またはその金属錯体、
    随意にアニオン配位子Lの源、
    随意に中性配位子Yの源、ならびに、
    金属(M)源を含む成分を混合することを含み、
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR1とR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分であり、
    ここで金属(M)源は二族金属源、三族金属源、ランタニド金属源、およびそれらの組み合わせから成る群から選択され、(構造式I)の金属含有化合物をもたらすのに十分な条件下であり、
    (構造式I):
    ここで式中のM、L、Y、R1、R2、R3、R4、およびR5は上記で定めた通りであり、nは金属の原子価状態をあらわし、zは0から10であり、xは1からnであることを特徴とする、
    金属含有化合物の生成方法。
  49. 前記金属(M)源がM(0)、M(II)ハロゲン化物、M(II)擬ハロゲン化物、M(II)アミド、またはそれらの組み合わせを含むことを特徴とする、請求項48の方法。
  50. MがCa、Sr、Ba、およびそれらの組み合わせから成る群から選択される、請求項48の方法。
  51. 蒸着工程のための前駆体組成であり、前記組成は(構造式I)の化合物を一種以上含み、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR1とR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分であることを特徴とする、
    蒸着工程のための前駆体組成。
  52. 構造式(III)の配位子源であり、
    構造式(III):
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素また脂肪族基であり、但し一つ以上のR基がフッ素含有脂肪族基であることを特徴とする、
    構造式IIIの配位子源。
  53. β‐ジケチミナート配位子源を生成する方法であり、前記方法は、
    構造式R1NH2のアミンと、
    (構造式IV)の化合物またはその互変異性体と、
    (構造式IV):
    ならびに活性化剤とを含む成分を、(構造式III)の配位子源またはその互変異性体をもたらすのに十分な条件下で混合することを含み、
    (構造式III):
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素または脂肪族基であり、但し一つ以上のR基がフッ素含有脂肪族基であることを特徴とする、
    β‐ジケチミナート配位子源の生成方法。
  54. (構造式III)の配位子源またはその互変異性体であり、
    (構造式III):
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分であることを特徴とする、
    構造式IIIの配位子源またはその互変異性体。
  55. β‐ジケチミナート配位子源を生成する方法であり、前記方法は、
    構造式R1NH2のアミンと、
    (構造式IV)の化合物またはその互変異性体と、
    (構造式IV):
    ならびに活性化剤とを含む成分を、(構造式III)の配位子源またはその互変異性体をもたらすのに十分な条件下で混合することを含み、
    (構造式III):
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分であることを特徴とする、
    β‐ジケチミナート配位子源の生成方法。
  56. 構造式(III)の配位子源またはその互変異性体であり、
    構造式(III):
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR1とR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分であることを特徴とする、
    構造式IIIの配位子源またはその互変異性体。
  57. β‐ジケチミナート配位子源を生成する方法であり、前記方法は、
    構造式R1NH2のアミンと、
    (構造式IV)の化合物またはその互変異性体、
    (構造式IV):
    ならびに活性化剤とを含む成分を、(構造式III)の配位子源またはその互変異性体をもたらすのに十分な条件下で混合することを含み、
    (構造式III):
    ここで式中の各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つアルキル基であり、但しR1とR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分であることを特徴とする、
    β‐ジケチミナート配位子源の生成方法。
  58. 蒸着システムであり、
    その中に基板を配置した堆積室と、
    (構造式I)の化合物を一種以上含む一つ以上の容器とを含み、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    xは1からnであり、
    各R1、R2、R3、R4、およびR5は独立に水素または有機基であり、但し一つ以上のR基がフッ素含有有機基であることを特徴とする、
    蒸着システム。
  59. 蒸着システムであり、
    その中に基板を配置した堆積室と、
    (構造式I)の化合物を一種以上含む一つ以上の容器とを含み、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR2、R3、およびR4の一つ以上が、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、tert-ペンチル基、およびネオペンチル基から成る群から選択される部分であることを特徴とする、
    蒸着システム。
  60. 蒸着システムであり、
    その中に基板を配置した堆積室、ならびに、
    (構造式I)の化合物を一種以上含む一つ以上の容器とを含み、
    (構造式I):
    ここで式中のMは二族金属、三族金属、ランタニド、およびそれらの組み合わせから成る群から選択され、
    各Lは独立にアニオン配位子であり、
    各Yは独立に中性配位子であり、
    nは金属の原子価状態をあらわし、
    zは0から10であり、
    各R1、R2、R3、R4、およびR5は独立に水素または1から5の炭素原子を持つ脂肪族基であり、但しR1とR5の一つ以上が、n-プロピル基、n-ブチル基、sec-ブチル基、イソブチル基、n-ペンチル基、2-ペンチル基、3-ペンチル基、2-メチル-1-ブチル基、3-メチル-2-ブチル基、イソペンチル基、およびtert-ペンチル基から成る群から選択される部分であることを特徴とする、
    蒸着システム。
JP2008519487A 2005-06-28 2006-06-27 β‐ジケチミナート配位子源およびその金属含有化合物、およびそれらを含むシステムと方法 Withdrawn JP2009503247A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/169,065 US7439338B2 (en) 2005-06-28 2005-06-28 Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
PCT/US2006/024996 WO2007002673A2 (en) 2005-06-28 2006-06-27 Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same

Publications (1)

Publication Number Publication Date
JP2009503247A true JP2009503247A (ja) 2009-01-29

Family

ID=37562058

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008519487A Withdrawn JP2009503247A (ja) 2005-06-28 2006-06-27 β‐ジケチミナート配位子源およびその金属含有化合物、およびそれらを含むシステムと方法

Country Status (7)

Country Link
US (3) US7439338B2 (ja)
EP (1) EP1911074A2 (ja)
JP (1) JP2009503247A (ja)
KR (1) KR101244960B1 (ja)
CN (1) CN101208784A (ja)
TW (1) TWI390070B (ja)
WO (1) WO2007002673A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008311664A (ja) * 2007-06-15 2008-12-25 Samsung Electronics Co Ltd 相変化メモリ装置及びその形成方法
JP2018035072A (ja) * 2016-08-29 2018-03-08 株式会社Adeka ジアザジエニル化合物、薄膜形成用原料及び薄膜の製造方法
WO2021085210A1 (ja) * 2019-11-01 2021-05-06 株式会社Adeka 新規化合物、該化合物を含有する薄膜形成用原料及び薄膜の製造方法

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323581B1 (en) * 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US6960675B2 (en) * 2003-10-14 2005-11-01 Advanced Technology Materials, Inc. Tantalum amide complexes for depositing tantalum-containing films, and method of making same
US7439338B2 (en) 2005-06-28 2008-10-21 Micron Technology, Inc. Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
US7572731B2 (en) * 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US7416994B2 (en) * 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US7799377B2 (en) * 2006-12-07 2010-09-21 Electronics And Telecommunications Research Institute Organic/inorganic thin film deposition method
US7750173B2 (en) 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
US20080286464A1 (en) * 2007-05-16 2008-11-20 Air Products And Chemicals, Inc. Group 2 Metal Precursors For Depositing Multi-Component Metal Oxide Films
US8247617B2 (en) * 2007-05-16 2012-08-21 Air Products And Chemicals, Inc. Group 2 metal precursors for depositing multi-component metal oxide films
US20100209610A1 (en) * 2007-07-16 2010-08-19 Advanced Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films
KR20100109567A (ko) * 2008-02-01 2010-10-08 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 베타-디케티미나토 리간드를 함유하는 새로운 금속 전구체
KR101048622B1 (ko) 2008-03-07 2011-07-14 서울대학교산학협력단 연료전지 전극 소재용 백금계 합금 촉매의 제조 방법
US8613902B2 (en) * 2008-04-04 2013-12-24 Los Alamos National Security, Llc Hydrogen production using ammonia borane
US8313807B2 (en) * 2008-08-05 2012-11-20 Air Products And Chemicals, Inc. High coordination sphere group 2 metal β-diketiminate precursors
US20100130779A1 (en) * 2008-11-21 2010-05-27 Air Products And Chemicals, Inc. Volatile Group 2 Metal 1,3,5-Triazapentadienate Compounds
US8859045B2 (en) * 2012-07-23 2014-10-14 Applied Materials, Inc. Method for producing nickel-containing films
US9194040B2 (en) 2012-07-25 2015-11-24 Applied Materials, Inc. Methods for producing nickel-containing films
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
GB201412201D0 (en) * 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
JP5952460B1 (ja) 2015-05-12 2016-07-13 田中貴金属工業株式会社 有機白金化合物からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法
CN109234701B (zh) * 2018-09-28 2020-11-10 航天材料及工艺研究所 一种化学气相沉积铼层的装置及方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US752731A (en) * 1904-02-23 Coupling
US5256233A (en) * 1989-09-11 1993-10-26 Dayco Products, Inc. Flexible hose construction and method of making the same
DE4202889C2 (de) 1992-02-01 1994-12-15 Solvay Deutschland Verfahren zur Abscheidung von ein Metall der ersten Übergangsmetallreihe oder Aluminium enthaltenden Schichten und 1,3-Diketiminato-Metall-Verbindungen
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5342645A (en) * 1993-09-15 1994-08-30 Minnesota Mining And Manufacturing Company Metal complex/cyanoacrylate compositions useful in latent fingerprint development
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
JP2000087241A (ja) * 1998-09-15 2000-03-28 Sharp Corp 前駆体を(アルキルオキシ)(アルキル)シリロレフィンリガンドと共に用いる銅堆積方法
KR100418581B1 (ko) * 2001-06-12 2004-02-11 주식회사 하이닉스반도체 메모리 소자의 제조방법
KR100418569B1 (ko) * 2001-12-10 2004-02-14 주식회사 하이닉스반도체 단원자층증착을 이용한 고유전체 박막 형성방법
US6939578B2 (en) * 2002-01-18 2005-09-06 E. I. Du Pont De Nemours And Company Volatile copper(II) complexes for deposition of copper films by atomic layer deposition
KR100700632B1 (ko) 2002-06-11 2007-03-28 주식회사 메카로닉스 금속을 포함하는 박막의 화학기상증착 또는 원자층증착을위한 다용도 유기금속화합물과 그 제조방법
US20050227007A1 (en) * 2004-04-08 2005-10-13 Bradley Alexander Z Volatile copper(I) complexes for deposition of copper films by atomic layer deposition
US20040247905A1 (en) * 2003-04-16 2004-12-09 Bradley Alexander Zak Volatile copper(I) complexes for deposition of copper films by atomic layer deposition
KR101021875B1 (ko) 2003-08-07 2011-03-17 주성엔지니어링(주) 개선된 균일도를 가지는 하프늄막의 금속유기화학적증착방법
KR20060096445A (ko) 2003-10-29 2006-09-11 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7416994B2 (en) * 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US7439338B2 (en) 2005-06-28 2008-10-21 Micron Technology, Inc. Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
US7572731B2 (en) 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008311664A (ja) * 2007-06-15 2008-12-25 Samsung Electronics Co Ltd 相変化メモリ装置及びその形成方法
JP2018035072A (ja) * 2016-08-29 2018-03-08 株式会社Adeka ジアザジエニル化合物、薄膜形成用原料及び薄膜の製造方法
WO2018042871A1 (ja) * 2016-08-29 2018-03-08 株式会社Adeka ジアザジエニル化合物、薄膜形成用原料及び薄膜の製造方法
WO2021085210A1 (ja) * 2019-11-01 2021-05-06 株式会社Adeka 新規化合物、該化合物を含有する薄膜形成用原料及び薄膜の製造方法
KR20220088907A (ko) 2019-11-01 2022-06-28 가부시키가이샤 아데카 신규 화합물, 그 화합물을 함유하는 박막 형성용 원료 및 박막의 제조 방법

Also Published As

Publication number Publication date
TWI390070B (zh) 2013-03-21
KR20080021708A (ko) 2008-03-07
TW200706683A (en) 2007-02-16
WO2007002673A3 (en) 2007-04-12
US8357784B2 (en) 2013-01-22
KR101244960B1 (ko) 2013-03-18
US8017184B2 (en) 2011-09-13
CN101208784A (zh) 2008-06-25
US20060292303A1 (en) 2006-12-28
EP1911074A2 (en) 2008-04-16
US7439338B2 (en) 2008-10-21
WO2007002673A2 (en) 2007-01-04
US20110301383A1 (en) 2011-12-08
US20090075488A1 (en) 2009-03-19

Similar Documents

Publication Publication Date Title
JP5181292B2 (ja) 非対称配位子源、低対称性金属含有化合物、およびそれらを含むシステムと方法
KR101244960B1 (ko) 베타-디케티미네이트 리간드 공급원 및 이의 금속-함유화합물; 및 이를 포함하는 시스템 및 방법
JP5003978B2 (ja) アルカリ土類金属β‐ジケチミナート前駆体を用いた原子層堆積
JP6596737B2 (ja) アミドイミン配位子を含む金属複合体
US7462559B2 (en) Systems and methods for forming metal-containing layers using vapor deposition processes
JP2005537638A (ja) 金属有機アミンと金属有機酸化物を用いて金属酸化物を形成するシステムおよび方法
TWI756699B (zh) 用於薄膜沉積的新穎第v及vi族過渡金屬前驅物

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20090901