JP2009157338A - Pattern forming method and resist material for use therein - Google Patents

Pattern forming method and resist material for use therein Download PDF

Info

Publication number
JP2009157338A
JP2009157338A JP2008150504A JP2008150504A JP2009157338A JP 2009157338 A JP2009157338 A JP 2009157338A JP 2008150504 A JP2008150504 A JP 2008150504A JP 2008150504 A JP2008150504 A JP 2008150504A JP 2009157338 A JP2009157338 A JP 2009157338A
Authority
JP
Japan
Prior art keywords
group
pattern
resist film
carbon atoms
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008150504A
Other languages
Japanese (ja)
Other versions
JP5013115B2 (en
Inventor
Jun Hatakeyama
畠山  潤
Kazuhiro Katayama
和弘 片山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2008150504A priority Critical patent/JP5013115B2/en
Publication of JP2009157338A publication Critical patent/JP2009157338A/en
Application granted granted Critical
Publication of JP5013115B2 publication Critical patent/JP5013115B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a pattern forming method to cure a first time resist film by irradiation of light extremely short in wavelength of 300 nm or less and to prevent dissolution of a first time resist pattern into a developing agent at first and second time resist film mixing and second time development, and a resist material used for the method. <P>SOLUTION: This pattern forming method has steps of forming a first resist film by applying on a substrate a first positive type resist material containing a high molecular compound obtained by copolymerizing a phenolic group-containing repetition units and repetition units whose alkali solubility improves with an acid, exposing the film with high energy rays after heat treatment, developing the film with a developing agent, then crosslinking and curing a first resist film 30 by irradiation of high energy rays with a wavelength of 300 nm or less, forming a second resist film 50 by applying a second positive type resist material on the substrate additionally, exposing the second resist film 50 with high energy rays after heat-treatment, and developing the second resist film using the developing agent after heat-treatment. Therefore, double patterning can be performed by insolubilizing a first pattern after formation with the first positive type resist material, applying the second resist material on it, and forming a second pattern in a space portion of the first pattern, and thus the substrate can be processed with one time of dry etching. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、特に1回目の露光でパターンを形成し、極短波長光や電子線(EB)の照射によって1回目に形成されたパターンを有機溶媒やアルカリ水溶液に不溶にすることによって、1回目の露光で形成されたパターンのスペース部分に2回目の露光でラインパターンを形成して、パターン間の距離を縮小する方法として有効なパターン形成方法及びこれに用いるレジスト材料に関するものである。   In the present invention, the pattern is formed by the first exposure, and the first pattern is made insoluble in an organic solvent or an alkaline aqueous solution by irradiation with ultrashort wavelength light or electron beam (EB). The present invention relates to a pattern forming method effective as a method for reducing a distance between patterns by forming a line pattern in a space portion of a pattern formed by the second exposure and performing a second exposure, and a resist material used therefor.

近年、LSIの高集積化と高速度化に伴い、パターンルールの微細化が求められている中、現在汎用技術として用いられている光露光では、光源の波長に由来する本質的な解像度の限界に近づきつつある。レジストパターン形成の際に使用する露光光として、1980年代には水銀灯のg線(436nm)もしくはi線(365nm)を光源とする光露光が広く用いられた。更なる微細化のための手段として、露光波長を短波長化する方法が有効とされ、1990年代の64Mビット(加工寸法が0.25μm以下)DRAM(ダイナミック・ランダム・アクセス・メモリー)以降の量産プロセスには、露光光源としてi線(365nm)に代わって短波長のKrFエキシマレーザー(248nm)が利用された。しかし、更に微細な加工技術(加工寸法が0.2μm以下)を必要とする集積度256M及び1G以上のDRAMの製造には、より短波長の光源が必要とされ、10年ほど前からArFエキシマレーザー(193nm)を用いたフォトリソグラフィーが本格的に検討されてきた。当初ArFリソグラフィーは180nmノードのデバイス作製から適用されるはずであったが、KrFエキシマリソグラフィーは130nmノードデバイス量産まで延命され、ArFリソグラフィーの本格適用は90nmノードからである。更に、NAを0.9にまで高めたレンズと組み合わせて65nmノードデバイスの検討が行われている。次の45nmノードデバイスには露光波長の短波長化が推し進められ、波長157nmのF2リソグラフィーが候補に挙がった。しかしながら、投影レンズに高価なCaF2単結晶を大量に用いることによるスキャナーのコストアップ、ソフトペリクルの耐久性が極めて低いためのハードペリクル導入に伴う光学系の変更、レジスト膜のエッチング耐性低下等の種々問題により、F2リソグラフィーの先送りと、ArF液浸リソグラフィーの早期導入が提唱された(非特許文献1:Proc. SPIE Vol. 4690 xxix)。 In recent years, with the higher integration and higher speed of LSIs, there is a demand for finer pattern rules. In light exposure currently used as a general-purpose technology, the intrinsic resolution limit derived from the wavelength of the light source Is approaching. As exposure light used for forming a resist pattern, light exposure using g-ray (436 nm) or i-line (365 nm) of a mercury lamp as a light source was widely used in the 1980s. As a means for further miniaturization, the method of shortening the exposure wavelength is effective, and mass production after 64 Mbit (process size is 0.25 μm or less) DRAM (Dynamic Random Access Memory) in the 1990s In the process, a KrF excimer laser (248 nm) having a short wavelength was used as an exposure light source instead of i-line (365 nm). However, in order to manufacture DRAMs with a density of 256M and 1G or more that require finer processing technology (processing dimension is 0.2 μm or less), a light source with a shorter wavelength is required, and an ArF excimer has been used for about 10 years. Photolithography using a laser (193 nm) has been studied in earnest. Initially, ArF lithography was supposed to be applied from the device fabrication of the 180 nm node, but KrF excimer lithography was extended to 130 nm node device mass production, and full-scale application of ArF lithography is from the 90 nm node. Further, a 65 nm node device is being studied in combination with a lens whose NA is increased to 0.9. For the next 45 nm node device, the exposure wavelength has been shortened, and F 2 lithography with a wavelength of 157 nm was nominated. However, the cost of the scanner is increased by using a large amount of expensive CaF 2 single crystal for the projection lens, the optical system is changed due to the introduction of the hard pellicle because the durability of the soft pellicle is extremely low, and the etching resistance of the resist film is reduced. Due to various problems, F 2 lithography was postponed and early introduction of ArF immersion lithography was proposed (Non-patent Document 1: Proc. SPIE Vol. 4690 xxix).

ArF液浸リソグラフィーにおいて、投影レンズとウエハーの間に水を含浸させることが提案されている。193nmにおける水の屈折率は1.44であり、NA(開口数)1.0以上のレンズを使ってもパターン形成が可能で、理論上はNAを1.44近くにまで上げることができる。当初、水温変化に伴う屈折率変化による解像性の劣化やフォーカスのシフトが指摘された。水温を1/100℃以内にコントロールすることと、露光によるレジスト膜からの発熱による影響もほぼ心配ないことが確認され、屈折率変化の問題が解決された。水中のマイクロバブルがパターン転写されることも危惧されたが、水の脱気を十分に行うことと、露光によるレジスト膜からのバブル発生の心配がないことが確認された。1980年代の液浸リソグラフィーの初期段階では、ステージを全て水に浸ける方式が提案されていたが、高速スキャナーの動作に対応するために投影レンズとウエハーの間のみに水を挿入し、水の給排水ノズルを備えたパーシャルフィル方式が採用された。水を用いた液浸によって原理的にはNAが1以上のレンズ設計が可能になったが、従来の屈折率系による光学系では巨大なレンズになってしまい、レンズが自身の自重によって変形してしまう問題が生じた。よりコンパクトなレンズ設計のために反射屈折(Catadioptric)光学系が提案され、NA1.0以上のレンズ設計が加速された。NA1.2以上のレンズと強い超解像技術の組み合わせで45nmノードの可能性が示され(非特許文献2:Proc. SPIE Vol. 5040 p724)、更にはNA1.35のレンズの開発も行われている。   In ArF immersion lithography, it has been proposed to impregnate water between the projection lens and the wafer. The refractive index of water at 193 nm is 1.44, and pattern formation is possible even with a lens having an NA (numerical aperture) of 1.0 or more. Theoretically, the NA can be increased to near 1.44. Initially, it was pointed out that the resolution was deteriorated and the focus shifted due to the change in refractive index accompanying the change in water temperature. It was confirmed that the water temperature was controlled within 1/100 ° C. and the influence of the heat generated from the resist film due to exposure was almost no worry, and the problem of refractive index change was solved. It was feared that microbubbles in the water could be transferred to the pattern, but it was confirmed that the water was sufficiently degassed and that there was no risk of bubble formation from the resist film due to exposure. In the early stage of immersion lithography in the 1980s, a method was proposed in which all stages were immersed in water, but water was inserted only between the projection lens and the wafer to accommodate the operation of the high-speed scanner, and water was supplied and drained. A partial fill system with a nozzle was adopted. In principle, lens design with an NA of 1 or more became possible by immersion using water, but the conventional optical system based on the refractive index system became a huge lens, and the lens was deformed by its own weight. There was a problem. A catadioptric optical system has been proposed for a more compact lens design, and a lens design with NA of 1.0 or more has been accelerated. The possibility of a 45 nm node is shown by combining a lens with NA 1.2 or higher and strong super-resolution technology (Non-patent Document 2: Proc. SPIE Vol. 5040 p724), and further, a lens with NA 1.35 has been developed. ing.

32nmノードのリソグラフィー技術としては、波長13.5nmの真空紫外光(EUV)リソグラフィーが候補に挙げられている。EUVリソグラフィーの問題点としてはレーザーの高出力化、レジスト膜の高感度化、高解像度化、低ラインエッジラフネス(LWR)化、無欠陥MoSi積層マスク、反射ミラーの低収差化などが挙げられ、克服すべき問題が山積している。   As a lithography technique for the 32 nm node, vacuum ultraviolet light (EUV) lithography with a wavelength of 13.5 nm is cited as a candidate. Problems of EUV lithography include higher laser output, higher resist film sensitivity, higher resolution, lower line edge roughness (LWR), defect-free MoSi laminated mask, lower reflection mirror aberration, etc. There are many problems to overcome.

NA1.35レンズを使った水液浸リソグラフィーの最高NAで到達できる解像度は40〜38nmであり、32nmには到達できない。そこで更にNAを高めるための高屈折率材料の開発が行われている。レンズのNAの限界を決めるのは投影レンズ、液体、レジスト膜の中で最小の屈折率である。水液浸の場合、投影レンズ(合成石英で屈折率1.5)、レジスト膜(従来のメタクリレート系で屈折率1.7)に比べて水の屈折率が最も低く、水の屈折率によって投影レンズのNAが決まっていた。最近、屈折率1.65の高透明な液体が開発されてきている。この場合、合成石英による投影レンズの屈折率が最も低く、屈折率の高い投影レンズ材料を開発する必要がある。LUAG(Lu3Al512)ガーネットは屈折率が2以上であり、最も期待される材料ではあるが、複屈折率と吸収が大きい問題を持っている。また、屈折率1.8以上の投影レンズ材料が開発されたとしても屈折率1.65の液体ではNAは1.55止まりであり、32nmを解像できない。32nmを解像するには屈折率1.8以上の液体が必要である。今のところ吸収と屈折率がトレードオフの関係にあり、このような材料は未だ見つかっていない。アルカン系化合物の場合、屈折率を上げるためには直鎖状よりは有橋環式化合物の方が好ましいが、環式化合物は粘度が高いために露光装置ステージの高速スキャンに追随できない問題も孕んでいる。また、屈折率1.8の液体が開発された場合、屈折率の最小がレジスト膜になるために、レジスト膜も1.8以上に高屈折率化する必要がある。 The resolution that can be reached with the highest NA of water immersion lithography using an NA 1.35 lens is 40 to 38 nm and cannot reach 32 nm. Therefore, development of a high refractive index material for further increasing NA is being carried out. It is the minimum refractive index among the projection lens, liquid, and resist film that determines the limit of the NA of the lens. In the case of water immersion, the refractive index of water is the lowest compared with the projection lens (refractive index of 1.5 for synthetic quartz) and resist film (refractive index of 1.7 for conventional methacrylate system). The lens NA was fixed. Recently, highly transparent liquids having a refractive index of 1.65 have been developed. In this case, it is necessary to develop a projection lens material having the lowest refractive index and a high refractive index of the projection lens made of synthetic quartz. LUAG (Lu 3 Al 5 O 12 ) garnet has a refractive index of 2 or more and is the most expected material, but has a problem of large birefringence and absorption. Even if a projection lens material having a refractive index of 1.8 or higher is developed, the NA of the liquid having a refractive index of 1.65 is only 1.55, and 32 nm cannot be resolved. In order to resolve 32 nm, a liquid having a refractive index of 1.8 or more is required. At present, there is a trade-off between absorption and refractive index, and no such material has yet been found. In the case of an alkane compound, a bridged cyclic compound is preferable to a linear compound in order to increase the refractive index. However, the cyclic compound has a high viscosity, so there is a problem that it cannot follow the high-speed scanning of the exposure apparatus stage. It is. Further, when a liquid having a refractive index of 1.8 is developed, since the minimum refractive index becomes a resist film, the resist film needs to have a high refractive index of 1.8 or more.

ここで最近注目を浴びているのは1回目の露光と現像でパターンを形成し、2回目の露光で1回目のパターンの丁度間にパターンを形成するダブルパターニングプロセスである(非特許文献3:Proc. SPIE Vol. 5992 p557(2005))。ダブルパターニングの方法としては多くのプロセスが提案されている。例えば、1回目の露光と現像でラインとスペースが1:3の間隔のフォトレジストパターンを形成し、ドライエッチングで下層のハードマスクを加工し、その上にハードマスクをもう1層敷いて1回目の露光のスペース部分にフォトレジスト膜の露光と現像でラインパターンを形成してハードマスクをドライエッチングで加工して初めのパターンのピッチの半分のラインアンドスペースパターンを形成する方法である。また、1回目の露光と現像でスペースとラインが1:3の間隔のフォトレジストパターンを形成し、ドライエッチングで下層のハードマスクをドライエッチングで加工し、その上にフォトレジスト膜を塗布してハードマスクが残っている部分に2回目のスペースパターンを露光しハードマスクをドライエッチングで加工する。いずれも2回のドライエッチングでハードマスクを加工する。   Recently, a double patterning process in which a pattern is formed by the first exposure and development and a pattern is formed just between the first pattern by the second exposure (Non-Patent Document 3: Proc.SPIE Vol.5992 p557 (2005)). Many processes have been proposed as a double patterning method. For example, the first exposure and development form a photoresist pattern with 1: 3 line and space spacing, the lower hard mask is processed by dry etching, and another hard mask is laid on the first hard mask. In this exposure method, a line pattern is formed by exposure and development of a photoresist film in a space portion of the exposure, and a hard mask is processed by dry etching to form a line-and-space pattern that is half the pitch of the initial pattern. Further, a photoresist pattern having a space and line spacing of 1: 3 is formed by the first exposure and development, the underlying hard mask is processed by dry etching, and a photoresist film is applied thereon. The second space pattern is exposed to the portion where the hard mask remains, and the hard mask is processed by dry etching. In either case, the hard mask is processed by two dry etchings.

前述の方法では、ハードマスクを2回敷く必要があり、後者の方法ではハードマスクが1層で済むが、ラインパターンに比べて解像が困難なトレンチパターンを形成する必要がある。後者の方法では、トレンチパターンの形成にネガ型レジスト材料を使う方法がある。これだとポジパターンでラインを形成するのと同じ高コントラストの光を用いることができるが、ポジ型レジスト材料に比べてネガ型レジスト材料の方が溶解コントラストが低いために、ポジ型レジスト材料でラインを形成する場合に比較してネガ型レジスト材料で同じ寸法のトレンチパターンを形成した場合を比較するとネガ型レジスト材料を使った方が解像性が低い。後者の方法で、ポジ型レジスト材料を用いて広いトレンチパターンを形成してから、基板を加熱してトレンチパターンをシュリンクさせるサーマルフロー法や、現像後のトレンチパターンの上に水溶性膜をコートしてから加熱してレジスト膜表面を架橋させることによってトレンチをシュリンクさせるRELAX法を適用させることも考えられるが、プロキシミティーバイアスが劣化するという欠点やプロセスが更に煩雑化し、スループットが低下する欠点が生じる。   In the above-described method, it is necessary to lay a hard mask twice. In the latter method, only one hard mask is required, but it is necessary to form a trench pattern that is difficult to resolve compared to a line pattern. In the latter method, there is a method using a negative resist material for forming a trench pattern. This can use the same high contrast light as forming a line with a positive pattern, but the negative resist material has a lower dissolution contrast than the positive resist material. Compared with the case of forming a line, the case of using a negative resist material to form a trench pattern having the same dimensions is compared. In the latter method, a wide trench pattern is formed using a positive resist material, and then the substrate is heated to shrink the trench pattern, or a water-soluble film is coated on the developed trench pattern. Although it is conceivable to apply the RELAX method of shrinking the trench by heating and then crosslinking the resist film surface, the disadvantage that the proximity bias deteriorates and the process becomes more complicated and the throughput decreases. .

前者、後者の方法においても、基板加工のエッチングは2回必要なため、スループットの低下と2回のエッチングによるパターンの変形や位置ずれが生じる問題がある。   Even in the former method and the latter method, etching for substrate processing is required twice, so that there is a problem in that throughput is reduced and pattern deformation and displacement occur due to the two etchings.

エッチングを1回で済ませるために、1回目の露光でネガ型レジスト材料を用い、2回目の露光でポジ型レジスト材料を用いる方法がある。1回目の露光でポジ型レジスト材料を用い、2回目の露光でポジ型レジスト材料が溶解しない炭素4以上の高級アルコールに溶解させたネガ型レジスト材料を用いる方法もある。これらの場合、解像性が低いネガ型レジスト材料を使うと解像性の劣化が生じる。   In order to complete the etching once, there is a method in which a negative resist material is used in the first exposure and a positive resist material is used in the second exposure. There is also a method in which a positive resist material is used in the first exposure, and a negative resist material dissolved in a higher alcohol having 4 or more carbon atoms in which the positive resist material is not dissolved in the second exposure. In these cases, if a negative resist material having low resolution is used, the resolution deteriorates.

1回目の露光と2回目の露光の間にPEB(Post Exposure Bake)、現像を行わない方法は、最もシンプルな方法である。この場合、1回目の露光を行い、位置をずらしたパターンが描画されたマスクに交換して2回目の露光を行い、PEB、現像、ドライエッチングを行う。1回の露光毎にマスクを交換するとスループットが非常に低下するので、ある程度まとめて1回目の露光を行った後に2回目の露光を行う。そうすると、1回目の露光と2回目の露光の間の放置時間によっては酸の拡散による寸法変動やT−top形状発生などの形状の変化が生じる。T−topの発生を抑えるためにはレジスト保護膜の適用は効果的である。液浸用レジスト保護膜を適用することによって、2回の露光と1回のPEB、現像、ドライエッチングを行うプロセスを行うことができる。2台のスキャナーを並べて1回目の露光と2回目の露光を連続して行うこともできる。この場合は2台のスキャナー間のレンズの収差によって生じる位置ずれや、スキャナーコストが倍になる問題が生じる。
1回目の露光の隣にハーフピッチだけずらした位置に2回目の露光を行うと、1回目の2回目のエネルギーが相殺されて、コントラストが0になる。レジスト膜上にコントラスト増強膜(CEL)を適用すると、レジストに入射する光が非線形となり、1回目と2回目の光が相殺せず、ピッチが半分の像が形成される(非特許文献4:Jpn. J. Appl. Phy. Vol. 33(1994) p6874−6877)。また、レジストの酸発生剤として2光子吸収の酸発生剤を用いて非線形なコントラストを生み出すことによって同様の効果を生み出すことが期待される。但し波長193nmにおいてブリーチング特性を有するCEL剤や2光子吸収特性を有する酸発生剤は見出されていない。
A method in which PEB (Post Exposure Bake) and development are not performed between the first exposure and the second exposure is the simplest method. In this case, the first exposure is performed, the pattern is shifted to a mask on which a shifted pattern is drawn, the second exposure is performed, and PEB, development, and dry etching are performed. If the mask is changed for each exposure, the throughput is greatly reduced. Therefore, the second exposure is performed after the first exposure is performed to some extent. Then, depending on the standing time between the first exposure and the second exposure, a dimensional change due to acid diffusion or a change in shape such as generation of a T-top shape occurs. In order to suppress the occurrence of T-top, application of a resist protective film is effective. By applying an immersion resist protective film, a process of performing two exposures, one PEB, development, and dry etching can be performed. Two scanners can be arranged side by side to perform the first exposure and the second exposure continuously. In this case, there arises a problem that the positional deviation caused by the lens aberration between the two scanners and the scanner cost is doubled.
When the second exposure is performed at a position shifted by a half pitch next to the first exposure, the energy of the second time is canceled and the contrast becomes zero. When a contrast enhancement film (CEL) is applied on the resist film, the light incident on the resist becomes nonlinear, and the first and second lights do not cancel each other, and an image with a half pitch is formed (Non-Patent Document 4: Jpn.J. Appl.Phy.Vol. 33 (1994) p6874-6877). In addition, it is expected that a similar effect can be produced by creating a non-linear contrast using a two-photon absorption acid generator as the resist acid generator. However, a CEL agent having a bleaching property at a wavelength of 193 nm and an acid generator having a two-photon absorption property have not been found.

ダブルパターニングにおいて最もクリティカルな問題となるのは、1回目のパターンと2回目のパターンの合わせ精度である。位置ずれの大きさがラインの寸法のバラツキとなるために、例えば32nmのラインを10%の精度で形成しようとすると3.2nm以内の合わせ精度が必要となる。現状のスキャナーの合わせ精度が8nm程度であるので、大幅な精度の向上が必要である。   The most critical problem in double patterning is the alignment accuracy of the first and second patterns. Since the size of the positional deviation is a variation in line dimensions, for example, if it is attempted to form a 32 nm line with an accuracy of 10%, an alignment accuracy within 3.2 nm is required. Since the alignment accuracy of the current scanner is about 8 nm, a significant improvement in accuracy is necessary.

光照射によってフォトレジスト膜を改質する技術は、従来からよく知られており、特にエッチング耐性の向上のために検討された。例えば、特許文献1:特開平5−102029号公報ではホットプレートでフォトレジスト膜が塗布された基板を加熱しながら真空中で短波長の光を照射する方法、特許文献2〜5:特開平5−190444号公報、特開平9−63921号公報、特開2000−331910号公報、特開2003−158057号公報には光照射装置が提案されている。特許文献6:特開2005−189842号公報には、現像後のフォトレジスト現像中に光架橋性膜を含む溶液を塗布し、短波長の光照射によってフォトレジスト膜を疎水性化してレジストのパターン倒れを防止する方法が提案されている。   A technique for modifying a photoresist film by light irradiation has been well known in the past, and has been studied particularly for improving etching resistance. For example, Patent Document 1: JP-A-5-102029 discloses a method of irradiating short wavelength light in a vacuum while heating a substrate coated with a photoresist film with a hot plate, Patent Documents 2-5: JP-A-5 JP-A-190444, JP-A-9-63922, JP-A-2000-331910, and JP-A-2003-158057 propose light irradiation devices. Patent Document 6: Japanese Patent Application Laid-Open No. 2005-189842 discloses a resist pattern in which a solution containing a photocrosslinkable film is applied during development of a photoresist after development, and the photoresist film is hydrophobized by light irradiation at a short wavelength. A method for preventing the fall is proposed.

ノボラック樹脂や、ポリヒドロキシスチレンを波長300nm以下の短波長光で照射すると、架橋が進行して有機溶媒やアルカリ現像液に不溶になる現象はよく知られている。フェノール性のヒドロキシ基が短波長光の照射によって架橋反応を引き起こすと考えられている。前記照射装置による短波長光源の照射によって、フェノール性のヒドロキシ基を有するi線レジスト、KrFレジストの現像後のパターンを架橋することによってエッチング耐性を向上している。   It is well known that when novolac resin or polyhydroxystyrene is irradiated with short-wavelength light having a wavelength of 300 nm or less, crosslinking proceeds and becomes insoluble in an organic solvent or an alkali developer. It is believed that the phenolic hydroxy group causes a crosslinking reaction by irradiation with short wavelength light. Etching resistance is improved by crosslinking a pattern after development of an i-line resist having a phenolic hydroxy group and a KrF resist by irradiation with a short wavelength light source by the irradiation apparatus.

Proc. SPIE Vol. 4690 xxixProc. SPIE Vol. 4690 xxix Proc. SPIE Vol. 5040 p724Proc. SPIE Vol. 5040 p724 Proc. SPIE Vol. 5992 p557(2005)Proc. SPIE Vol. 5992 p557 (2005) Jpn. J. Appl. Phy. Vol. 33(1994) p6874−6877Jpn. J. et al. Appl. Phy. Vol. 33 (1994) p 6874-6877 特開平5−102029号公報JP-A-5-102029 特開平5−190444号公報Japanese Patent Laid-Open No. 5-190444 特開平9−63921号公報Japanese Patent Laid-Open No. 9-63921 特開2000−331910号公報JP 2000-331910 A 特開2003−158057号公報Japanese Patent Laid-Open No. 2003-158057 特開2005−189842号公報JP 2005-189842 A

上述したように、2回の露光と現像により作製したレジストパターンを、2回のドライエッチングで基板加工を行おうとすると、スループットが半分に低下する。また、ドライエッチングによるパターンの位置ずれの問題が生じる。   As described above, when a substrate pattern is processed by dry etching twice, the throughput is reduced by half. In addition, there arises a problem of pattern displacement due to dry etching.

本発明は、上記事情を改善したもので、1回のドライエッチングで基板を加工するダブルパターニングプロセスを可能にするためのパターン形成方法、即ち、1回目のレジストパターン(1回目のレジスト膜)を、波長300nm以下の極短波長光の照射により硬化させ、1回目と2回目のレジスト膜のミキシングと2回目の現像時に1回目のレジストパターンが現像液に溶解しないためのパターン形成方法及びこれに用いるレジスト材料を提供することを目的とする。   The present invention is an improvement of the above circumstances. A pattern forming method for enabling a double patterning process for processing a substrate by one dry etching, that is, a first resist pattern (first resist film) is provided. A pattern forming method for curing by irradiation with ultrashort wavelength light having a wavelength of 300 nm or less, and mixing the first and second resist films and the first resist pattern not dissolving in the developer at the second development, and An object is to provide a resist material to be used.

上記課題を解決するために、本発明によれば、1回目のレジストパターン形成後のスペース部分に2回目のレジスト膜を塗布してパターンを形成するパターン形成方法において、下記に示される方法が有効であることを知見した。   In order to solve the above-described problem, according to the present invention, the following method is effective in a pattern forming method in which a pattern is formed by applying a second resist film to a space portion after the first resist pattern is formed. I found out.

従って、本発明は、下記のパターン形成方法並びにこれに用いるレジスト材料を提供する。
請求項1:
フェノール基を有する繰り返し単位と酸によってアルカリ溶解性が向上する繰り返し単位とを共重合してなる高分子化合物を含む第1のポジ型レジスト材料を基板上に塗布して第1のレジスト膜を形成し、加熱処理後に高エネルギー線で上記レジスト膜を露光し、加熱処理後に現像液を用いて上記レジスト膜を現像し、その後波長300nm以下の高エネルギー線の照射によって第1のレジスト膜を架橋硬化させ、その上に第2のポジ型レジスト材料を基板上に塗布して第2のレジスト膜を形成し、加熱処理後に高エネルギー線で上記第2のレジスト膜を露光し、加熱処理後に現像液を用いて第2のレジスト膜を現像する工程を有することを特徴とするパターン形成方法。
請求項2:
フェノール基を有する繰り返し単位と酸によってアルカリ溶解性が向上する繰り返し単位とを共重合してなる高分子化合物を含む第1のポジ型レジスト材料を基板上に塗布して第1のレジスト膜を形成し、加熱処理後に高エネルギー線で上記レジスト膜を露光し、加熱処理後に現像液を用いて上記レジスト膜を現像し、その後波長300nm以下の高エネルギー線の照射と加熱によって第1のレジスト膜を架橋硬化させ、その上に第2のポジ型レジスト材料を基板上に塗布して第2のレジスト膜を形成し、加熱処理後に高エネルギー線で上記第2のレジスト膜を露光し、加熱処理後に現像液を用いて第2のレジスト膜を現像する工程を有することを特徴とするパターン形成方法。
請求項3:
フェノール基を有する繰り返し単位と酸によってアルカリ溶解性が向上する繰り返し単位とを共重合してなる高分子化合物を含む第1のポジ型レジスト材料を基板上に塗布して第1のレジスト膜を形成し、加熱処理後に高エネルギー線で上記レジスト膜を露光し、加熱処理後に現像液を用いて上記レジスト膜を現像し、その後加熱し、次いで波長300nm以下の高エネルギー線を照射して第1のレジスト膜を架橋硬化し、その上に第2のポジ型レジスト材料を基板上に塗布して第2のレジスト膜を形成し、加熱処理後に高エネルギー線で上記第2のレジスト膜を露光し、加熱処理後に現像液を用いて第2のレジスト膜を現像する工程を有することを特徴とするパターン形成方法。
請求項4:
現像によって形成した第1のレジストパターンの架橋に用いる波長300nm以下の高エネルギー線が、波長254nmと185nmを含む低圧水銀灯から発せられる光、波長248nmのKrFエキシマ光、波長222nmのKrClエキシマ光、波長193nmのArFエキシマ光、波長172nmのXe2エキシマ光、157nmのF2エキシマ光、146nmのKr2エキシマ光、126nmのAr2エキシマ光、又は電子線であることを特徴とする請求項1乃至3のいずれか1項記載のパターン形成方法。
請求項5:
第1のレジストパターン及び第2のレジストパターンを形成するための露光が、波長193nmのArFエキシマレーザーによる屈折率1.4以上の液体をレンズとウエハーの間に浸積した液浸リソグラフィーであることを特徴とする請求項1乃至4のいずれか1項記載のパターン形成方法。
請求項6:
屈折率1.4以上の液体が水であることを特徴とする請求項5記載のパターン形成方法。
請求項7:
第1のパターンのスペース部分に第2のパターンを形成することによってパターン間を縮小することを特徴とする請求項1乃至6のいずれか1項記載のパターン形成方法。
請求項8:
第1のパターンと交わる第2のパターンを形成することを特徴とする請求項1乃至6のいずれか1項記載のパターン形成方法。
請求項9:
第1のパターンのパターンが形成されていないスペース部分に第1のパターンと異なる方向に第2のパターンを形成することを特徴とする請求項1乃至6のいずれか1項記載のパターン形成方法。
請求項10:
フェノール基を有する繰り返し単位と酸によってアルカリ溶解性が向上する繰り返し単位とを共重合してなる高分子化合物が、下記一般式(1)に示される繰り返し単位(a)と繰り返し単位(b)とを有するものであることを特徴とする請求項1乃至9のいずれか1項記載のパターン形成方法に用いるポジ型レジスト材料。

Figure 2009157338

(式中、R1、R3は同一又は異種の水素原子又はメチル基を示す。Xは単結合、又は−C(=O)−O−であり、Yは単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基で、エステル基又はエーテル基を有していてもよい。あるいは、Yは、ベンゼン環の互いに隣接する2個の炭素原子と結合して脂環を形成する3価の基である。R2は同一又は異種の水素原子、ハロゲン原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、炭素数2〜6のアルケニル基、炭素数6〜10のアリール基、又はトリフルオロメチル基であり、mは1〜3の整数、nは2〜4の整数であり、m+n=5である。R4は酸不安定基を示す。a、bは0<a<1.0、0<b<1.0、0<a+b≦1.0の範囲である。)
請求項11:
フェノール基を有する繰り返し単位と酸によってアルカリ溶解性が向上する繰り返し単位とを共重合してなる高分子化合物が、下記一般式(2)に示される繰り返し単位(a)、(b)、(c1)、(c2)を有するものであることを特徴とする請求項1乃至9のいずれか1項記載のパターン形成方法に用いるポジ型レジスト材料。
Figure 2009157338

(式中、R1、R3、R5、R10は同一又は異種の水素原子又はメチル基を示す。Xは単結合、又は−C(=O)−O−であり、Yは単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基で、エステル基又はエーテル基を有していてもよい。あるいは、Yは、ベンゼン環の互いに隣接する2個の炭素原子と結合して脂環を形成する3価の基である。R2は同一又は異種の水素原子、ハロゲン原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、炭素数2〜6のアルケニル基、炭素数6〜10のアリール基、又はトリフルオロメチル基であり、mは1〜3の整数、nは2〜4の整数であり、m+n=5である。R4は酸不安定基を示す。R6、R11は単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基であり、エーテル基又はエステル基を有していてもよいが、炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基の場合、式中のエステル基に連結した炭素原子は1級又は2級である。R7、R8、R9、R12、R13、R14、R15は水素原子、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキル基である。a、b、c1、c2は0<a<1.0、0<b<1.0、0≦c1<1.0、0≦c2<1.0、0<c1+c2<1.0、0<a+b+c1+c2≦1.0の範囲である。) Accordingly, the present invention provides the following pattern forming method and a resist material used therefor.
Claim 1:
A first positive resist material containing a polymer compound obtained by copolymerizing a repeating unit having a phenol group and a repeating unit whose alkali solubility is improved by an acid is applied on a substrate to form a first resist film. Then, after the heat treatment, the resist film is exposed with a high energy beam, and after the heat treatment, the resist film is developed with a developer, and then the first resist film is crosslinked and cured by irradiation with a high energy beam having a wavelength of 300 nm or less. Then, a second positive resist material is applied onto the substrate to form a second resist film, and after the heat treatment, the second resist film is exposed with a high energy beam, and after the heat treatment, a developer A pattern forming method comprising a step of developing the second resist film using
Claim 2:
A first positive resist material containing a polymer compound obtained by copolymerizing a repeating unit having a phenol group and a repeating unit whose alkali solubility is improved by an acid is applied on a substrate to form a first resist film. Then, after the heat treatment, the resist film is exposed with a high energy beam, and after the heat treatment, the resist film is developed with a developer, and then the first resist film is formed by irradiation and heating with a high energy beam having a wavelength of 300 nm or less. After crosslinking and curing, a second positive resist material is applied onto the substrate to form a second resist film, and after the heat treatment, the second resist film is exposed with a high energy beam, and after the heat treatment A pattern forming method comprising a step of developing the second resist film using a developer.
Claim 3:
A first positive resist material containing a polymer compound obtained by copolymerizing a repeating unit having a phenol group and a repeating unit whose alkali solubility is improved by an acid is applied on a substrate to form a first resist film. Then, after the heat treatment, the resist film is exposed with a high energy beam, and after the heat treatment, the resist film is developed with a developer, and then heated, and then irradiated with a high energy beam having a wavelength of 300 nm or less. The resist film is cross-linked and cured, and a second positive resist material is applied onto the substrate to form a second resist film. After the heat treatment, the second resist film is exposed with a high energy beam, A pattern forming method comprising a step of developing the second resist film using a developer after the heat treatment.
Claim 4:
High energy rays having a wavelength of 300 nm or less used for crosslinking of the first resist pattern formed by development are emitted from a low-pressure mercury lamp including wavelengths 254 nm and 185 nm, KrF excimer light having a wavelength of 248 nm, KrCl excimer light having a wavelength of 222 nm, wavelength 4. A 193 nm ArF excimer light, a 172 nm Xe 2 excimer light, a 157 nm F 2 excimer light, a 146 nm Kr 2 excimer light, a 126 nm Ar 2 excimer light, or an electron beam. The pattern formation method of any one of these.
Claim 5:
The exposure for forming the first resist pattern and the second resist pattern is immersion lithography in which a liquid having a refractive index of 1.4 or more is immersed between a lens and a wafer by an ArF excimer laser having a wavelength of 193 nm. The pattern forming method according to claim 1, wherein:
Claim 6:
6. The pattern forming method according to claim 5, wherein the liquid having a refractive index of 1.4 or more is water.
Claim 7:
The pattern forming method according to claim 1, wherein a space between the patterns is reduced by forming a second pattern in a space portion of the first pattern.
Claim 8:
The pattern forming method according to claim 1, wherein a second pattern intersecting with the first pattern is formed.
Claim 9:
The pattern forming method according to claim 1, wherein the second pattern is formed in a direction different from the first pattern in a space portion where the pattern of the first pattern is not formed.
Claim 10:
A polymer compound obtained by copolymerizing a repeating unit having a phenol group and a repeating unit whose alkali solubility is improved by an acid is a repeating unit (a) and a repeating unit (b) represented by the following general formula (1): The positive resist material used for the pattern formation method of any one of Claims 1 thru | or 9 characterized by the above-mentioned.
Figure 2009157338

(In the formula, R 1 and R 3 represent the same or different hydrogen atoms or methyl groups. X is a single bond, or —C (═O) —O—, and Y is a single bond, or a carbon number of 1 to A linear, branched or cyclic alkylene group which may have an ester group or an ether group, or Y is bonded to two adjacent carbon atoms of the benzene ring to form an alicyclic ring R 2 is the same or different hydrogen atom, halogen atom, linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, alkenyl group having 2 to 6 carbon atoms, An aryl group having 6 to 10 carbon atoms or a trifluoromethyl group, m is an integer of 1 to 3, n is an integer of 2 to 4, and m + n = 5, and R 4 represents an acid labile group. A and b are in the range of 0 <a <1.0, 0 <b <1.0, and 0 <a + b ≦ 1.0.)
Claim 11:
A polymer compound obtained by copolymerizing a repeating unit having a phenol group and a repeating unit whose alkali solubility is improved by an acid is a repeating unit (a), (b) or (c1) represented by the following general formula (2). 10) The positive resist material used in the pattern forming method according to claim 1, wherein the positive resist material has (c2).
Figure 2009157338

(In the formula, R 1 , R 3 , R 5 and R 10 are the same or different hydrogen atoms or methyl groups. X is a single bond, or —C (═O) —O—, and Y is a single bond. Or a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms which may have an ester group or an ether group, or Y represents two carbon atoms adjacent to each other in the benzene ring. R 2 is the same or different hydrogen atom, halogen atom, linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, carbon number 2 6 alkenyl group, an aryl group having 6 to 10 carbon atoms, or a trifluoromethyl group, m is an integer of 1 to 3, n is an integer from 2 to 4, .R 4 is a m + n = 5 is An acid labile group, wherein R 6 and R 11 are a single bond or a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms. In the case of a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, the carbon atom linked to the ester group in the formula is primary. R 7 , R 8 , R 9 , R 12 , R 13 , R 14 , R 15 are a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms. A, b, c1, c2 are 0 <a <1.0, 0 <b <1.0, 0 ≦ c1 <1.0, 0 ≦ c2 <1.0, 0 <c1 + c2 <1.0, 0 <A + b + c1 + c2 ≦ 1.0.

本発明によれば、上記第1のポジ型レジスト材料を用い、露光と現像による第1のパターンを形成後、波長300nm以下の高エネルギー線、特には波長248nmのKrFエキシマ光、波長222nmのKrClエキシマ光、波長254nmと185nmを含む低圧水銀灯から発せられる光、波長193nmのArFエキシマ光、波長172nmのXe2エキシマ光、波長157nmのF2エキシマ光、波長146nmのKr2エキシマ光、126nmのAr2エキシマ光及び電子線から選ばれ、これによる架橋反応によってアルカリ現像液とレジスト溶液に不溶化する。その上に更に第2のレジスト材料を塗布し、露光現像することにより、第1のパターンのスペース部分に第2のパターンを形成することによってパターンとパターンのピッチを半分にするダブルパターニングを行い、一度のドライエッチングによって基板を加工することができる。 According to the present invention, after forming the first pattern by exposure and development using the first positive resist material, high energy rays having a wavelength of 300 nm or less, particularly KrF excimer light having a wavelength of 248 nm, KrCl having a wavelength of 222 nm Excimer light, light emitted from a low-pressure mercury lamp including wavelengths 254 nm and 185 nm, ArF excimer light with a wavelength of 193 nm, Xe 2 excimer light with a wavelength of 172 nm, F 2 excimer light with a wavelength of 157 nm, Kr 2 excimer light with a wavelength of 146 nm, Ar with 126 nm It is selected from 2 excimer light and electron beam, and is insolubilized in an alkali developer and a resist solution by a crosslinking reaction. A second resist material is further applied thereon, exposed and developed to form a second pattern in the space portion of the first pattern, thereby performing double patterning that halves the pattern and pattern pitch, The substrate can be processed by one dry etching.

本発明者らは、2回の露光と現像によって半分のピッチのパターンを得るダブルパターニングリソグラフィーにおいて、1回のドライエッチングによって基板を加工するためのポジ型レジスト材料を得るために鋭意検討を行った。   In the double patterning lithography that obtains a half-pitch pattern by two exposures and developments, the present inventors have intensively studied to obtain a positive resist material for processing a substrate by one dry etching. .

即ち、本発明者らは、後述する第1のポジ型レジスト材料を用い、露光と現像によって第1のパターンを形成後、波長300nm以下の高エネルギー線による架橋、特には波長248nmのKrFエキシマ光、波長222nmのKrClエキシマ光、波長254nmと185nmを含む低圧水銀灯から発せられる光、波長193nmのArFエキシマ光、波長172nmのXe2エキシマ光、波長157nmのF2エキシマ光、波長146nmのKr2エキシマ光、126nmのAr2エキシマ光及び電子線の照射による架橋反応によって第1のパターンをアルカリ現像液やレジスト溶媒に不溶化させ、その上に更に第2のレジスト材料を塗布し、露光現像することにより、第1のパターンのスペース部分に第2のパターンを形成することによってパターンとパターンのピッチを半分にするダブルパターニングを行い、一度のドライエッチングによって基板を加工することが可能であることを見出し、本発明を完成させたものである。 That is, the present inventors use a first positive resist material, which will be described later, form a first pattern by exposure and development, and then crosslink with a high energy ray having a wavelength of 300 nm or less, particularly KrF excimer light having a wavelength of 248 nm. , KrCl excimer light with a wavelength of 222 nm, light emitted from a low-pressure mercury lamp including wavelengths 254 nm and 185 nm, ArF excimer light with a wavelength of 193 nm, Xe 2 excimer light with a wavelength of 172 nm, F 2 excimer light with a wavelength of 157 nm, Kr 2 excimer with a wavelength of 146 nm By insolubilizing the first pattern in an alkali developer or a resist solvent by a cross-linking reaction by irradiation with light, 126 nm Ar 2 excimer light and electron beam, and further applying a second resist material thereon, and then developing by exposure By forming the second pattern in the space portion of the first pattern Performs double patterning halving the pitch of the pattern and the pattern Te, it found that it is possible to process the substrate by a single dry etching, in which the present invention has been completed.

また、前記第1及び第2のポジ型レジスト材料は、化学増幅ポジ型レジスト材料であることが好ましく、更に少なくとも酸不安定基を有する繰り返し単位、更にフェノール基を有する繰り返し単位を有するベース樹脂を含むものであることが好ましい。   The first and second positive resist materials are preferably chemically amplified positive resist materials, and further include a base resin having at least a repeating unit having an acid labile group and a repeating unit having a phenol group. It is preferable that it is included.

波長300nm以下の照射によって、フェノール化合物が架橋、硬化することはよく知られている(Proc. SPIE Vol.3678 p1012 (1999))。光の照射によりフェノキシラジカルが発生し、ヒドロキシ基のオルソ位同士のカップリングによって分子間架橋が進行するメカニズムが報告されている。
しかしながら、クレゾールノボラックやポリヒドロキシスチレンは、パターンを形成するための波長193nmのArFエキシマレーザーに極めて強い吸収を持つために、導入量が多いと第1のパターンを形成することができない。ナフタレンは共役系を伸ばして吸収最大波長を長波長側にシフトすることによって波長193nmにおける吸収を低減させる効果がある。一方、ベンゼン環のフッ素の導入は、吸収最大波長を短波長側にシフトすることによって透過率を向上させる。特開平11−253929号公報にフッ素置換のヒドロキシスチレン共重合体が提案されている。また、ベンゼン環の臭素、ヨウ素の導入は吸収最大波長を長波長側にシフトさせることによって透明性が向上する。これらによってArFエキシマレーザーリソグラフィーにおいてパターン形成が可能となる。
It is well known that a phenol compound is crosslinked and cured by irradiation with a wavelength of 300 nm or less (Proc. SPIE Vol. 3678 p1012 (1999)). A mechanism has been reported in which phenoxy radicals are generated by light irradiation and intermolecular crosslinking proceeds by coupling between ortho positions of hydroxy groups.
However, since cresol novolac and polyhydroxystyrene have extremely strong absorption in an ArF excimer laser having a wavelength of 193 nm for forming a pattern, the first pattern cannot be formed when the introduction amount is large. Naphthalene has the effect of reducing absorption at a wavelength of 193 nm by extending the conjugated system and shifting the maximum absorption wavelength to the longer wavelength side. On the other hand, the introduction of fluorine in the benzene ring improves the transmittance by shifting the maximum absorption wavelength to the short wavelength side. JP-A-11-253929 proposes a fluorine-substituted hydroxystyrene copolymer. Moreover, the introduction of bromine and iodine in the benzene ring improves the transparency by shifting the absorption maximum wavelength to the longer wavelength side. These enable pattern formation in ArF excimer laser lithography.

7−オキサノルボルナン環は、酸と熱による架橋反応によって膜を硬化させる性質を有する。フェノール基の光照射による硬化反応と、光照射によって発生した酸と熱による7−オキサノルボルナン環の架橋反応を組み合わせることによって、より強固で溶媒とアルカリ現像液に不溶な膜を形成することができる。   The 7-oxanorbornane ring has the property of curing the film by a crosslinking reaction with acid and heat. By combining the curing reaction of the phenol group by light irradiation and the crosslinking reaction of the 7-oxanorbornane ring by the acid and heat generated by the light irradiation, a stronger and insoluble film in a solvent and an alkali developer can be formed. .

このような化学増幅ポジ型レジスト材料であれば、ベース樹脂がフェノール基の密着性基を有する繰り返し単位を含むことで、基板との高い密着性を実現できる。更に、ベース樹脂が酸不安定基を有する繰り返し単位を有することで、露光時に酸発生剤が発生する酸により酸不安定基を脱離させて、レジスト露光部を現像液に溶解させるように変換することにより、極めて高精度なパターンを得ることができる。   With such a chemically amplified positive resist material, the base resin contains a repeating unit having a phenol group adhesive group, whereby high adhesion to the substrate can be realized. In addition, since the base resin has repeating units having acid labile groups, the acid labile groups are eliminated by the acid generated by the acid generator during exposure, and the resist exposed area is dissolved in the developer. By doing so, a very highly accurate pattern can be obtained.

本発明に係るパターン形成方法に用いられる第1のポジ型レジスト材料におけるベース樹脂としての高分子化合物としては、密着性基としてフェノール基を有するものが好ましく、特には下記一般式(a)で示される繰り返し単位を有するものである。

Figure 2009157338

(式中、R1は同一又は異種の水素原子又はメチル基を示す。Xは単結合、又は−C(=O)−O−であり、Yは単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基で、エステル基(−COO−)又はエーテル基(−O−)を有していてもよい。あるいは、Yは、ベンゼン環の互いに隣接する2個の炭素原子と結合して脂環を形成する3価の基である。R2は同一又は異種の水素原子、ハロゲン原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、炭素数2〜6のアルケニル基、炭素数6〜10のアリール基、又はトリフルオロメチル基であり、mは1〜3の整数である。また、nは2〜4の整数であり、m+n=5である。) As the polymer compound as the base resin in the first positive resist material used in the pattern forming method according to the present invention, those having a phenol group as an adhesive group are preferable, and particularly represented by the following general formula (a). Having a repeating unit.
Figure 2009157338

(In the formula, R 1 represents the same or different hydrogen atom or methyl group. X is a single bond or —C (═O) —O—, and Y is a single bond or a straight chain having 1 to 6 carbon atoms. A chain, branched or cyclic alkylene group which may have an ester group (—COO—) or an ether group (—O—), or Y represents two adjacent carbon atoms of the benzene ring. A trivalent group which forms an alicyclic ring by combining with an atom, R 2 is the same or different hydrogen atom, halogen atom, linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, carbon number An alkenyl group having 2 to 6 carbon atoms, an aryl group having 6 to 10 carbon atoms, or a trifluoromethyl group, m is an integer of 1 to 3, n is an integer of 2 to 4, and m + n = 5 is there.)

ここで、炭素数1〜6のアルキレン基としては、メチレン基、エチレン基、n−プロピレン基、イソプロピレン基、n−ブチレン基、イソブチレン基、sec−ブチレン基、n−ペンチレン基、イソペンチレン基、シクロペンチレン基、n−ヘキシレン基、シクロヘキシレン基が挙げられる。あるいは、Yは、ベンゼン環の互いに隣接する2個の炭素原子と結合して脂環を形成する3価の基であり、例えば、ベンゼン環の互いに隣接する2個の炭素原子と共にシクロペンチル基、シクロヘキシル基、ノルボルニル基を形成する基である。
なお、R2としては、H、Cl、F、Br、CH3、CF3、シクロヘキシル基、フェニル基等が挙げられる。
Here, as a C1-C6 alkylene group, a methylene group, ethylene group, n-propylene group, isopropylene group, n-butylene group, isobutylene group, sec-butylene group, n-pentylene group, isopentylene group, Examples include a cyclopentylene group, an n-hexylene group, and a cyclohexylene group. Alternatively, Y is a trivalent group that binds to two adjacent carbon atoms of the benzene ring to form an alicyclic ring, for example, a cyclopentyl group, cyclohexyl together with two adjacent carbon atoms of the benzene ring. A group forming a norbornyl group.
Examples of R 2 include H, Cl, F, Br, CH 3 , CF 3 , a cyclohexyl group, and a phenyl group.

一般式(a)で示される繰り返し単位を得るためのモノマーとしては、下記一般式Maで示される。ここで、R1、R2、X、Y、m、nは前述と同じである。

Figure 2009157338
The monomer for obtaining the repeating unit represented by the general formula (a) is represented by the following general formula Ma. Here, R 1 , R 2 , X, Y, m, and n are the same as described above.
Figure 2009157338

Maとしては、具体的には下記に例示することができる。

Figure 2009157338
Specific examples of Ma include the following.
Figure 2009157338

Figure 2009157338
Figure 2009157338

Figure 2009157338
Figure 2009157338

これらのモノマーは重合時にヒドロキシ基をアセタールなどの酸不安定基、アセチル基、ホルミル基、ピバロイル基などで置換しておいて、重合後の脱保護によってヒドロキシ基にすることができる。   These monomers can be converted to hydroxy groups by deprotection after polymerization by replacing the hydroxy group with an acid labile group such as acetal, an acetyl group, a formyl group, a pivaloyl group or the like during polymerization.

アセタール置換の場合は、後述する一般式(b)で示される繰り返し単位の(メタ)アクリル酸エステルの酸不安定基はそのままでアセタールだけを脱保護するために、酢酸、蟻酸、シュウ酸などのカルボン酸によって脱保護を行う。アセチル基などで置換している場合は、重合後のアルカリ加水分解によってヒドロキシ基にする。   In the case of acetal substitution, acetic acid, formic acid, oxalic acid, etc. are used to deprotect only the acetal while leaving the acid labile group of the (meth) acrylic acid ester of the repeating unit represented by the general formula (b) described later. Deprotection is performed with carboxylic acid. When substituted with an acetyl group or the like, it is converted to a hydroxy group by alkali hydrolysis after polymerization.

また、第1のポジ型レジスト材料におけるベース樹脂としての高分子化合物としては、前記一般式(a)で示される繰り返し単位に加えて、下記一般式(b)で示される酸不安定基を有する繰り返し単位を有することが好ましい。

Figure 2009157338

(式中、R3は水素原子又はメチル基を示す。R4は酸不安定基である。) In addition to the repeating unit represented by the general formula (a), the polymer compound as the base resin in the first positive resist material has an acid labile group represented by the following general formula (b). It is preferable to have a repeating unit.
Figure 2009157338

(In the formula, R 3 represents a hydrogen atom or a methyl group. R 4 is an acid labile group.)

一般式(b)で示される酸不安定基を有する繰り返し単位を得るためのモノマーとしては、下記一般式Mbで示される。ここで、R3、R4は前述と同じである。

Figure 2009157338
A monomer for obtaining a repeating unit having an acid labile group represented by the general formula (b) is represented by the following general formula Mb. Here, R 3 and R 4 are the same as described above.
Figure 2009157338

一般式(1)中、R4で示される酸不安定基は種々選定されるが、特に下記式(AL−10)、(AL−11)で示される基、下記式(AL−12)で示される3級アルキル基、炭素数4〜20のオキソアルキル基等が挙げられる。 In the general formula (1), various acid labile groups represented by R 4 are selected. In particular, groups represented by the following formulas (AL-10) and (AL-11), and the following formula (AL-12): The tertiary alkyl group shown, the C4-C20 oxoalkyl group, etc. are mentioned.

Figure 2009157338
Figure 2009157338

式(AL−10)、(AL−11)において、R51、R54は炭素数1〜40、特に1〜20の直鎖状、分岐状又は環状のアルキル基等の一価炭化水素基であり、酸素、硫黄、窒素、フッ素などのヘテロ原子を含んでもよい。R52、R53は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基等の一価炭化水素基であり、酸素、硫黄、窒素、フッ素などのヘテロ原子を含んでもよく、a5は0〜10の整数である。R52とR53、R52とR54、R53とR54はそれぞれ結合してこれらが結合する炭素原子又は炭素原子と酸素原子と共に炭素数3〜20、特に4〜16の環、とりわけ脂環を形成してもよい。
55、R56、R57はそれぞれ炭素数1〜20の直鎖状、分岐状又は環状のアルキル基等の一価炭化水素基であり、酸素、硫黄、窒素、フッ素などのヘテロ原子を含んでもよい。あるいはR55とR56、R55とR57、R56とR57はそれぞれ結合してこれらが結合する炭素原子と共に炭素数3〜20、特に4〜16の環、とりわけ脂環を形成してもよい。
In the formulas (AL-10) and (AL-11), R 51 and R 54 are monovalent hydrocarbon groups such as a linear, branched or cyclic alkyl group having 1 to 40 carbon atoms, particularly 1 to 20 carbon atoms. Yes, it may contain heteroatoms such as oxygen, sulfur, nitrogen and fluorine. R 52 and R 53 are each a hydrogen atom or a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and includes heteroatoms such as oxygen, sulfur, nitrogen and fluorine. However, a5 is an integer of 0-10. R 52 and R 53 , R 52 and R 54 , R 53 and R 54 are bonded to each other to form a ring having 3 to 20 carbon atoms, particularly 4 to 16 carbon atoms, particularly an oil. A ring may be formed.
R 55 , R 56 , and R 57 are each a monovalent hydrocarbon group such as a linear, branched, or cyclic alkyl group having 1 to 20 carbon atoms, and include heteroatoms such as oxygen, sulfur, nitrogen, and fluorine. But you can. Alternatively, R 55 and R 56 , R 55 and R 57 , R 56 and R 57 are bonded to form a ring having 3 to 20 carbon atoms, particularly 4 to 16 carbon atoms, particularly an alicyclic ring, together with the carbon atoms to which they are bonded. Also good.

式(AL−10)に示される化合物を具体的に例示すると、tert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等、また下記一般式(AL−10)−1〜(AL−10)−10で示される置換基が挙げられる。   Specific examples of the compound represented by the formula (AL-10) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1-ethoxyethoxycarbonyl. Examples include a methyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group and the like, and substituents represented by the following general formulas (AL-10) -1 to (AL-10) -10. .

Figure 2009157338
Figure 2009157338

式(AL−10)−1〜(AL−10)−10中、R58は同一又は異種の炭素数1〜8の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示す。R59は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基を示す。R60は炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示す。 In the formulas (AL-10) -1 to (AL-10) -10, R 58 is the same or different linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, aryl having 6 to 20 carbon atoms. Group or a C7-20 aralkyl group is shown. R 59 represents a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R 60 represents an aryl group having 6 to 20 carbon atoms or an aralkyl group having 7 to 20 carbon atoms.

前記式(AL−11)で示されるアセタール化合物を(AL−11)−1〜(AL−11)−34に例示する。   Examples of the acetal compound represented by the formula (AL-11) are (AL-11) -1 to (AL-11) -34.

Figure 2009157338
Figure 2009157338

Figure 2009157338
Figure 2009157338

また、一般式(AL−11a)あるいは(AL−11b)で表される酸不安定基によってベース樹脂が分子間あるいは分子内架橋されていてもよい。   In addition, the base resin may be intermolecularly or intramolecularly crosslinked by an acid labile group represented by the general formula (AL-11a) or (AL-11b).

Figure 2009157338
Figure 2009157338

上記式中、R61、R62は水素原子、又は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基を示す。又は、R61とR62は結合してこれらが結合する炭素原子と共に環を形成してもよく、環を形成する場合にはR61、R62は炭素数1〜8の直鎖状又は分岐状のアルキレン基を示す。R63は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、b5、d5は0又は1〜10、好ましくは0又は1〜5の整数、c5は1〜7の整数である。Aは、(c5+1)価の炭素数1〜50の脂肪族もしくは脂環式飽和炭化水素基、芳香族炭化水素基又はヘテロ環基を示し、これらの基はO、S、N等のヘテロ原子を介在してもよく、又はその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、カルボニル基又はフッ素原子によって置換されていてもよい。Bは−CO−O−、−NHCO−O−又は−NHCONH−を示す。 In the above formula, R 61 and R 62 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms. Alternatively, R 61 and R 62 may be bonded to form a ring together with the carbon atom to which they are bonded, and when forming a ring, R 61 and R 62 are linear or branched having 1 to 8 carbon atoms. -Like alkylene group. R 63 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, b5 and d5 are 0 or 1 to 10, preferably 0 or an integer of 1 to 5, and c5 is an integer of 1 to 7. . A represents a (c5 + 1) -valent aliphatic or alicyclic saturated hydrocarbon group, aromatic hydrocarbon group or heterocyclic group having 1 to 50 carbon atoms, and these groups are heteroatoms such as O, S, and N. Or a part of hydrogen atoms bonded to the carbon atom may be substituted with a hydroxyl group, a carboxyl group, a carbonyl group or a fluorine atom. B represents —CO—O—, —NHCO—O— or —NHCONH—.

この場合、好ましくはAは2〜4価の炭素数1〜20の直鎖状、分岐状又は環状のアルキレン基、アルカントリイル基、アルカンテトライル基、炭素数6〜30のアリーレン基であり、これらの基はO、S、N等のヘテロ原子を介在していてもよく、またその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、アシル基又はハロゲン原子によって置換されていてもよい。また、c5は好ましくは1〜3の整数である。   In this case, A is preferably a divalent to tetravalent C1-C20 linear, branched or cyclic alkylene group, alkanetriyl group, alkanetetrayl group, or C6-C30 arylene group. These groups may have intervening heteroatoms such as O, S, N, etc., and a part of the hydrogen atoms bonded to the carbon atoms are substituted by a hydroxyl group, a carboxyl group, an acyl group or a halogen atom. Also good. C5 is preferably an integer of 1 to 3.

一般式(AL−11a)、(AL−11b)で示される架橋型アセタール基は、具体的には下記式(AL−11)−35〜(AL−11)−42のものが挙げられる。   Specific examples of the crosslinked acetal groups represented by the general formulas (AL-11a) and (AL-11b) include those represented by the following formulas (AL-11) -35 to (AL-11) -42.

Figure 2009157338
Figure 2009157338

次に、前記式(AL−12)に示される3級アルキル基としては、tert−ブチル基、トリエチルカルビル基、1−エチルノルボニル基、1−メチルシクロヘキシル基、1−エチルシクロペンチル基、tert−アミル基等、あるいは下記一般式(AL−12)−1〜(AL−12)−16を挙げることができる。   Next, examples of the tertiary alkyl group represented by the formula (AL-12) include tert-butyl group, triethylcarbyl group, 1-ethylnorbornyl group, 1-methylcyclohexyl group, 1-ethylcyclopentyl group, tert -An amyl group etc., or the following general formula (AL-12) -1-(AL-12) -16 can be mentioned.

Figure 2009157338
Figure 2009157338

上記式中、R64は同一又は異種の炭素数1〜8の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示す。R65、R67は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基を示す。R66は炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基を示す。 In the above formula, R 64 represents the same or different linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, an aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms. R 65 and R 67 each represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R 66 represents an aryl group having 6 to 20 carbon atoms or an aralkyl group having 7 to 20 carbon atoms.

更に、下記式(AL−12)−17、(AL−12)−18に示すように、2価以上のアルキレン基、又はアリーレン基であるR68を含んで、ポリマーの分子内あるいは分子間が架橋されていてもよい。式(AL−12)−17、(AL−12)−18のR64は前述と同様、R68は炭素数1〜20の直鎖状、分岐状又は環状のアルキレン基、又はアリーレン基を示し、酸素原子や硫黄原子、窒素原子などのヘテロ原子を含んでいてもよい。b6は1〜3の整数である。 Furthermore, as shown in the following formulas (AL-12) -17 and (AL-12) -18, a divalent or higher valent alkylene group or an arylene group R 68 is included, and the polymer within or between the molecules is It may be cross-linked. In the formulas (AL-12) -17 and (AL-12) -18, R 64 represents the same as described above, and R 68 represents a linear, branched or cyclic alkylene group having 1 to 20 carbon atoms, or an arylene group. And may contain a hetero atom such as an oxygen atom, a sulfur atom or a nitrogen atom. b6 is an integer of 1 to 3.

Figure 2009157338
Figure 2009157338

更に、R64、R65、R66、R67は酸素、窒素、硫黄などのヘテロ原子を有していてもよく、具体的には下記式(AL−13)−1〜(AL−13)−7に示すことができる。 Further, R 64 , R 65 , R 66 and R 67 may have a heteroatom such as oxygen, nitrogen and sulfur, specifically, the following formulas (AL-13) -1 to (AL-13) It can be shown in -7.

Figure 2009157338
Figure 2009157338

特に、上記式(AL−12)の酸不安定基としては、下記式(AL−12)−19に示されるエキソ体構造を有するものが好ましい。

Figure 2009157338

(式中、R69は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示す。R70〜R75及びR78、R79はそれぞれ独立に水素原子又は炭素数1〜15のヘテロ原子を含んでもよいアルキル基等の1価の炭化水素基を示し、R76、R77は水素原子又は−CH2−O−CH3を示す。あるいは、R70とR71、R72とR74、R72とR75、R73とR75、R73とR79、R74とR78、R76とR77又はR77とR78は互いに結合してこれらが結合する炭素原子と共に環を形成していてもよく、その場合には環の形成に関与する基は炭素数1〜15のヘテロ原子を含んでもよいアルキレン基等の2価の炭化水素基を示す。またR70とR79、R76とR79又はR72とR74は隣接する炭素に結合するもの同士で何も介さずに結合し、二重結合を形成してもよい。また、本式により、鏡像体も表す。) In particular, as the acid labile group of the above formula (AL-12), those having an exo-body structure represented by the following formula (AL-12) -19 are preferable.
Figure 2009157338

(In the formula, R 69 represents a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms or an optionally substituted aryl group having 6 to 20 carbon atoms. R 70 to R 75 and R 78. , R 79 each independently represents a hydrogen atom or a monovalent hydrocarbon group such as an alkyl group which may contain a hetero atom having 1 to 15 carbon atoms, and R 76 and R 77 represent a hydrogen atom or —CH 2 —O—. CH 3 or R 70 and R 71 , R 72 and R 74 , R 72 and R 75 , R 73 and R 75 , R 73 and R 79 , R 74 and R 78 , R 76 and R 77 or R 77 and R 78 may be bonded to each other to form a ring together with the carbon atom to which they are bonded, in which case the group involved in the formation of the ring is an alkylene which may contain a heteroatom having 1 to 15 carbon atoms a divalent hydrocarbon group such as a group. the one R 70 and R 79, R 76 and R 79 or R 72 and R 74 are bonded to the adjacent carbon Bonded without interposing anything in, and may form a double bond. The formula also represents enantiomer.)

ここで、一般式(AL−12)−19に示すエキソ体構造を有する下記繰り返し単位

Figure 2009157338

を得るためのエステル体のモノマーとしては、特開2000−327633号公報に示されている。具体的には下記に示すものを挙げることができるが、これらに限定されることはない。なお、R111、R112は、互いに独立に、水素原子、メチル基、−COOCH3、−CH2COOCH3等を示す。 Here, the following repeating unit having an exo-body structure represented by the general formula (AL-12) -19
Figure 2009157338

JP-A-2000-327633 discloses an ester monomer for obtaining the above. Specific examples include the following, but are not limited thereto. R 111 and R 112 each independently represent a hydrogen atom, a methyl group, —COOCH 3 , —CH 2 COOCH 3 or the like.

Figure 2009157338
Figure 2009157338

更に、上記式(AL−12)の酸不安定基としては、下記式(AL−12)−20に示されるフランジイル、テトラヒドロフランジイル又はオキサノルボルナンジイルを有する酸不安定基を挙げることができる。   Furthermore, examples of the acid labile group of the above formula (AL-12) include an acid labile group having frangiyl, tetrahydrofurandiyl or oxanorbornanediyl represented by the following formula (AL-12) -20.

Figure 2009157338

(式中、R80、R81はそれぞれ独立に炭素数1〜10の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基を示す。又は、R80、R81は互いに結合してこれらが結合する炭素原子と共に炭素数3〜20の脂肪族炭化水素環を形成してもよい。R82はフランジイル、テトラヒドロフランジイル又はオキサノルボルナンジイルから選ばれる2価の基を示す。R83は水素原子又はヘテロ原子を含んでもよい炭素数1〜10の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基を示す。)
Figure 2009157338

(In the formula, R 80 and R 81 each independently represent a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or R 80 and R 81 are bonded to each other. Then, an aliphatic hydrocarbon ring having 3 to 20 carbon atoms may be formed together with the carbon atom to which they are bonded, and R 82 represents a divalent group selected from flangedyl, tetrahydrofurandiyl or oxanorbornanediyl. 83 represents a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may contain a hydrogen atom or a hetero atom.)

フランジイル、テトラヒドロフランジイル又はオキサノルボルナンジイルを有する酸不安定基で置換された繰り返し単位

Figure 2009157338

を得るためのモノマーとしては、下記に例示される。なお、R112は上記の通りである。また、下記式中Meはメチル基、Acはアセチル基を示す。 Repeating units substituted with acid labile groups having frangyl, tetrahydrofurandiyl or oxanorbornanediyl
Figure 2009157338

Examples of the monomer for obtaining the are as follows. R 112 is as described above. In the following formulae, Me represents a methyl group, and Ac represents an acetyl group.

Figure 2009157338
Figure 2009157338

Figure 2009157338
Figure 2009157338

上記第1のポジ型レジスト材料におけるベース樹脂としての高分子化合物は、更に下記一般式(c1)又は(c2)で示される7−オキサノルボルナン環を有する繰り返し単位を有することが好ましい。

Figure 2009157338

(式中、R5、R10は水素原子又はメチル基を示す。R6、R11は単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基であり、エーテル基(−O−)又はエステル基(−COO−)を有していてもよいが、炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基の場合、式中のエステル基に連結した炭素原子は1級又は2級である。R7、R8、R9、R12、R13、R14、R15は水素原子、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキル基である。) The polymer compound as the base resin in the first positive resist material preferably further has a repeating unit having a 7-oxanorbornane ring represented by the following general formula (c1) or (c2).
Figure 2009157338

(In the formula, R 5 and R 10 represent a hydrogen atom or a methyl group. R 6 and R 11 represent a single bond, or a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, and an ether group. (-O-) or an ester group (-COO-) may be present, but in the case of a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, it is linked to the ester group in the formula The carbon atom is primary or secondary, and R 7 , R 8 , R 9 , R 12 , R 13 , R 14 , and R 15 are hydrogen atoms, linear, branched or cyclic having 1 to 6 carbon atoms. The alkyl group of

7−オキサノルボルナン環を有する繰り返し単位を得るためのモノマーとしては、下記一般式Mc1、Mc2で示される。ここで、R5〜R15は前述と同じである。

Figure 2009157338
Monomers for obtaining a repeating unit having a 7-oxanorbornane ring are represented by the following general formulas Mc1 and Mc2. Here, R 5 to R 15 are the same as described above.
Figure 2009157338

繰り返し単位c1、c2を得るためのモノマーとしては、具体的に下記に挙げることができる。   Specific examples of the monomer for obtaining the repeating units c1 and c2 include the following.

Figure 2009157338
Figure 2009157338

以上のことから、第1のポジ型レジスト材料は、ベース樹脂として、下記一般式(1)に示される繰り返し単位(a)と(b)を有する高分子化合物、特に下記一般式(2)に示される繰り返し単位(a)、(b)、(c1)、(c2)を有する高分子化合物が好ましい。   From the above, the first positive resist material has, as a base resin, a polymer compound having repeating units (a) and (b) represented by the following general formula (1), particularly the following general formula (2). A polymer compound having the repeating units (a), (b), (c1) and (c2) shown is preferable.

Figure 2009157338

(式中、R1、R3は同一又は異種の水素原子又はメチル基を示す。Xは単結合、又は−C(=O)−O−であり、Yは単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基で、エステル基又はエーテル基を有していてもよい。あるいは、Yは、ベンゼン環の互いに隣接する2個の炭素原子と結合して脂環を形成する3価の基である。R2は同一又は異種の水素原子、ハロゲン原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、炭素数2〜6のアルケニル基、炭素数6〜10のアリール基、又はトリフルオロメチル基であり、mは1〜3の整数、nは2〜4の整数であり、m+n=5である。R4は酸不安定基を示す。a、bは0<a<1.0、0<b<1.0、0<a+b≦1.0の範囲である。)
Figure 2009157338

(In the formula, R 1 and R 3 represent the same or different hydrogen atoms or methyl groups. X is a single bond, or —C (═O) —O—, and Y is a single bond, or a carbon number of 1 to A linear, branched or cyclic alkylene group which may have an ester group or an ether group, or Y is bonded to two adjacent carbon atoms of the benzene ring to form an alicyclic ring R 2 is the same or different hydrogen atom, halogen atom, linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, alkenyl group having 2 to 6 carbon atoms, An aryl group having 6 to 10 carbon atoms or a trifluoromethyl group, m is an integer of 1 to 3, n is an integer of 2 to 4, and m + n = 5, and R 4 represents an acid labile group. A and b are in the range of 0 <a <1.0, 0 <b <1.0, and 0 <a + b ≦ 1.0.)

Figure 2009157338

(式中、R1、R3、R5、R10は同一又は異種の水素原子又はメチル基を示す。Xは単結合、又は−C(=O)−O−であり、Yは単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基で、エステル基又はエーテル基を有していてもよい。あるいは、Yは、ベンゼン環の互いに隣接する2個の炭素原子と結合して脂環を形成する3価の基である。R2は同一又は異種の水素原子、ハロゲン原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、炭素数2〜6のアルケニル基、炭素数6〜10のアリール基、又はトリフルオロメチル基であり、mは1〜3の整数、nは2〜4の整数であり、m+n=5である。R4は酸不安定基を示す。R6、R11は単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基であり、エーテル基又はエステル基を有していてもよいが、炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基の場合、式中のエステル基に連結した炭素原子は1級又は2級である。R7、R8、R9、R12、R13、R14、R15は水素原子、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキル基である。a、b、c1、c2は0<a<1.0、0<b<1.0、0≦c1<1.0、0≦c2<1.0、0<c1+c2<1.0、0<a+b+c1+c2≦1.0の範囲である。)
Figure 2009157338

(In the formula, R 1 , R 3 , R 5 and R 10 are the same or different hydrogen atoms or methyl groups. X is a single bond, or —C (═O) —O—, and Y is a single bond. Or a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms which may have an ester group or an ether group, or Y represents two carbon atoms adjacent to each other in the benzene ring. R 2 is the same or different hydrogen atom, halogen atom, linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, carbon number 2 6 alkenyl group, an aryl group having 6 to 10 carbon atoms, or a trifluoromethyl group, m is an integer of 1 to 3, n is an integer from 2 to 4, .R 4 is a m + n = 5 is An acid labile group, wherein R 6 and R 11 are a single bond or a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms. In the case of a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, the carbon atom linked to the ester group in the formula is primary. R 7 , R 8 , R 9 , R 12 , R 13 , R 14 , R 15 are a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms. A, b, c1, c2 are 0 <a <1.0, 0 <b <1.0, 0 ≦ c1 <1.0, 0 ≦ c2 <1.0, 0 <c1 + c2 <1.0, 0 <A + b + c1 + c2 ≦ 1.0.

本発明のパターン形成方法に用いられる高分子化合物は、一般式(1)に示される繰り返し単位(a)の内の少なくとも1つの繰り返し単位と繰り返し単位(b)と、繰り返し単位(c1)又は(c2)とを有することが好ましいが、更にはヒドロキシ基、シアノ基、カルボニル基、エステル基、エーテル基、ラクトン環、カルボニル基、カルボン酸無水物基等の密着性基を有するモノマーに由来する繰り返し単位dを共重合させてもよい。
繰り返し単位dのモノマー単位は下記に例示される。
The polymer compound used in the pattern forming method of the present invention includes at least one repeating unit (a) represented by the general formula (1), the repeating unit (b), the repeating unit (c1) or ( c2), but it is further a repeat derived from a monomer having an adhesive group such as a hydroxy group, a cyano group, a carbonyl group, an ester group, an ether group, a lactone ring, a carbonyl group, or a carboxylic acid anhydride group. Unit d may be copolymerized.
The monomer unit of the repeating unit d is exemplified below.

Figure 2009157338
Figure 2009157338

Figure 2009157338
Figure 2009157338

Figure 2009157338
Figure 2009157338

Figure 2009157338
Figure 2009157338

Figure 2009157338
Figure 2009157338

Figure 2009157338
Figure 2009157338

Figure 2009157338
Figure 2009157338

上記繰り返し単位a、b、c1、c2、dにおいて、これら繰り返し単位の比率は、0<a<1.0、0<b<1.0、0≦c1<1.0、0≦c2<1.0、0≦d<1.0で、0<a+b+c1+c2+d≦1.0であり、この場合、特に好適には0<c1+c2<1.0である。
好ましくは0.05≦a≦0.8、0.1≦b≦0.8、0≦c1≦0.8、0≦c2≦0.8、0≦d≦0.8で、0.2≦a+b+c1+c2≦1.0であり、この場合、特に好適には0.1≦c1+c2≦0.8で、0.3≦a+b+c1+c2+d≦1.0である。
より好ましくは0.07≦a≦0.7、0.12≦b≦0.7、0≦c1≦0.7、0≦c2≦0.7、0≦d≦0.7で、0.3≦a+b+c1+c2+d≦1.0であり、この場合、特に好適には0.2≦c1+c2≦0.7で、0.5≦a+b+c1+c2+d≦1.0である。
In the repeating units a, b, c1, c2, and d, the ratio of these repeating units is as follows: 0 <a <1.0, 0 <b <1.0, 0 ≦ c1 <1.0, 0 ≦ c2 <1 0.0, 0 ≦ d <1.0, and 0 <a + b + c1 + c2 + d ≦ 1.0. In this case, 0 <c1 + c2 <1.0 is particularly preferable.
Preferably 0.05 ≦ a ≦ 0.8, 0.1 ≦ b ≦ 0.8, 0 ≦ c1 ≦ 0.8, 0 ≦ c2 ≦ 0.8, 0 ≦ d ≦ 0.8, 0.2 ≦ a + b + c1 + c2 ≦ 1.0. In this case, 0.1 ≦ c1 + c2 ≦ 0.8 and 0.3 ≦ a + b + c1 + c2 + d ≦ 1.0 are particularly preferable.
More preferably, 0.07 ≦ a ≦ 0.7, 0.12 ≦ b ≦ 0.7, 0 ≦ c1 ≦ 0.7, 0 ≦ c2 ≦ 0.7, 0 ≦ d ≦ 0.7. 3 ≦ a + b + c1 + c2 + d ≦ 1.0. In this case, it is particularly preferable that 0.2 ≦ c1 + c2 ≦ 0.7 and 0.5 ≦ a + b + c1 + c2 + d ≦ 1.0.

なお、a+b+c1+c2+d=1.0である。ここで、例えばa+b+c1+c2=1とは、繰り返し単位a、b、c1、c2を含む高分子化合物において、繰り返し単位a、b、c1、c2の合計量が全繰り返し単位の合計量に対して100モル%であることを示し、a+b+c1+c2<1とは、繰り返し単位a、b、c1、c2の合計量が全繰り返し単位の合計量に対して100モル%未満でa、b、c1、c2以外に他の繰り返し単位dを有していることを示す。
更に、a+b+c1+c2+d<1の場合、a、b、c1、c2、d以外に他の繰り返し単位としてインデン類、アセナフチレン類、ノルボルネン類、ノルボルナジエン類を含有してもよい。
Note that a + b + c1 + c2 + d = 1.0. Here, for example, a + b + c1 + c2 = 1 means that in the polymer compound containing the repeating units a, b, c1, and c2, the total amount of the repeating units a, b, c1, and c2 is 100 mol with respect to the total amount of all the repeating units. A + b + c1 + c2 <1 means that the total amount of repeating units a, b, c1, and c2 is less than 100 mol% with respect to the total amount of all repeating units, and other than a, b, c1, and c2. It has the repeating unit d.
Furthermore, in the case of a + b + c1 + c2 + d <1, in addition to a, b, c1, c2, and d, indene, acenaphthylene, norbornene, and norbornadiene may be contained as another repeating unit.

本発明のパターン形成方法に用いられるレジスト材料のベースポリマーとなる高分子化合物は、ゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算重量平均分子量が1,000〜500,000、特に2,000〜30,000であることが好ましい。重量平均分子量が小さすぎるとレジスト材料現像後の熱架橋における架橋効率が低下するものとなり、大きすぎるとアルカリ溶解性が低下し、パターン形成後に裾引き現象が生じ易くなる可能性がある。   The polymer compound serving as the base polymer of the resist material used in the pattern forming method of the present invention has a weight average molecular weight in terms of polystyrene by gel permeation chromatography (GPC) of 1,000 to 500,000, particularly 2,000 to 30. , 000 is preferable. If the weight average molecular weight is too small, the crosslinking efficiency in the thermal crosslinking after development of the resist material is lowered. If it is too large, the alkali solubility is lowered, and the trailing phenomenon may easily occur after pattern formation.

更に、本発明のパターン形成方法に用いられるレジスト材料のベースポリマーとなる高分子化合物においては、分子量分布(Mw/Mn)が広い場合は低分子量や高分子量のポリマーが存在するために露光後、パターン上に異物が見られたり、パターンの形状が悪化したりするおそれがある。それ故、パターンルールが微細化するに従ってこのような分子量、分子量分布の影響が大きくなり易いことから、微細なパターン寸法に好適に用いられるレジスト材料を得るには、使用する多成分共重合体の分子量分布は1.0〜2.0、特に1.0〜1.5と狭分散であることが好ましい。   Furthermore, in the polymer compound serving as the base polymer of the resist material used in the pattern forming method of the present invention, when the molecular weight distribution (Mw / Mn) is wide, a low molecular weight or high molecular weight polymer exists, so that after exposure, There is a possibility that foreign matter is seen on the pattern or the shape of the pattern is deteriorated. Therefore, since the influence of such molecular weight and molecular weight distribution tends to increase as the pattern rule becomes finer, in order to obtain a resist material suitably used for fine pattern dimensions, the multi-component copolymer to be used is obtained. The molecular weight distribution is preferably from 1.0 to 2.0, particularly preferably from 1.0 to 1.5 and narrow dispersion.

また、組成比率や分子量分布や分子量が異なる2つ以上のポリマーをブレンドすることも可能である。   It is also possible to blend two or more polymers having different composition ratios, molecular weight distributions, and molecular weights.

これら高分子化合物を合成するには、1つの方法としては繰り返し単位a、b、c1、c2、dを得るための不飽和結合を有するモノマーを有機溶剤中、ラジカル開始剤を加え加熱重合を行う方法があり、これにより高分子化合物を得ることができる。重合時に使用する有機溶剤としては、トルエン、ベンゼン、テトラヒドロフラン、ジエチルエーテル、ジオキサン等が例示できる。重合開始剤としては、2,2’−アゾビスイソブチロニトリル(AIBN)、2,2’−アゾビス(2,4−ジメチルバレロニトリル)、ジメチル2,2−アゾビス(2−メチルプロピオネート)、ベンゾイルパーオキシド、ラウロイルパーオキシド等が例示でき、好ましくは50〜80℃に加熱して重合できる。反応時間としては2〜100時間、好ましくは5〜20時間である。酸不安定基は、モノマーに導入されたものをそのまま用いてもよいし、酸不安定基を酸触媒によって一旦脱離し、その後保護化あるいは部分保護化してもよい。なお、上記ベース樹脂を構成する高分子化合物は1種に限らず2種以上を添加することができる。複数種の高分子化合物を用いることにより、レジスト材料の性能を調整することができる。   In order to synthesize these polymer compounds, as one method, a monomer having an unsaturated bond for obtaining the repeating units a, b, c1, c2, and d is added to a radical initiator in an organic solvent and subjected to heat polymerization. There is a method, whereby a polymer compound can be obtained. Examples of the organic solvent used at the time of polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, dioxane and the like. As polymerization initiators, 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis (2-methylpropionate) ), Benzoyl peroxide, lauroyl peroxide and the like, and preferably polymerized by heating to 50 to 80 ° C. The reaction time is 2 to 100 hours, preferably 5 to 20 hours. As the acid labile group, those introduced into the monomer may be used as they are, or the acid labile group may be once removed by an acid catalyst and then protected or partially protected. The polymer compound constituting the base resin is not limited to one type, and two or more types can be added. The performance of the resist material can be adjusted by using a plurality of types of polymer compounds.

本発明のレジスト材料は、特に化学増幅ポジ型レジスト材料として機能させるために酸発生剤を含んでもよく、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有してもよい。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでも構わない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。以下に詳述するが、これらは単独であるいは2種以上混合して用いることができる。   The resist material of the present invention may contain an acid generator, particularly for functioning as a chemically amplified positive resist material. For example, the resist material contains a compound that generates an acid in response to actinic rays or radiation (photoacid generator). May be. The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. Although described in detail below, these can be used alone or in admixture of two or more.

スルホニウム塩は、スルホニウムカチオンとスルホネートあるいはビス(置換アルキルスルホニル)イミド、トリス(置換アルキルスルホニル)メチドの塩であり、スルホニウムカチオンとしてトリフェニルスルホニウム、(4−tert−ブトキシフェニル)ジフェニルスルホニウム、ビス(4−tert−ブトキシフェニル)フェニルスルホニウム、トリス(4−tert−ブトキシフェニル)スルホニウム、(3−tert−ブトキシフェニル)ジフェニルスルホニウム、ビス(3−tert−ブトキシフェニル)フェニルスルホニウム、トリス(3−tert−ブトキシフェニル)スルホニウム、(3,4−ジtert−ブトキシフェニル)ジフェニルスルホニウム、ビス(3,4−ジtert−ブトキシフェニル)フェニルスルホニウム、トリス(3,4−ジtert−ブトキシフェニル)スルホニウム、ジフェニル(4−チオフェノキシフェニル)スルホニウム、(4−tert−ブトキシカルボニルメチルオキシフェニル)ジフェニルスルホニウム、トリス(4−tert−ブトキシカルボニルメチルオキシフェニル)スルホニウム、(4−tert−ブトキシフェニル)ビス(4−ジメチルアミノフェニル)スルホニウム、トリス(4−ジメチルアミノフェニル)スルホニウム、2−ナフチルジフェニルスルホニウム、ジメチル2−ナフチルスルホニウム、4−ヒドロキシフェニルジメチルスルホニウム、4−メトキシフェニルジメチルスルホニウム、トリメチルスルホニウム、2−オキソシクロヘキシルシクロヘキシルメチルスルホニウム、トリナフチルスルホニウム、トリベンジルスルホニウム、ジフェニルメチルスルホニウム、ジメチルフェニルスルホニウム、2−オキソ−2−フェニルエチルチアシクロペンタニウム、4−n−ブトキシナフチル−1−チアシクロペンタニウム、2−n−ブトキシナフチル−1−チアシクロペンタニウム等が挙げられ、スルホネートとしては、トリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられ、ビス(置換アルキルスルホニル)イミドとしてはビストリフルオロメチルスルホニルイミド、ビスペンタフルオロエチルスルホニルイミド、ビスヘプタフルオロプロピルスルホニルイミド、1,3−プロピレンビススルホニルイミド等が挙げられ、トリス(置換アルキルスルホニル)メチドとしてはトリストリフルオロメチルスルホニルメチドが挙げられ、これらの組み合わせのスルホニウム塩が挙げられる。 The sulfonium salt is a salt of a sulfonium cation and a sulfonate or bis (substituted alkylsulfonyl) imide or tris (substituted alkylsulfonyl) methide. As the sulfonium cation, triphenylsulfonium, (4-tert-butoxyphenyl) diphenylsulfonium, bis (4 -Tert-butoxyphenyl) phenylsulfonium, tris (4-tert-butoxyphenyl) sulfonium, (3-tert-butoxyphenyl) diphenylsulfonium, bis (3-tert-butoxyphenyl) phenylsulfonium, tris (3-tert-butoxy Phenyl) sulfonium, (3,4-ditert-butoxyphenyl) diphenylsulfonium, bis (3,4-ditert-butoxyphenyl) phenylsulfo , Tris (3,4-ditert-butoxyphenyl) sulfonium, diphenyl (4-thiophenoxyphenyl) sulfonium, (4-tert-butoxycarbonylmethyloxyphenyl) diphenylsulfonium, tris (4-tert-butoxycarbonylmethyloxy) Phenyl) sulfonium, (4-tert-butoxyphenyl) bis (4-dimethylaminophenyl) sulfonium, tris (4-dimethylaminophenyl) sulfonium, 2-naphthyldiphenylsulfonium, dimethyl 2-naphthylsulfonium, 4-hydroxyphenyldimethylsulfonium 4-methoxyphenyldimethylsulfonium, trimethylsulfonium, 2-oxocyclohexylcyclohexylmethylsulfonium, trinaphthylsulfo , Tribenzylsulfonium, diphenylmethylsulfonium, dimethylphenylsulfonium, 2-oxo-2-phenylethylthiacyclopentanium, 4-n-butoxynaphthyl-1-thiacyclopentanium, 2-n-butoxynaphthyl-1- Examples of the sulfonate include trifluoromethane sulfonate, pentafluoroethane sulfonate, nonafluorobutane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate, heptadecafluorooctane sulfonate, 2,2, and the like. 2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzene Sulfonate, mesitylene sulfonate, 2,4,6-triisopropylbenzene sulfonate, toluene sulfonate, benzene sulfonate, 4- (4′-toluenesulfonyloxy) benzene sulfonate, naphthalene sulfonate, camphor sulfonate, octane sulfonate, dodecyl benzene sulfonate, butane sulfonate Methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, , 1,3,3,3-pentafluoro-2-pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate 1,1,3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2- (4- tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropanesulfonate, 2-adamantanecarbonylcarbonyl-1,1,3,3,3-pentafluoropropanesulfonate, 2-acetyloxy-1 1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxy Propanesulfonate, 1,1-difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- Norbornane-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2, 5. 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate, etc., and bis (substituted alkylsulfonyl) imide includes bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide 1,3-propylenebissulfonylimide and the like, and tris (substituted alkylsulfonyl) methides include tristrifluoromethylsulfonylmethide, and sulfonium salts of these combinations.

ヨードニウム塩は、ヨードニウムカチオンとスルホネートあるいはビス(置換アルキルスルホニル)イミド、トリス(置換アルキルスルホニル)メチドの塩であり、ジフェニルヨードニウム、ビス(4−tert−ブチルフェニル)ヨードニウム、4−tert−ブトキシフェニルフェニルヨードニウム、4−メトキシフェニルフェニルヨードニウム等のアリールヨードニウムカチオンとスルホネートとしてトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、4−(4−トルエンスルホニルオキシ)ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられ、ビス(置換アルキルスルホニル)イミドとしてはビストリフルオロメチルスルホニルイミド、ビスペンタフルオロエチルスルホニルイミド、ビスヘプタフルオロプロピルスルホニルイミド、1,3−プロピレンビススルホニルイミド等が挙げられ、トリス(置換アルキルスルホニル)メチドとしてはトリストリフルオロメチルスルホニルメチドが挙げられ、これらの組み合わせのヨードニウム塩が挙げられる。 The iodonium salt is a salt of iodonium cation and sulfonate or bis (substituted alkylsulfonyl) imide, tris (substituted alkylsulfonyl) methide, diphenyliodonium, bis (4-tert-butylphenyl) iodonium, 4-tert-butoxyphenylphenyl. Aryliodonium cations such as iodonium, 4-methoxyphenylphenyliodonium and sulfonates such as trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2 , 2,2-Trifluoroethanesulfonate, pentafluorobenzene Sulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4- (4-toluenesulfonyloxy) benzenesulfonate, naphthalenesulfonate , Camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro- 2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cycl Hexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1, 3,3,3-pentafluoropropane sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3 , 3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1, , 1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difur Oro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4. 4.0.1 2,5. 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate, etc., and bis (substituted alkylsulfonyl) imide includes bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide 1,3-propylenebissulfonylimide and the like, and tris (substituted alkylsulfonyl) methide includes tristrifluoromethylsulfonylmethide, and iodonium salts of these combinations.

スルホニルジアゾメタンとしては、ビス(エチルスルホニル)ジアゾメタン、ビス(1−メチルプロピルスルホニル)ジアゾメタン、ビス(2−メチルプロピルスルホニル)ジアゾメタン、ビス(1,1−ジメチルエチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(パーフルオロイソプロピルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(4−メチルフェニルスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(2−ナフチルスルホニル)ジアゾメタン、ビス(4−アセチルオキシフェニルスルホニル)ジアゾメタン、ビス(4−メタンスルホニルオキシフェニルスルホニル)ジアゾメタン、ビス(4−(4−トルエンスルホニルオキシ)フェニルスルホニル)ジアゾメタン、ビス(4−n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(3,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−5−イソプロピル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、4−メチルフェニルスルホニルベンゾイルジアゾメタン、tertブチルカルボニル−4−メチルフェニルスルホニルジアゾメタン、2−ナフチルスルホニルベンゾイルジアゾメタン、4−メチルフェニルスルホニル2−ナフトイルジアゾメタン、メチルスルホニルベンゾイルジアゾメタン、tertブトキシカルボニル−4−メチルフェニルスルホニルジアゾメタン等のビススルホニルジアゾメタンとスルホニル−カルボニルジアゾメタンが挙げられる。   As the sulfonyldiazomethane, bis (ethylsulfonyl) diazomethane, bis (1-methylpropylsulfonyl) diazomethane, bis (2-methylpropylsulfonyl) diazomethane, bis (1,1-dimethylethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane , Bis (perfluoroisopropylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (4-methylphenylsulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (2-naphthylsulfonyl) diazomethane, bis ( 4-acetyloxyphenylsulfonyl) diazomethane, bis (4-methanesulfonyloxyphenylsulfonyl) diazomethane, bis (4- (4-toluenes) Phonyloxy) phenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4-) (N-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-5-isopropyl-4- (n-hexyloxy) Phenylsulfonyl) diazomethane, 4-methylphenylsulfonylbenzoyldiazomethane, tertbutylcarbonyl-4-methylphenylsulfonyldiazomethane, 2-naphthylsulfonylbenzoyldiazomethane, 4-methylphenylsulfonyl-2-naphthoyldi Include carbonyl diazomethane - Zometan, bissulfonyldiazomethanes and sulfonyl such as methylsulfonyl benzoyl diazomethane, tert-butoxycarbonyl-4-methylphenyl sulfonyl diazomethane.

N−スルホニルオキシイミド型光酸発生剤としては、コハク酸イミド、ナフタレンジカルボン酸イミド、フタル酸イミド、シクロヘキシルジカルボン酸イミド、5−ノルボルネン−2,3−ジカルボン酸イミド、7−オキサビシクロ[2.2.1]−5−ヘプテン−2,3−ジカルボン酸イミド等のイミド骨格とトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等の組み合わせの化合物が挙げられる。 Examples of the N-sulfonyloxyimide type photoacid generator include succinimide, naphthalene dicarboxylic imide, phthalic imide, cyclohexyl dicarboxylic imide, 5-norbornene-2,3-dicarboxylic imide, 7-oxabicyclo [2. 2.1] An imide skeleton such as 5-heptene-2,3-dicarboxylic acid imide and trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadeca Fluorooctane sulfonate, 2,2,2-trifluoroethane sulfonate, pentafluorobenzene sulfonate, 4-trifluoromethylbenzene sulfonate, 4-fluorobenzene sulfonate , Mesitylene sulfonate, 2,4,6-triisopropylbenzene sulfonate, toluene sulfonate, benzene sulfonate, naphthalene sulfonate, camphor sulfonate, octane sulfonate, dodecyl benzene sulfonate, butane sulfonate, methane sulfonate, 2-benzoyloxy-1,1, 3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2 -Pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanoate Sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-Adamantanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3 3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1,1,2, 2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetra Fluoro-2- (tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like.

ベンゾインスルホネート型光酸発生剤としては、ベンゾイントシレート、ベンゾインメシレート、ベンゾインブタンスルホネート等が挙げられる。   Examples of the benzoin sulfonate photoacid generator include benzoin tosylate, benzoin mesylate, and benzoin butane sulfonate.

ピロガロールトリスルホネート型光酸発生剤としては、ピロガロール、フロログリシノール、カテコール、レゾルシノール、ヒドロキノンのヒドロキシル基のすべてをトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等で置換した化合物が挙げられる。 Pyrogallol trisulfonate photoacid generators include pyrogallol, phloroglucinol, catechol, resorcinol, and hydroquinone all hydroxyl groups trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethyl. Perfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, Camphorsulfonate, octanesulfonate, dodecylbe Zensulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) ) Propane sulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1 , 3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-ada Mantanylcarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-penta Fluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1,1,2,2-tetra fluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10] dodeca-3 And a compound substituted with ene-8-yl) ethanesulfonate.

ニトロベンジルスルホネート型光酸発生剤としては、2,4−ジニトロベンジルスルホネート、2−ニトロベンジルスルホネート、2,6−ジニトロベンジルスルホネートが挙げられ、スルホネートとしては、具体的にトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられる。またベンジル側のニトロ基をトリフルオロメチル基で置き換えた化合物も同様に用いることができる。 Examples of the nitrobenzyl sulfonate photoacid generator include 2,4-dinitrobenzyl sulfonate, 2-nitrobenzyl sulfonate, and 2,6-dinitrobenzyl sulfonate. Specific examples of the sulfonate include trifluoromethane sulfonate and pentafluoroethane. Sulfonate, nonafluorobutane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate, heptadecafluorooctane sulfonate, 2,2,2-trifluoroethane sulfonate, pentafluorobenzene sulfonate, 4-trifluoromethylbenzene sulfonate, 4-Fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, camphor Sulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-Phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoro Propanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4- tert-butylbenzoyloxy) -1,1,3,3,3 Pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1 , 3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1 , 1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like. A compound in which the nitro group on the benzyl side is replaced with a trifluoromethyl group can also be used.

スルホン型光酸発生剤の例としては、ビス(フェニルスルホニル)メタン、ビス(4−メチルフェニルスルホニル)メタン、ビス(2−ナフチルスルホニル)メタン、2,2−ビス(フェニルスルホニル)プロパン、2,2−ビス(4−メチルフェニルスルホニル)プロパン、2,2−ビス(2−ナフチルスルホニル)プロパン、2−メチル−2−(p−トルエンスルホニル)プロピオフェノン、2−シクロヘキシルカルボニル)−2−(p−トルエンスルホニル)プロパン、2,4−ジメチル−2−(p−トルエンスルホニル)ペンタン−3−オン等が挙げられる。   Examples of the sulfone photoacid generator include bis (phenylsulfonyl) methane, bis (4-methylphenylsulfonyl) methane, bis (2-naphthylsulfonyl) methane, 2,2-bis (phenylsulfonyl) propane, 2, 2-bis (4-methylphenylsulfonyl) propane, 2,2-bis (2-naphthylsulfonyl) propane, 2-methyl-2- (p-toluenesulfonyl) propiophenone, 2-cyclohexylcarbonyl) -2- ( p-toluenesulfonyl) propane, 2,4-dimethyl-2- (p-toluenesulfonyl) pentan-3-one, and the like.

グリオキシム誘導体型の光酸発生剤は、特許第2906999号公報や特開平9−301948号公報に記載の化合物を挙げることができ、具体的にはビス−O−(p−トルエンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(p−トルエンスルホニル)−2,3−ペンタンジオングリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(メタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(2,2,2−トリフルオロエタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(10−カンファースルホニル)−α−ジメチルグリオキシム、ビス−O−(ベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トリフルオロメチルベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(キシレンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−ニオキシム、ビス−O−(2,2,2−トリフルオロエタンスルホニル)−ニオキシム、ビス−O−(10−カンファースルホニル)−ニオキシム、ビス−O−(ベンゼンスルホニル)−ニオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−ニオキシム、ビス−O−(p−トリフルオロメチルベンゼンスルホニル)−ニオキシム、ビス−O−(キシレンスルホニル)−ニオキシム等が挙げられる。   Examples of the glyoxime derivative-type photoacid generator include compounds described in Japanese Patent No. 2906999 and Japanese Patent Application Laid-Open No. 9-301948, and specifically, bis-O- (p-toluenesulfonyl) -α-. Dimethylglyoxime, bis-O- (p-toluenesulfonyl) -α-diphenylglyoxime, bis-O- (p-toluenesulfonyl) -α-dicyclohexylglyoxime, bis-O- (p-toluenesulfonyl) -2 , 3-pentanedione glyoxime, bis-O- (n-butanesulfonyl) -α-dimethylglyoxime, bis-O- (n-butanesulfonyl) -α-diphenylglyoxime, bis-O- (n-butane Sulfonyl) -α-dicyclohexylglyoxime, bis-O- (methanesulfonyl) -α-dimethylglyoxime, bis O- (trifluoromethanesulfonyl) -α-dimethylglyoxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -α-dimethylglyoxime, bis-O- (10-camphorsulfonyl) -α- Dimethylglyoxime, bis-O- (benzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-fluorobenzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -Α-dimethylglyoxime, bis-O- (xylenesulfonyl) -α-dimethylglyoxime, bis-O- (trifluoromethanesulfonyl) -nioxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -Nioxime, bis-O- (10-camphorsulfonyl) -nioxime, bis O- (benzenesulfonyl) -nioxime, bis-O- (p-fluorobenzenesulfonyl) -nioxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -nioxime, bis-O- (xylenesulfonyl) -nioxime, etc. Is mentioned.

また、米国特許第6004724号明細書記載のオキシムスルホネート、特に(5−(4−トルエンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(10−カンファースルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−n−オクタンスルホニルオキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(4−トルエンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル、(5−(10−カンファースルホニル)オキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル、(5−n−オクタンスルホニルオキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル等が挙げられ、更に米国特許第6916591号明細書記載の(5−(4−(4−トルエンスルホニルオキシ)ベンゼンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(2,5−ビス(4−トルエンスルホニルオキシ)ベンゼンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル等が挙げられる。   Further, oxime sulfonates described in US Pat. No. 6,0047,424, particularly (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (10-camphorsulfonyl) oxyimino-5H- Thiophen-2-ylidene) phenylacetonitrile, (5-n-octanesulfonyloxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) ( 2-Methylphenyl) acetonitrile, (5- (10-camphorsulfonyl) oxyimino-5H-thiophen-2-ylidene) (2-methylphenyl) acetonitrile, (5-n-octanesulfonyloxyimino-5H-thiof N-2-ylidene) (2-methylphenyl) acetonitrile and the like, and (5- (4- (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophene- described in US Pat. No. 6,916,591. 2-ylidene) phenylacetonitrile, (5- (2,5-bis (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, and the like.

米国特許第6261738号明細書、特開2000−314956号公報記載のオキシムスルホネート、特に2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(4−メトキシフェニルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(2,4,6−トリメチルフェニルスルホナート)、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(メチルスルホナート)、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メチルチオフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(3,4−ジメトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,3,3,4,4,4−ヘプタフルオロ−1−フェニル−ブタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−10−カンホリルスルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(2,4,6−トリメチルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(3,4−ジメトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−メチルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−ドデシルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−オクチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(4−ドデシルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−オクチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−フェニルスルホナート、2,2,2−トリフルオロ−1−(4−クロロフェニル)−エタノンオキシム−O−フェニルスルホナート、2,2,3,3,4,4,4−ヘプタフルオロ−1−(フェニル)−ブタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−ナフチル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−2−ナフチル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルフェニル]−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−[4−(フェニル−1,4−ジオキサ−ブト−1−イル)フェニル]−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−ナフチル−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−2−ナフチル−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メチルスルホニルフェニル]−エタノンオキシム−O−プロピルスルホナート、1,3−ビス[1−(4−フェノキシフェニル)−2,2,2−トリフルオロエタノンオキシム−O−スルホニル]フェニル、2,2,2−トリフルオロ−1−[4−メチルスルホニルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メチルカルボニルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[6H,7H−5,8−ジオキソナフト−2−イル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メトキシカルボニルメトキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−(メトキシカルボニル)−(4−アミノ−1−オキサ−ペンタ−1−イル)−フェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[3,5−ジメチル−4−エトキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[2−チオフェニル]−エタノンオキシム−O−プロピルスルホナート、及び2,2,2−トリフルオロ−1−[1−ジオキサ−チオフェン−2−イル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(トリフルオロメタンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(トリフルオロメタンスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(1−プロパンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(1−プロパンスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(1−ブタンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(1−ブタンスルホネート)等が挙げられ、更に米国特許第6916591号明細書記載の2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(4−(4−メチルフェニルスルホニルオキシ)フェニルスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(4−(4−メチルフェニルスルホニルオキシ)フェニルスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(2,5−ビス(4−メチルフェニルスルホニルオキシ)ベンゼンスルホニルオキシ)フェニルスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(2,5−ビス(4−メチルフェニルスルホニルオキシ)ベンゼンスルホニルオキシ)フェニルスルホネート)等が挙げられる。   U.S. Pat. No. 6,261,738, JP-A-2000-314956, oxime sulfonates, particularly 2,2,2-trifluoro-1-phenyl-ethanone oxime-O-methylsulfonate, 2,2,2- Trifluoro-1-phenyl-ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (4-methoxyphenyl sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2-naphthyl) Sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2,4,6-trimethylphenylsulfonate 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (4-methylphenyl) ) -Ethanone oxime-O- (methyl sulfonate), 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2 , 2-Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) ) -Ethanone oxime-O- (1-naphthyl sulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (2-naphthyl sulfonate), 2 2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (2,4 , 6-Trimethylphenyl) -ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- ( 2-naphthylsulfonate), 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methylthio) Phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,3 , 3,4,4,4-Heptafluoro-1-phenyl-butanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O -Methyl sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O-10-camphoryl sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone Oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1 -(Phenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (2,4,6-tri Tilphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2-trifluoro-1- (4-methyl) Phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2- Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime -O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10- Morpholyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfo Narate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -Ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methylphenyl) sulfur Honate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) ) -Ethanone oxime-O- (4-dodecylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-octylsulfonate, 2,2,2- Trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime O- (4-dodecylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-octyl Sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) ) -Ethanone oxime-O-methyl sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O-phenyl sulfonate, 2,2,2-trifluoro-1 -(4-Chlorophenyl) -ethanone oxime-O-phenyl sulfonate, 2,2,3,3,4,4,4-heptafluoro-1- (phenyl) -butanone oxime-O- (10-camphoryl) Sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-2-naphthyl-ethanone Shim-O-methylsulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- [4- (Phenyl-1,4-dioxa-but-1-yl) phenyl] -ethanone oxime-O-methyl sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-propyl sulfonate 2,2,2-trifluoro-2-naphthyl-ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O-propyl sulfone Narate, 2,2,2-trifluoro-1- [4-methylsulfonylphenyl] -ethanone oxime-O-propylsulfonate, 1,3-bis [1- (4-phenyl) Enoxyphenyl) -2,2,2-trifluoroethanone oxime-O-sulfonyl] phenyl, 2,2,2-trifluoro-1- [4-methylsulfonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [4-methylcarbonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [6H, 7H-5,8- Dioxonaphth-2-yl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [4-methoxycarbonylmethoxyphenyl] -ethanone oxime-O-propyl sulfonate, 2,2 , 2-trifluoro-1- [4- (methoxycarbonyl)-(4-amino-1-oxa-pent-1-yl) -fur Nyl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [3,5-dimethyl-4-ethoxyphenyl] -ethanone oxime-O-propyl sulfonate, 2,2 , 2-trifluoro-1- [4-benzyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [2-thiophenyl] -ethanone oxime-O-propyl Sulfonate and 2,2,2-trifluoro-1- [1-dioxa-thiophen-2-yl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- (4 -(3- (4- (2,2,2-trifluoro-1- (trifluoromethanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) Tanone oxime (trifluoromethanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (1-propanesulfonyloxyimino) -ethyl) -Phenoxy) -propoxy) -phenyl) ethanone oxime (1-propanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1) -(1-butanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (1-butanesulfonate) and the like, and 2,2,2 described in US Pat. No. 6,916,591 -Trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (4- (4-methylphenylsulfonyloxy) phenylsulfo Nyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (4- (4-methylphenylsulfonyloxy) phenylsulfonate), 2,2,2-trifluoro-1- (4- (3- ( 4- (2,2,2-trifluoro-1- (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenylsulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) eta Nonoxime (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenyl sulfonate) and the like.

特開平9−95479号公報、特開平9−230588号公報あるいは文中の従来技術として記載のオキシムスルホネートとして、α−(p−トルエンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(p−クロロベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(4−ニトロベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(4−ニトロ−2−トリフルオロメチルベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−4−クロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2,4−ジクロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2,6−ジクロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(2−クロロベンゼンスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2−チエニルアセトニトリル、α−(4−ドデシルベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−[(4−トルエンスルホニルオキシイミノ)−4−メトキシフェニル]アセトニトリル、α−[(ドデシルベンゼンスルホニルオキシイミノ)−4−メトキシフェニル]アセトニトリル、α−(トシルオキシイミノ)−3−チエニルアセトニトリル、α−(メチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(イソプロピルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(n−ブチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル、α−(イソプロピルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル、α−(n−ブチルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル等が挙げられる。   As oxime sulfonates described in JP-A-9-95479, JP-A-9-230588, or the prior art in the text, α- (p-toluenesulfonyloxyimino) -phenylacetonitrile, α- (p-chlorobenzenesulfonyloxyimino) ) -Phenylacetonitrile, α- (4-nitrobenzenesulfonyloxyimino) -phenylacetonitrile, α- (4-nitro-2-trifluoromethylbenzenesulfonyloxyimino) -phenylacetonitrile, α- (benzenesulfonyloxyimino) -4 -Chlorophenylacetonitrile, α- (benzenesulfonyloxyimino) -2,4-dichlorophenylacetonitrile, α- (benzenesulfonyloxyimino) -2,6-dichlorophenylacetonitrile, α- ( Benzenesulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (2-chlorobenzenesulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (benzenesulfonyloxyimino) -2-thienylacetonitrile, α- (4-dodecylbenzene) Sulfonyloxyimino) -phenylacetonitrile, α-[(4-toluenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α-[(dodecylbenzenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α- (tosyloxy) Imino) -3-thienylacetonitrile, α- (methylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclopentenylacetononitrile , Α- (isopropylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclohexenylacetonitrile, α -(Isopropylsulfonyloxyimino) -1-cyclohexenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclohexenylacetonitrile and the like can be mentioned.

下記式で示されるオキシムスルホネート(例えばWO2004/074242に具体例記載)も挙げられる。

Figure 2009157338

(上記式中、RS1は置換又は非置換の炭素数1〜10のハロアルキルスルホニル又はハロベンゼンスルホニル基を表す。RS2は炭素数1〜11のハロアルキル基を表す。ArS1は置換又は非置換の芳香族基又はヘテロ芳香族基を表す。) Also included are oxime sulfonates represented by the following formula (for example, specific examples are described in WO2004 / 074242).
Figure 2009157338

(In the above formula, R S1 represents a substituted or unsubstituted haloalkylsulfonyl group having 1 to 10 carbon atoms or a halobenzenesulfonyl group. R S2 represents a haloalkyl group group having 1 to 11 carbon atoms. Ar S1 is substituted or unsubstituted. Represents an aromatic group or a heteroaromatic group.)

具体的には、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−フルオレン、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−フルオレン、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−フルオレン、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−4−ビフェニル、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−4−ビフェニル、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−4−ビフェニルなどが挙げられる。   Specifically, 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2, 3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5,5,6,6- Decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene, 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) ) -Pentyl] -4-biphenyl, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -4-biphenyl, 2- [2, 2, 3, 3 4,4,5,5,6,6- deca fluoro-1- (nonafluorobutylsulfonyloxy-imino) - hexyl] -4-biphenyl, and the like.

また、ビスオキシムスルホネートとして特開平9−208554号公報記載の化合物、特にビス(α−(4−トルエンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(ベンゼンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(メタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリルビス(α−(ブタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(10−カンファースルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(トリフルオロメタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−メトキシベンゼンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(ベンゼンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(メタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリルビス(α−(ブタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(10−カンファースルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(トリフルオロメタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(4−メトキシベンゼンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル等が挙げられる。   Further, as bisoxime sulfonate, compounds described in JP-A-9-208554, particularly bis (α- (4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino)- p-phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -p-phenylenediacetonitrilebis (α- (butanesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (10-camphorsulfonyl) Oxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -p-phenylenediacetonitrile, Screw (α- (4-Methoxybenzenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino) -m -Phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -m-phenylenediacetonitrilebis (α- (butanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (10-camphorsulfonyloxy) ) Imino) -m-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (Α- (4-methoxybenzenesulfonyloxy) imino) -m-phenylenediacetonitrile and the like.

中でも好ましく用いられる光酸発生剤としては、スルホニウム塩、ビススルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート、グリオキシム誘導体である。より好ましく用いられる光酸発生剤としては、スルホニウム塩、ビススルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネートである。具体的にはトリフェニルスルホニウムp−トルエンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムペンタフルオロベンゼンスルホネート、トリフェニルスルホニウムノナフルオロブタンスルホネート、トリフェニルスルホニウム4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、トリフェニルスルホニウム−2,4,6−トリイソプロピルベンゼンスルホネート、4−tert−ブトキシフェニルジフェニルスルホニウムp−トルエンスルホネート、4−tert−ブトキシフェニルジフェニルスルホニウムカンファースルホネート、4−tert−ブトキシフェニルジフェニルスルホニウム4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、トリス(4−メチルフェニル)スルホニウム、カンファースルホネート、トリス(4−tertブチルフェニル)スルホニウムカンファースルホネート、4−tert−ブチルフェニルジフェニルスルホニウムカンファースルホネート、4−tert−ブチルフェニルジフェニルスルホニウムノナフルオロ−1−ブタンスルホネート、4−tert−ブチルフェニルジフェニルスルホニウムペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、4−tert−ブチルフェニルジフェニルスルホニウムパーフルオロ−1−オクタンスルホネート、トリフェニルスルホニウム1,1−ジフルオロ−2−ナフチル−エタンスルホネート、トリフェニルスルホニウム1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、ビス(tert−ブチルスルホニル)ジアゾメタン、ビス(シクロへキシルスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(4−n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(3,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−5−イソプロピル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(4−tert−ブチルフェニルスルホニル)ジアゾメタン、N−カンファースルホニルオキシ−5−ノルボルネン−2,3−ジカルボン酸イミド、N−p−トルエンスルホニルオキシ−5−ノルボルネン−2,3−ジカルボン酸イミド、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−フルオレン、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−フルオレン、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−フルオレン等が挙げられる。   Among them, preferred photoacid generators are sulfonium salts, bissulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate, and glyoxime derivatives. More preferably used photoacid generators are sulfonium salts, bissulfonyldiazomethanes, N-sulfonyloxyimides, and oxime-O-sulfonates. Specifically, triphenylsulfonium p-toluenesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium pentafluorobenzenesulfonate, triphenylsulfonium nonafluorobutanesulfonate, triphenylsulfonium 4- (4′-toluenesulfonyloxy) benzenesulfonate, Triphenylsulfonium-2,4,6-triisopropylbenzenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium p-toluenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium camphorsulfonate, 4-tert-butoxyphenyldiphenylsulfonium 4- ( 4′-Toluenesulfonyloxy) benzenesulfonate, tris (4-methyl) Phenyl) sulfonium, camphorsulfonate, tris (4-tertbutylphenyl) sulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium nonafluoro-1-butanesulfonate, 4-tert- Butylphenyldiphenylsulfonium pentafluoroethyl perfluorocyclohexanesulfonate, 4-tert-butylphenyldiphenylsulfonium perfluoro-1-octanesulfonate, triphenylsulfonium 1,1-difluoro-2-naphthyl-ethanesulfonate, triphenylsulfonium 1,1 , 2,2-Tetrafluoro-2- (norbornan-2-yl) ethanesulfonate Bis (tert-butylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl- 4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) Phenylsulfonyl) diazomethane, bis (2-methyl-5-isopropyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (4-tert-butylphenylsulfonyl) diazomethane, N-camphorsulfonyloxy-5-norbornene- 2,3-dicarboxylic imide, Np-toluenesulfonyloxy-5-norbornene-2,3-dicarboxylic imide, 2- [2,2,3,3,4,4,5,5-octafluoro- 1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5,5,6,6-decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene and the like.

本発明の化学増幅型レジスト材料における光酸発生剤の添加量はいずれでもよいが、レジスト材料中のベース樹脂(上記高分子化合物)100質量部に対して0.1〜20質量部、好ましくは0.1〜10質量部である。光酸発生剤が20質量部以下であれば、フォトレジスト膜の透過率が十分大きく、解像性能の劣化が起こるおそれが少ない。上記光酸発生剤は、単独でも2種以上混合して用いることもできる。更に露光波長における透過率が低い光酸発生剤を用い、その添加量でレジスト膜中の透過率を制御することもできる。   The addition amount of the photoacid generator in the chemically amplified resist material of the present invention may be any, but 0.1 to 20 parts by mass, preferably 100 parts by mass with respect to 100 parts by mass of the base resin (the polymer compound) in the resist material. 0.1 to 10 parts by mass. When the photoacid generator is 20 parts by mass or less, the transmittance of the photoresist film is sufficiently large, and there is little possibility that the resolution performance is deteriorated. The photoacid generators can be used alone or in combination of two or more. Further, a photoacid generator having a low transmittance at the exposure wavelength can be used, and the transmittance in the resist film can be controlled by the addition amount.

また、本発明のレジスト材料に、酸により分解し、酸を発生する化合物(酸増殖化合物)を添加してもよい。   In addition, a compound capable of decomposing with an acid to generate an acid (acid-growing compound) may be added to the resist material of the present invention.

これらの化合物についてはJ.Photopolym.Sci.and Tech.,8.43−44,45−46(1995)、J.Photopolym.Sci.and Tech.,9.29−30(1996)において記載されている。   These compounds are described in J. Org. Photopolym. Sci. and Tech. , 8.43-44, 45-46 (1995), J. Am. Photopolym. Sci. and Tech. , 9.29-30 (1996).

酸増殖化合物の例としては、tert−ブチル2−メチル2−トシロキシメチルアセトアセテート、2−フェニル2−(2−トシロキシエチル)1,3−ジオキソラン等が挙げられるがこれらに限定されるものではない。公知の光酸発生剤の中で安定性、特に熱安定性に劣る化合物は酸増殖化合物的な性質を示す場合が多い。   Examples of acid proliferating compounds include, but are not limited to, tert-butyl 2-methyl 2-tosyloxymethyl acetoacetate, 2-phenyl 2- (2-tosyloxyethyl) 1,3-dioxolane, and the like. is not. Of the known photoacid generators, compounds that are inferior in stability, particularly thermal stability, often exhibit the properties of acid-proliferating compounds.

本発明のレジスト材料における酸増殖化合物の添加量としては、レジスト材料中のベース樹脂100質量部に対して2質量部以下、好ましくは1質量部以下である。2質量部以下であれば、拡散が制御され解像性の劣化、パターン形状の劣化が起こるおそれが少ない。   The addition amount of the acid multiplication compound in the resist material of the present invention is 2 parts by mass or less, preferably 1 part by mass or less with respect to 100 parts by mass of the base resin in the resist material. If it is 2 parts by mass or less, diffusion is controlled, and there is little possibility that degradation of resolution and pattern shape will occur.

本発明のレジスト材料は、更に、有機溶剤、塩基性化合物、溶解制御剤、界面活性剤のいずれか1つ以上を含有することができる。
本発明で使用される有機溶剤としては、ベース樹脂、酸発生剤、その他の添加剤等が溶解可能な有機溶剤であればいずれでもよい。このような有機溶剤としては、例えば、シクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類が挙げられ、これらの1種を単独で又は2種以上を混合して使用することができるが、これらに限定されるものではない。本発明では、これらの有機溶剤の中でもレジスト成分中の酸発生剤の溶解性が最も優れているジエチレングリコールジメチルエーテルや1−エトキシ−2−プロパノール、プロピレングリコールモノメチルエーテルアセテート及びその混合溶剤が好ましく使用される。
The resist material of the present invention can further contain any one or more of an organic solvent, a basic compound, a dissolution controller, and a surfactant.
The organic solvent used in the present invention may be any organic solvent that can dissolve the base resin, acid generator, other additives, and the like. Examples of such organic solvents include ketones such as cyclohexanone and methyl-2-n-amyl ketone, 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy- Alcohols such as 2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, and other ethers, propylene glycol monomethyl ether acetate, propylene glycol mono Ethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, 3-ethoxy Examples include esters such as ethyl propionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, and lactones such as γ-butyrolactone. It can be used in a mixed manner, but is not limited thereto. In the present invention, among these organic solvents, diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate, and mixed solvents thereof, which are most excellent in solubility of the acid generator in the resist component, are preferably used. .

有機溶剤の使用量は、ベース樹脂100質量部に対して200〜3,000質量部、特に400〜2,500質量部が好適である。   The amount of the organic solvent used is preferably 200 to 3,000 parts by mass, particularly 400 to 2,500 parts by mass with respect to 100 parts by mass of the base resin.

更に、本発明のレジスト材料には、塩基性化合物として含窒素有機化合物を1種又は2種以上配合することができる。   Furthermore, the resist material of the present invention may contain one or more nitrogen-containing organic compounds as basic compounds.

含窒素有機化合物としては、酸発生剤より発生する酸がレジスト膜中に拡散する際の拡散速度を抑制することができる化合物が適している。含窒素有機化合物の配合により、レジスト膜中での酸の拡散速度が抑制されて解像度が向上し、露光後の感度変化を抑制したり、基板や環境依存性を少なくし、露光余裕度やパターンプロファイル等を向上することができる。   As the nitrogen-containing organic compound, a compound capable of suppressing the diffusion rate when the acid generated from the acid generator diffuses into the resist film is suitable. By compounding nitrogen-containing organic compounds, the acid diffusion rate in the resist film is suppressed and resolution is improved, sensitivity change after exposure is suppressed, substrate and environment dependency is reduced, and exposure margins and patterns are reduced. Profiles and the like can be improved.

このような含窒素有機化合物としては、第一級、第二級、第三級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシ基を有する含窒素化合物、スルホニル基を有する含窒素化合物、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物、アミド類、イミド類、カーバメート類等が挙げられる。   Such nitrogen-containing organic compounds include primary, secondary and tertiary aliphatic amines, hybrid amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxy group, sulfonyl Nitrogen-containing compounds having a group, nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, alcoholic nitrogen-containing compounds, amides, imides, carbamates and the like.

具体的には、第一級の脂肪族アミン類として、アンモニア、メチルアミン、エチルアミン、n−プロピルアミン、イソプロピルアミン、n−ブチルアミン、イソブチルアミン、sec−ブチルアミン、tert−ブチルアミン、ペンチルアミン、tert−アミルアミン、シクロペンチルアミン、ヘキシルアミン、シクロヘキシルアミン、ヘプチルアミン、オクチルアミン、ノニルアミン、デシルアミン、ドデシルアミン、セチルアミン、メチレンジアミン、エチレンジアミン、テトラエチレンペンタミン等が例示され、第二級の脂肪族アミン類として、ジメチルアミン、ジエチルアミン、ジ−n−プロピルアミン、ジイソプロピルアミン、ジ−n−ブチルアミン、ジイソブチルアミン、ジ−sec−ブチルアミン、ジペンチルアミン、ジシクロペンチルアミン、ジヘキシルアミン、ジシクロヘキシルアミン、ジヘプチルアミン、ジオクチルアミン、ジノニルアミン、ジデシルアミン、ジドデシルアミン、ジセチルアミン、N,N−ジメチルメチレンジアミン、N,N−ジメチルエチレンジアミン、N,N−ジメチルテトラエチレンペンタミン等が例示され、第三級の脂肪族アミン類として、トリメチルアミン、トリエチルアミン、トリ−n−プロピルアミン、トリイソプロピルアミン、トリ−n−ブチルアミン、トリイソブチルアミン、トリ−sec−ブチルアミン、トリペンチルアミン、トリシクロペンチルアミン、トリヘキシルアミン、トリシクロヘキシルアミン、トリヘプチルアミン、トリオクチルアミン、トリノニルアミン、トリデシルアミン、トリドデシルアミン、トリセチルアミン、N,N,N’,N’−テトラメチルメチレンジアミン、N,N,N’,N’−テトラメチルエチレンジアミン、N,N,N’,N’−テトラメチルテトラエチレンペンタミン等が例示される。   Specifically, primary aliphatic amines include ammonia, methylamine, ethylamine, n-propylamine, isopropylamine, n-butylamine, isobutylamine, sec-butylamine, tert-butylamine, pentylamine, tert- Amylamine, cyclopentylamine, hexylamine, cyclohexylamine, heptylamine, octylamine, nonylamine, decylamine, dodecylamine, cetylamine, methylenediamine, ethylenediamine, tetraethylenepentamine, etc. are exemplified as secondary aliphatic amines. Dimethylamine, diethylamine, di-n-propylamine, diisopropylamine, di-n-butylamine, diisobutylamine, di-sec-butylamine, dipentylamine, disi Lopentylamine, dihexylamine, dicyclohexylamine, diheptylamine, dioctylamine, dinonylamine, didecylamine, didodecylamine, dicetylamine, N, N-dimethylmethylenediamine, N, N-dimethylethylenediamine, N, N-dimethyltetraethylenepenta Examples of tertiary aliphatic amines include trimethylamine, triethylamine, tri-n-propylamine, triisopropylamine, tri-n-butylamine, triisobutylamine, tri-sec-butylamine, and tripentylamine. , Tricyclopentylamine, trihexylamine, tricyclohexylamine, triheptylamine, trioctylamine, trinonylamine, tridecylamine, tridodecylamine, Examples include cetylamine, N, N, N ′, N′-tetramethylmethylenediamine, N, N, N ′, N′-tetramethylethylenediamine, N, N, N ′, N′-tetramethyltetraethylenepentamine and the like. Is done.

また、混成アミン類としては、例えばジメチルエチルアミン、メチルエチルプロピルアミン、ベンジルアミン、フェネチルアミン、ベンジルジメチルアミン等が例示される。芳香族アミン類及び複素環アミン類の具体例としては、アニリン誘導体(例えばアニリン、N−メチルアニリン、N−エチルアニリン、N−プロピルアニリン、N,N−ジメチルアニリン、2−メチルアニリン、3−メチルアニリン、4−メチルアニリン、エチルアニリン、プロピルアニリン、トリメチルアニリン、2−ニトロアニリン、3−ニトロアニリン、4−ニトロアニリン、2,4−ジニトロアニリン、2,6−ジニトロアニリン、3,5−ジニトロアニリン、N,N−ジメチルトルイジン等)、ジフェニル(p−トリル)アミン、メチルジフェニルアミン、トリフェニルアミン、フェニレンジアミン、ナフチルアミン、ジアミノナフタレン、ピロール誘導体(例えばピロール、2H−ピロール、1−メチルピロール、2,4−ジメチルピロール、2,5−ジメチルピロール、N−メチルピロール等)、オキサゾール誘導体(例えばオキサゾール、イソオキサゾール等)、チアゾール誘導体(例えばチアゾール、イソチアゾール等)、イミダゾール誘導体(例えばイミダゾール、4−メチルイミダゾール、4−メチル−2−フェニルイミダゾール等)、ピラゾール誘導体、フラザン誘導体、ピロリン誘導体(例えばピロリン、2−メチル−1−ピロリン等)、ピロリジン誘導体(例えばピロリジン、N−メチルピロリジン、ピロリジノン、N−メチルピロリドン等)、イミダゾリン誘導体、イミダゾリジン誘導体、ピリジン誘導体(例えばピリジン、メチルピリジン、エチルピリジン、プロピルピリジン、ブチルピリジン、4−(1−ブチルペンチル)ピリジン、ジメチルピリジン、トリメチルピリジン、トリエチルピリジン、フェニルピリジン、3−メチル−2−フェニルピリジン、4−tert−ブチルピリジン、ジフェニルピリジン、ベンジルピリジン、メトキシピリジン、ブトキシピリジン、ジメトキシピリジン、4−ピロリジノピリジン、2−(1−エチルプロピル)ピリジン、アミノピリジン、ジメチルアミノピリジン等)、ピリダジン誘導体、ピリミジン誘導体、ピラジン誘導体、ピラゾリン誘導体、ピラゾリジン誘導体、ピペリジン誘導体、ピペラジン誘導体、モルホリン誘導体、インドール誘導体、イソインドール誘導体、1H−インダゾール誘導体、インドリン誘導体、キノリン誘導体(例えばキノリン、3−キノリンカルボニトリル等)、イソキノリン誘導体、シンノリン誘導体、キナゾリン誘導体、キノキサリン誘導体、フタラジン誘導体、プリン誘導体、プテリジン誘導体、カルバゾール誘導体、フェナントリジン誘導体、アクリジン誘導体、フェナジン誘導体、1,10−フェナントロリン誘導体、アデニン誘導体、アデノシン誘導体、グアニン誘導体、グアノシン誘導体、ウラシル誘導体、ウリジン誘導体等が例示される。   Examples of hybrid amines include dimethylethylamine, methylethylpropylamine, benzylamine, phenethylamine, and benzyldimethylamine. Specific examples of aromatic amines and heterocyclic amines include aniline derivatives (eg, aniline, N-methylaniline, N-ethylaniline, N-propylaniline, N, N-dimethylaniline, 2-methylaniline, 3- Methylaniline, 4-methylaniline, ethylaniline, propylaniline, trimethylaniline, 2-nitroaniline, 3-nitroaniline, 4-nitroaniline, 2,4-dinitroaniline, 2,6-dinitroaniline, 3,5- Dinitroaniline, N, N-dimethyltoluidine, etc.), diphenyl (p-tolyl) amine, methyldiphenylamine, triphenylamine, phenylenediamine, naphthylamine, diaminonaphthalene, pyrrole derivatives (eg pyrrole, 2H-pyrrole, 1-methylpyrrole, 2,4-dim Lupyrrole, 2,5-dimethylpyrrole, N-methylpyrrole, etc.), oxazole derivatives (eg oxazole, isoxazole etc.), thiazole derivatives (eg thiazole, isothiazole etc.), imidazole derivatives (eg imidazole, 4-methylimidazole, 4 -Methyl-2-phenylimidazole, etc.), pyrazole derivatives, furazane derivatives, pyrroline derivatives (eg pyrroline, 2-methyl-1-pyrroline etc.), pyrrolidine derivatives (eg pyrrolidine, N-methylpyrrolidine, pyrrolidinone, N-methylpyrrolidone etc.) ), Imidazoline derivatives, imidazolidine derivatives, pyridine derivatives (eg pyridine, methylpyridine, ethylpyridine, propylpyridine, butylpyridine, 4- (1-butylpentyl) pyridine, dimethyl) Lysine, trimethylpyridine, triethylpyridine, phenylpyridine, 3-methyl-2-phenylpyridine, 4-tert-butylpyridine, diphenylpyridine, benzylpyridine, methoxypyridine, butoxypyridine, dimethoxypyridine, 4-pyrrolidinopyridine, 2- (1-ethylpropyl) pyridine, aminopyridine, dimethylaminopyridine, etc.), pyridazine derivatives, pyrimidine derivatives, pyrazine derivatives, pyrazoline derivatives, pyrazolidine derivatives, piperidine derivatives, piperazine derivatives, morpholine derivatives, indole derivatives, isoindole derivatives, 1H- Indazole derivatives, indoline derivatives, quinoline derivatives (eg quinoline, 3-quinolinecarbonitrile, etc.), isoquinoline derivatives, cinnoline derivatives, quinazoli Derivatives, quinoxaline derivatives, phthalazine derivatives, purine derivatives, pteridine derivatives, carbazole derivatives, phenanthridine derivatives, acridine derivatives, phenazine derivatives, 1,10-phenanthroline derivatives, adenine derivatives, adenosine derivatives, guanine derivatives, guanosine derivatives, uracil derivatives And uridine derivatives.

更に、カルボキシ基を有する含窒素化合物としては、例えばアミノ安息香酸、インドールカルボン酸、アミノ酸誘導体(例えばニコチン酸、アラニン、アルギニン、アスパラギン酸、グルタミン酸、グリシン、ヒスチジン、イソロイシン、グリシルロイシン、ロイシン、メチオニン、フェニルアラニン、スレオニン、リジン、3−アミノピラジン−2−カルボン酸、メトキシアラニン)等が例示され、スルホニル基を有する含窒素化合物として3−ピリジンスルホン酸、p−トルエンスルホン酸ピリジニウム等が例示され、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物としては、2−ヒドロキシピリジン、アミノクレゾール、2,4−キノリンジオール、3−インドールメタノールヒドレート、モノエタノールアミン、ジエタノールアミン、トリエタノールアミン、N−エチルジエタノールアミン、N,N−ジエチルエタノールアミン、トリイソプロパノールアミン、2,2’−イミノジエタノール、2−アミノエタノ−ル、3−アミノ−1−プロパノール、4−アミノ−1−ブタノール、4−(2−ヒドロキシエチル)モルホリン、2−(2−ヒドロキシエチル)ピリジン、1−(2−ヒドロキシエチル)ピペラジン、1−[2−(2−ヒドロキシエトキシ)エチル]ピペラジン、ピペリジンエタノール、1−(2−ヒドロキシエチル)ピロリジン、1−(2−ヒドロキシエチル)−2−ピロリジノン、3−ピペリジノ−1,2−プロパンジオール、3−ピロリジノ−1,2−プロパンジオール、8−ヒドロキシユロリジン、3−クイヌクリジノール、3−トロパノール、1−メチル−2−ピロリジンエタノール、1−アジリジンエタノール、N−(2−ヒドロキシエチル)フタルイミド、N−(2−ヒドロキシエチル)イソニコチンアミド等が例示される。アミド類としては、ホルムアミド、N−メチルホルムアミド、N,N−ジメチルホルムアミド、アセトアミド、N−メチルアセトアミド、N,N−ジメチルアセトアミド、プロピオンアミド、ベンズアミド、1−シクロヘキシルピロリドン等が例示される。イミド類としては、フタルイミド、サクシンイミド、マレイミド等が例示される。カーバメート類としては、N−t−ブトキシカルボニル−N,N−ジシクロヘキシルアミン、N−t−ブトキシカルボニルベンズイミダゾール、オキサゾリジノン等が例示される。   Furthermore, examples of the nitrogen-containing compound having a carboxy group include aminobenzoic acid, indolecarboxylic acid, amino acid derivatives (eg, nicotinic acid, alanine, arginine, aspartic acid, glutamic acid, glycine, histidine, isoleucine, glycylleucine, leucine, methionine , Phenylalanine, threonine, lysine, 3-aminopyrazine-2-carboxylic acid, methoxyalanine) and the like, and examples of the nitrogen-containing compound having a sulfonyl group include 3-pyridinesulfonic acid, pyridinium p-toluenesulfonate, and the like. Nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, and alcoholic nitrogen-containing compounds include 2-hydroxypyridine, aminocresol, 2,4-quinolinediol, and 3-indolemethanol. Drate, monoethanolamine, diethanolamine, triethanolamine, N-ethyldiethanolamine, N, N-diethylethanolamine, triisopropanolamine, 2,2'-iminodiethanol, 2-aminoethanol, 3-amino-1-propanol 4-amino-1-butanol, 4- (2-hydroxyethyl) morpholine, 2- (2-hydroxyethyl) pyridine, 1- (2-hydroxyethyl) piperazine, 1- [2- (2-hydroxyethoxy) Ethyl] piperazine, piperidineethanol, 1- (2-hydroxyethyl) pyrrolidine, 1- (2-hydroxyethyl) -2-pyrrolidinone, 3-piperidino-1,2-propanediol, 3-pyrrolidino-1,2-propane Diol, 8-hydroxyuroli , 3-cuincridinol, 3-tropanol, 1-methyl-2-pyrrolidineethanol, 1-aziridineethanol, N- (2-hydroxyethyl) phthalimide, N- (2-hydroxyethyl) isonicotinamide, etc. Illustrated. Examples of amides include formamide, N-methylformamide, N, N-dimethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, propionamide, benzamide, 1-cyclohexylpyrrolidone and the like. Examples of imides include phthalimide, succinimide, maleimide and the like. Examples of carbamates include Nt-butoxycarbonyl-N, N-dicyclohexylamine, Nt-butoxycarbonylbenzimidazole, oxazolidinone, and the like.

更に、下記一般式(B)−1で示される含窒素有機化合物が例示される。
N(X)n(Y)3-n (B)−1
(上記式中、n=1、2又は3である。側鎖Xは同一でも異なっていてもよく、下記一般式(X1)、(X2)又は(X3)

Figure 2009157338

で表すことができる。側鎖Yは同一又は異種の、水素原子、又は直鎖状、分岐状又は環状の炭素数1〜20のアルキル基を示し、エーテル基もしくはヒドロキシル基を含んでもよい。また、X同士が結合してこれらが結合する窒素原子と共に環を形成してもよい。) Furthermore, the nitrogen-containing organic compound shown by the following general formula (B) -1 is illustrated.
N (X) n (Y) 3-n (B) -1
(In the above formula, n = 1, 2 or 3. The side chains X may be the same or different, and the following general formula (X1), (X2) or (X3)
Figure 2009157338

Can be expressed as The side chain Y represents the same or different hydrogen atom, or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and may contain an ether group or a hydroxyl group. Moreover, you may form a ring with the nitrogen atom which X couple | bonds and these couple | bond. )

上記一般式(X1)〜(X3)中、R300、R302、R305は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、R301、R304は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、ヒドロキシ基、エーテル基、エステル基、ラクトン環を1あるいは複数含んでいてもよい。
303は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、R306は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、ヒドロキシ基、エーテル基、エステル基、ラクトン環を1あるいは複数含んでいてもよい。
In the general formulas (X1) to (X3), R 300 , R 302 and R 305 are linear or branched alkylene groups having 1 to 4 carbon atoms, and R 301 and R 304 are hydrogen atoms or carbon atoms. It is a linear, branched or cyclic alkyl group of 1 to 20, and may contain one or a plurality of hydroxy groups, ether groups, ester groups and lactone rings.
R 303 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, R 306 is a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and a hydroxy group , An ether group, an ester group, or a lactone ring may be contained.

上記一般式(B)−1で表される化合物として具体的には、トリス(2−メトキシメトキシエチル)アミン、トリス{2−(2−メトキシエトキシ)エチル}アミン、トリス{2−(2−メトキシエトキシメトキシ)エチル}アミン、トリス{2−(1−メトキシエトキシ)エチル}アミン、トリス{2−(1−エトキシエトキシ)エチル}アミン、トリス{2−(1−エトキシプロポキシ)エチル}アミン、トリス[2−{2−(2−ヒドロキシエトキシ)エトキシ}エチル]アミン、4,7,13,16,21,24−ヘキサオキサ−1,10−ジアザビシクロ[8.8.8]ヘキサコサン、4,7,13,18−テトラオキサ−1,10−ジアザビシクロ[8.5.5]エイコサン、1,4,10,13−テトラオキサ−7,16−ジアザビシクロオクタデカン、1−アザ−12−クラウン−4、1−アザ−15−クラウン−5、1−アザ−18−クラウン−6、トリス(2−ホルミルオキシエチル)アミン、トリス(2−アセトキシエチル)アミン、トリス(2−プロピオニルオキシエチル)アミン、トリス(2−ブチリルオキシエチル)アミン、トリス(2−イソブチリルオキシエチル)アミン、トリス(2−バレリルオキシエチル)アミン、トリス(2−ピバロイルオキシエチル)アミン、N,N−ビス(2−アセトキシエチル)2−(アセトキシアセトキシ)エチルアミン、トリス(2−メトキシカルボニルオキシエチル)アミン、トリス(2−tert−ブトキシカルボニルオキシエチル)アミン、トリス[2−(2−オキソプロポキシ)エチル]アミン、トリス[2−(メトキシカルボニルメチル)オキシエチル]アミン、トリス[2−(tert−ブトキシカルボニルメチルオキシ)エチル]アミン、トリス[2−(シクロヘキシルオキシカルボニルメチルオキシ)エチル]アミン、トリス(2−メトキシカルボニルエチル)アミン、トリス(2−エトキシカルボニルエチル)アミン、N,N−ビス(2−ヒドロキシエチル)2−(メトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(メトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(エトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(エトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−メトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−メトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−ヒドロキシエトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−アセトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−[(メトキシカルボニル)メトキシカルボニル]エチルアミン、N,N−ビス(2−アセトキシエチル)2−[(メトキシカルボニル)メトキシカルボニル]エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−オキソプロポキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−オキソプロポキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(テトラヒドロフルフリルオキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(テトラヒドロフルフリルオキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−[(2−オキソテトラヒドロフラン−3−イル)オキシカルボニル]エチルアミン、N,N−ビス(2−アセトキシエチル)2−[(2−オキソテトラヒドロフラン−3−イル)オキシカルボニル]エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(4−ヒドロキシブトキシカルボニル)エチルアミン、N,N−ビス(2−ホルミルオキシエチル)2−(4−ホルミルオキシブトキシカルボニル)エチルアミン、N,N−ビス(2−ホルミルオキシエチル)2−(2−ホルミルオキシエトキシカルボニル)エチルアミン、N,N−ビス(2−メトキシエチル)2−(メトキシカルボニル)エチルアミン、N−(2−ヒドロキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−アセトキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−ヒドロキシエチル)ビス[2−(エトキシカルボニル)エチル]アミン、N−(2−アセトキシエチル)ビス[2−(エトキシカルボニル)エチル]アミン、N−(3−ヒドロキシ−1−プロピル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(3−アセトキシ−1−プロピル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−メトキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−ブチルビス[2−(メトキシカルボニル)エチル]アミン、N−ブチルビス[2−(2−メトキシエトキシカルボニル)エチル]アミン、N−メチルビス(2−アセトキシエチル)アミン、N−エチルビス(2−アセトキシエチル)アミン、N−メチルビス(2−ピバロイルオキシエチル)アミン、N−エチルビス[2−(メトキシカルボニルオキシ)エチル]アミン、N−エチルビス[2−(tert−ブトキシカルボニルオキシ)エチル]アミン、トリス(メトキシカルボニルメチル)アミン、トリス(エトキシカルボニルメチル)アミン、N−ブチルビス(メトキシカルボニルメチル)アミン、N−ヘキシルビス(メトキシカルボニルメチル)アミン、β−(ジエチルアミノ)−δ−バレロラクトンが例示される。   Specific examples of the compound represented by the general formula (B) -1 include tris (2-methoxymethoxyethyl) amine, tris {2- (2-methoxyethoxy) ethyl} amine, and tris {2- (2- Methoxyethoxymethoxy) ethyl} amine, tris {2- (1-methoxyethoxy) ethyl} amine, tris {2- (1-ethoxyethoxy) ethyl} amine, tris {2- (1-ethoxypropoxy) ethyl} amine, Tris [2- {2- (2-hydroxyethoxy) ethoxy} ethyl] amine, 4,7,13,16,21,24-hexaoxa-1,10-diazabicyclo [8.8.8] hexacosane, 4,7 , 13,18-tetraoxa-1,10-diazabicyclo [8.5.5] eicosane, 1,4,10,13-tetraoxa-7,16-di Zabicyclooctadecane, 1-aza-12-crown-4, 1-aza-15-crown-5, 1-aza-18-crown-6, tris (2-formyloxyethyl) amine, tris (2-acetoxyethyl) ) Amine, tris (2-propionyloxyethyl) amine, tris (2-butyryloxyethyl) amine, tris (2-isobutyryloxyethyl) amine, tris (2-valeryloxyethyl) amine, tris (2 -Pivaloyloxyethyl) amine, N, N-bis (2-acetoxyethyl) 2- (acetoxyacetoxy) ethylamine, tris (2-methoxycarbonyloxyethyl) amine, tris (2-tert-butoxycarbonyloxyethyl) Amine, tris [2- (2-oxopropoxy) ethyl] amine, tris 2- (methoxycarbonylmethyl) oxyethyl] amine, tris [2- (tert-butoxycarbonylmethyloxy) ethyl] amine, tris [2- (cyclohexyloxycarbonylmethyloxy) ethyl] amine, tris (2-methoxycarbonylethyl) Amine, tris (2-ethoxycarbonylethyl) amine, N, N-bis (2-hydroxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2 -(2-methoxyethoxycarboni ) Ethylamine, N, N-bis (2-acetoxyethyl) 2- (2-methoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-hydroxyethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (2-acetoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2 -Acetoxyethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-oxopropoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2 -(2-oxopropoxycarbonyl) ethylamine, N, N- (2-hydroxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) ) 2-[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N-bis (2-acetoxyethyl) 2-[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N-bis (2-hydroxyethyl) 2- (4-hydroxybutoxycarbonyl) ethylamine, N, N-bis (2-formyloxyethyl) 2- (4-formyloxybutoxycarbonyl) ethylamine, N, N-bis ( 2-formyloxyethyl) 2- (2-formyloxy) Ethoxycarbonyl) ethylamine, N, N-bis (2-methoxyethyl) 2- (methoxycarbonyl) ethylamine, N- (2-hydroxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-acetoxy) Ethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-hydroxyethyl) bis [2- (ethoxycarbonyl) ethyl] amine, N- (2-acetoxyethyl) bis [2- (ethoxycarbonyl) Ethyl] amine, N- (3-hydroxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (3-acetoxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-methoxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N-butylbi [2- (methoxycarbonyl) ethyl] amine, N-butylbis [2- (2-methoxyethoxycarbonyl) ethyl] amine, N-methylbis (2-acetoxyethyl) amine, N-ethylbis (2-acetoxyethyl) amine N-methylbis (2-pivaloyloxyethyl) amine, N-ethylbis [2- (methoxycarbonyloxy) ethyl] amine, N-ethylbis [2- (tert-butoxycarbonyloxy) ethyl] amine, tris (methoxy Examples include carbonylmethyl) amine, tris (ethoxycarbonylmethyl) amine, N-butylbis (methoxycarbonylmethyl) amine, N-hexylbis (methoxycarbonylmethyl) amine, and β- (diethylamino) -δ-valerolactone.

更に、下記一般式(B)−2に示される環状構造を持つ含窒素有機化合物が例示される。

Figure 2009157338

(上記式中、Xは前述の通り、R307は炭素数2〜20の直鎖状又は分岐状のアルキレン基であり、カルボニル基、エーテル基、エステル基、又はスルフィドを1個あるいは複数個含んでいてもよい。) Furthermore, the nitrogen-containing organic compound which has a cyclic structure shown by the following general formula (B) -2 is illustrated.
Figure 2009157338

(In the above formula, X is as described above, and R 307 is a linear or branched alkylene group having 2 to 20 carbon atoms and contains one or more carbonyl groups, ether groups, ester groups, or sulfides. You may go out.)

上記一般式(B)−2として具体的には、1−[2−(メトキシメトキシ)エチル]ピロリジン、1−[2−(メトキシメトキシ)エチル]ピペリジン、4−[2−(メトキシメトキシ)エチル]モルホリン、1−[2−[(2−メトキシエトキシ)メトキシ]エチル]ピロリジン、1−[2−[(2−メトキシエトキシ)メトキシ]エチル]ピペリジン、4−[2−[(2−メトキシエトキシ)メトキシ]エチル]モルホリン、酢酸2−(1−ピロリジニル)エチル、酢酸2−ピペリジノエチル、酢酸2−モルホリノエチル、ギ酸2−(1−ピロリジニル)エチル、プロピオン酸2−ピペリジノエチル、アセトキシ酢酸2−モルホリノエチル、メトキシ酢酸2−(1−ピロリジニル)エチル、4−[2−(メトキシカルボニルオキシ)エチル]モルホリン、1−[2−(t−ブトキシカルボニルオキシ)エチル]ピペリジン、4−[2−(2−メトキシエトキシカルボニルオキシ)エチル]モルホリン、3−(1−ピロリジニル)プロピオン酸メチル、3−ピペリジノプロピオン酸メチル、3−モルホリノプロピオン酸メチル、3−(チオモルホリノ)プロピオン酸メチル、2−メチル−3−(1−ピロリジニル)プロピオン酸メチル、3−モルホリノプロピオン酸エチル、3−ピペリジノプロピオン酸メトキシカルボニルメチル、3−(1−ピロリジニル)プロピオン酸2−ヒドロキシエチル、3−モルホリノプロピオン酸2−アセトキシエチル、3−(1−ピロリジニル)プロピオン酸2−オキソテトラヒドロフラン−3−イル、3−モルホリノプロピオン酸テトラヒドロフルフリル、3−ピペリジノプロピオン酸グリシジル、3−モルホリノプロピオン酸2−メトキシエチル、3−(1−ピロリジニル)プロピオン酸2−(2−メトキシエトキシ)エチル、3−モルホリノプロピオン酸ブチル、3−ピペリジノプロピオン酸シクロヘキシル、α−(1−ピロリジニル)メチル−γ−ブチロラクトン、β−ピペリジノ−γ−ブチロラクトン、β−モルホリノ−δ−バレロラクトン、1−ピロリジニル酢酸メチル、ピペリジノ酢酸メチル、モルホリノ酢酸メチル、チオモルホリノ酢酸メチル、1−ピロリジニル酢酸エチル、モルホリノ酢酸2−メトキシエチル、2−メトキシ酢酸2−モルホリノエチル、2−(2−メトキシエトキシ)酢酸2−モルホリノエチル、2−[2−(2−メトキシエトキシ)エトキシ]酢酸2−モルホリノエチル、ヘキサン酸2−モルホリノエチル、オクタン酸2−モルホリノエチル、デカン酸2−モルホリノエチル、ラウリン酸2−モルホリノエチル、ミリスチン酸2−モルホリノエチル、パルミチン酸2−モルホリノエチル、ステアリン酸2−モルホリノエチルが例示される。   Specific examples of the general formula (B) -2 include 1- [2- (methoxymethoxy) ethyl] pyrrolidine, 1- [2- (methoxymethoxy) ethyl] piperidine, 4- [2- (methoxymethoxy) ethyl. ] Morpholine, 1- [2-[(2-methoxyethoxy) methoxy] ethyl] pyrrolidine, 1- [2-[(2-methoxyethoxy) methoxy] ethyl] piperidine, 4- [2-[(2-methoxyethoxy) ) Methoxy] ethyl] morpholine, 2- (1-pyrrolidinyl) ethyl acetate, 2-piperidinoethyl acetate, 2-morpholinoethyl acetate, 2- (1-pyrrolidinyl) ethyl formate, 2-piperidinoethyl propionate, 2-morpholinoethyl acetoxyacetate , 2- (1-pyrrolidinyl) ethyl methoxyacetate, 4- [2- (methoxycarbonyloxy) ethyl ] Morpholine, 1- [2- (t-butoxycarbonyloxy) ethyl] piperidine, 4- [2- (2-methoxyethoxycarbonyloxy) ethyl] morpholine, methyl 3- (1-pyrrolidinyl) propionate, 3-pi Methyl peridinopropionate, methyl 3-morpholinopropionate, methyl 3- (thiomorpholino) propionate, methyl 2-methyl-3- (1-pyrrolidinyl) propionate, ethyl 3-morpholinopropionate, 3-piperidino Methoxycarbonylmethyl propionate, 2-hydroxyethyl 3- (1-pyrrolidinyl) propionate, 2-acetoxyethyl 3-morpholinopropionate, 2-oxotetrahydrofuran-3-yl 3- (1-pyrrolidinyl) propionate, 3- Morpholinopropionic acid tetrahydrofur Furyl, glycidyl 3-piperidinopropionate, 2-methoxyethyl 3-morpholinopropionate, 2- (2-methoxyethoxy) ethyl 3- (1-pyrrolidinyl) propionate, butyl 3-morpholinopropionate, 3-pi Cyclohexyl peridinopropionate, α- (1-pyrrolidinyl) methyl-γ-butyrolactone, β-piperidino-γ-butyrolactone, β-morpholino-δ-valerolactone, methyl 1-pyrrolidinyl acetate, methyl piperidinoacetate, methyl morpholinoacetate, Methyl thiomorpholinoacetate, ethyl 1-pyrrolidinyl acetate, 2-methoxyethyl morpholinoacetate, 2-morpholinoethyl 2-methoxyacetate, 2-morpholinoethyl 2- (2-methoxyethoxy) acetate, 2- [2- (2-methoxy Ethoxy) ethoxy] acetic acid 2-mo Rumorpholinoethyl, 2-morpholinoethyl hexanoate, 2-morpholinoethyl octoate, 2-morpholinoethyl decanoate, 2-morpholinoethyl laurate, 2-morpholinoethyl myristic acid, 2-morpholinoethyl palmitate, 2-morpholinoethyl stearate Is exemplified.

更に、下記一般式(B)−3〜(B)−6で表されるシアノ基を含む含窒素有機化合物が例示される。

Figure 2009157338

(上記式中、X、R307、nは前述の通り、R308、R309は同一又は異種の炭素数1〜4の直鎖状又は分岐状のアルキレン基である。) Furthermore, the nitrogen-containing organic compound containing the cyano group represented by the following general formula (B) -3-(B) -6 is illustrated.
Figure 2009157338

(In the above formula, X, R 307 and n are as described above, and R 308 and R 309 are the same or different linear or branched alkylene groups having 1 to 4 carbon atoms.)

上記一般式(B)−3〜(B)−6で表されるシアノ基を含む含窒素有機化合物として具体的には、3−(ジエチルアミノ)プロピオノニトリル、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−メトキシエチル)−3−アミノプロピオノニトリル、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−メトキシエチル)−3−アミノプロピオン酸メチル、N−(2−シアノエチル)−N−(2−ヒドロキシエチル)−3−アミノプロピオン酸メチル、N−(2−アセトキシエチル)−N−(2−シアノエチル)−3−アミノプロピオン酸メチル、N−(2−シアノエチル)−N−エチル−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−ヒドロキシエチル)−3−アミノプロピオノニトリル、N−(2−アセトキシエチル)−N−(2−シアノエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−ホルミルオキシエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−メトキシエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−[2−(メトキシメトキシ)エチル]−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(3−ヒドロキシ−1−プロピル)−3−アミノプロピオノニトリル、N−(3−アセトキシ−1−プロピル)−N−(2−シアノエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(3−ホルミルオキシ−1−プロピル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−テトラヒドロフルフリル−3−アミノプロピオノニトリル、N,N−ビス(2−シアノエチル)−3−アミノプロピオノニトリル、ジエチルアミノアセトニトリル、N,N−ビス(2−ヒドロキシエチル)アミノアセトニトリル、N,N−ビス(2−アセトキシエチル)アミノアセトニトリル、N,N−ビス(2−ホルミルオキシエチル)アミノアセトニトリル、N,N−ビス(2−メトキシエチル)アミノアセトニトリル、N,N−ビス[2−(メトキシメトキシ)エチル]アミノアセトニトリル、N−シアノメチル−N−(2−メトキシエチル)−3−アミノプロピオン酸メチル、N−シアノメチル−N−(2−ヒドロキシエチル)−3−アミノプロピオン酸メチル、N−(2−アセトキシエチル)−N−シアノメチル−3−アミノプロピオン酸メチル、N−シアノメチル−N−(2−ヒドロキシエチル)アミノアセトニトリル、N−(2−アセトキシエチル)−N−(シアノメチル)アミノアセトニトリル、N−シアノメチル−N−(2−ホルミルオキシエチル)アミノアセトニトリル、N−シアノメチル−N−(2−メトキシエチル)アミノアセトニトリル、N−シアノメチル−N−[2−(メトキシメトキシ)エチル]アミノアセトニトリル、N−(シアノメチル)−N−(3−ヒドロキシ−1−プロピル)アミノアセトニトリル、N−(3−アセトキシ−1−プロピル)−N−(シアノメチル)アミノアセトニトリル、N−シアノメチル−N−(3−ホルミルオキシ−1−プロピル)アミノアセトニトリル、N,N−ビス(シアノメチル)アミノアセトニトリル、1−ピロリジンプロピオノニトリル、1−ピペリジンプロピオノニトリル、4−モルホリンプロピオノニトリル、1−ピロリジンアセトニトリル、1−ピペリジンアセトニトリル、4−モルホリンアセトニトリル、3−ジエチルアミノプロピオン酸シアノメチル、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−メトキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオン酸シアノメチル、3−ジエチルアミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−メトキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオン酸(2−シアノエチル)、1−ピロリジンプロピオン酸シアノメチル、1−ピペリジンプロピオン酸シアノメチル、4−モルホリンプロピオン酸シアノメチル、1−ピロリジンプロピオン酸(2−シアノエチル)、1−ピペリジンプロピオン酸(2−シアノエチル)、4−モルホリンプロピオン酸(2−シアノエチル)が例示される。   Specific examples of the nitrogen-containing organic compound containing a cyano group represented by the general formulas (B) -3 to (B) -6 include 3- (diethylamino) propiononitrile, N, N-bis (2-hydroxy). Ethyl) -3-aminopropiononitrile, N, N-bis (2-acetoxyethyl) -3-aminopropiononitrile, N, N-bis (2-formyloxyethyl) -3-aminopropiononitrile, N , N-bis (2-methoxyethyl) -3-aminopropiononitrile, N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N- Methyl (2-methoxyethyl) -3-aminopropionate, methyl N- (2-cyanoethyl) -N- (2-hydroxyethyl) -3-aminopropionate, N- (2-a Toxiethyl) -N- (2-cyanoethyl) -3-aminopropionate methyl, N- (2-cyanoethyl) -N-ethyl-3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2- Hydroxyethyl) -3-aminopropiononitrile, N- (2-acetoxyethyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-formyloxy Ethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-methoxyethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- [2- (methoxymethoxy) ) Ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (3-hydroxy-1-propyl) -3-aminopropio Nitrile, N- (3-acetoxy-1-propyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (3-formyloxy-1-propyl)- 3-aminopropiononitrile, N- (2-cyanoethyl) -N-tetrahydrofurfuryl-3-aminopropiononitrile, N, N-bis (2-cyanoethyl) -3-aminopropiononitrile, diethylaminoacetonitrile, N , N-bis (2-hydroxyethyl) aminoacetonitrile, N, N-bis (2-acetoxyethyl) aminoacetonitrile, N, N-bis (2-formyloxyethyl) aminoacetonitrile, N, N-bis (2- Methoxyethyl) aminoacetonitrile, N, N-bis [2- (methoxymethoxy) ethyl] amino Acetonitrile, methyl N-cyanomethyl-N- (2-methoxyethyl) -3-aminopropionate, methyl N-cyanomethyl-N- (2-hydroxyethyl) -3-aminopropionate, N- (2-acetoxyethyl) -N-cyanomethyl-3-aminopropionate methyl, N-cyanomethyl-N- (2-hydroxyethyl) aminoacetonitrile, N- (2-acetoxyethyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (2-formyloxyethyl) aminoacetonitrile, N-cyanomethyl-N- (2-methoxyethyl) aminoacetonitrile, N-cyanomethyl-N- [2- (methoxymethoxy) ethyl] aminoacetonitrile, N- (cyanomethyl) -N -(3-Hydroxy-1-propyl) amino Acetonitrile, N- (3-acetoxy-1-propyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (3-formyloxy-1-propyl) aminoacetonitrile, N, N-bis (cyanomethyl) amino Acetonitrile, 1-pyrrolidinepropiononitrile, 1-piperidinepropiononitrile, 4-morpholinepropiononitrile, 1-pyrrolidineacetonitrile, 1-piperidineacetonitrile, 4-morpholineacetonitrile, cyanomethyl 3-diethylaminopropionate, N, N-bis Cyanomethyl (2-hydroxyethyl) -3-aminopropionate, N, N-bis (2-acetoxyethyl) -3-aminopropionate cyanomethyl, N, N-bis (2-formyloxyethyl) -3-aminop Cyanomethyl pionate, cyanomethyl N, N-bis (2-methoxyethyl) -3-aminopropionate, cyanomethyl N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropionate, 3-diethylaminopropionic acid (2-cyanoethyl), N, N-bis (2-hydroxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-acetoxyethyl) -3-aminopropionic acid (2-cyanoethyl) ), N, N-bis (2-formyloxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-methoxyethyl) -3-aminopropionic acid (2-cyanoethyl), N , N-bis [2- (methoxymethoxy) ethyl] -3-aminopropionic acid (2-cyanoethyl), 1-pyrrolidine Cyanomethyl propionate, cyanomethyl 1-piperidinepropionate, cyanomethyl 4-morpholine propionate, 1-pyrrolidinepropionic acid (2-cyanoethyl), 1-piperidinepropionic acid (2-cyanoethyl), 4-morpholine propionic acid (2-cyanoethyl) Is exemplified.

更に、下記一般式(B)−7で表されるイミダゾール骨格及び極性官能基を有する含窒素有機化合物が例示される。

Figure 2009157338

(上記式中、R310は炭素数2〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としては水酸基、カルボニル基、エステル基、エーテル基、スルフィド基、カーボネート基、シアノ基、アセタール基のいずれかを1個あるいは複数個含む。R311、R312、R313は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、アリール基又はアラルキル基である。) Furthermore, a nitrogen-containing organic compound having an imidazole skeleton and a polar functional group represented by the following general formula (B) -7 is exemplified.
Figure 2009157338

(In the above formula, R 310 is an alkyl group having a linear, branched or cyclic polar functional group having 2 to 20 carbon atoms, and the polar functional group includes a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide. 1 or a plurality of any of a group, a carbonate group, a cyano group and an acetal group, wherein R 311 , R 312 and R 313 are a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. An aryl group or an aralkyl group.)

更に、下記一般式(B)−8で示されるベンズイミダゾール骨格及び極性官能基を有する含窒素有機化合物が例示される。

Figure 2009157338

(上記式中、R314は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、アリール基、又はアラルキル基である。R315は炭素数1〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としてエステル基、アセタール基、シアノ基のいずれかを一つ以上含み、その他に水酸基、カルボニル基、エーテル基、スルフィド基、カーボネート基のいずれかを一つ以上含んでいてもよい。) Furthermore, a nitrogen-containing organic compound having a benzimidazole skeleton and a polar functional group represented by the following general formula (B) -8 is exemplified.
Figure 2009157338

(In the above formula, R 314 is a hydrogen atom, a linear, branched or cyclic alkyl group, aryl group, or aralkyl group having 1 to 10 carbon atoms. R 315 is a straight chain having 1 to 20 carbon atoms. , An alkyl group having a branched or cyclic polar functional group, which includes at least one of an ester group, an acetal group, and a cyano group as a polar functional group, and in addition, a hydroxyl group, a carbonyl group, an ether group, a sulfide group, (One or more carbonate groups may be contained.)

更に、下記一般式(B)−9及び(B)−10で示される極性官能基を有する含窒素複素環化合物が例示される。

Figure 2009157338

(上記式中、Aは窒素原子又は≡C−R322である。Bは窒素原子又は≡C−R323である。R316は炭素数2〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としては水酸基、カルボニル基、エステル基、エーテル基、スルフィド基、カーボネート基、シアノ基又はアセタール基を一つ以上含む。R317、R318、R319、R320は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基であるか、又はR317とR318、R319とR320はそれぞれ結合してこれらが結合する炭素原子と共にベンゼン環、ナフタレン環あるいはピリジン環を形成してもよい。R321は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基である。R322、R323は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基である。R321とR323は結合してこれらが結合する炭素原子と共にベンゼン環又はナフタレン環を形成してもよい。) Furthermore, the nitrogen-containing heterocyclic compound which has a polar functional group shown by the following general formula (B) -9 and (B) -10 is illustrated.
Figure 2009157338

(In the above formula, A is a nitrogen atom or ≡C—R 322. B is a nitrogen atom or ≡C—R 323. R 316 is a linear, branched or cyclic polarity having 2 to 20 carbon atoms. An alkyl group having a functional group, and the polar functional group includes one or more of a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide group, a carbonate group, a cyano group, or an acetal group R 317 , R 318 , R 319 R 320 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group, or R 317 and R 318 , R 319 and R 320 are bonded to each other. A benzene ring, a naphthalene ring or a pyridine ring may be formed together with the carbon atom to which R is bonded, and R 321 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group. R 322 and R 323 are hydrogen atoms and charcoal A linear, branched or cyclic alkyl group or an aryl group having a prime number of 1 to 10. R 321 and R 323 may be bonded to form a benzene ring or a naphthalene ring together with the carbon atom to which they are bonded. .)

更に、下記一般式(B)−11〜(B)−14で示される芳香族カルボン酸エステル構造を有する含窒素有機化合物が例示される。

Figure 2009157338

(上記式中、R324は炭素数6〜20のアリール基又は炭素数4〜20のヘテロ芳香族基であって、水素原子の一部又は全部が、ハロゲン原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、炭素数7〜20のアラルキル基、炭素数1〜10のアルコキシ基、炭素数1〜10のアシルオキシ基、又は、炭素数1〜10のアルキルチオ基で置換されていてもよい。R325はCO2326、OR327又はシアノ基である。R326は一部のメチレン基が酸素原子で置換されていてもよい炭素数1〜10のアルキル基である。R327は一部のメチレン基が酸素原子で置換されていてもよい炭素数1〜10のアルキル基又はアシル基である。R328は単結合、メチレン基、エチレン基、硫黄原子又は−O(CH2CH2O)n−基である。n=0,1,2,3又は4である。R329は水素原子、メチル基、エチル基又はフェニル基である。Xは窒素原子又はCR330である。Yは窒素原子又はCR331である。Zは窒素原子又はCR332である。R330、R331、R332はそれぞれ独立に水素原子、メチル基又はフェニル基であるか、あるいはR330とR331又はR331とR332が結合してこれらが結合する炭素原子と共に炭素数6〜20の芳香環又は炭素数2〜20のヘテロ芳香環を形成してもよい。) Furthermore, the nitrogen-containing organic compound which has an aromatic carboxylic acid ester structure shown by the following general formula (B) -11- (B) -14 is illustrated.
Figure 2009157338

(In the above formula, R 324 is an aryl group having 6 to 20 carbon atoms or a heteroaromatic group having 4 to 20 carbon atoms, and part or all of the hydrogen atoms are halogen atoms, A linear, branched or cyclic alkyl group, an aryl group having 6 to 20 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, an alkoxy group having 1 to 10 carbon atoms, an acyloxy group having 1 to 10 carbon atoms, or carbon R 325 may be CO 2 R 326 , OR 327 or cyano group, and R 326 may be a carbon in which some methylene groups may be substituted with oxygen atoms. R 327 is an alkyl group or acyl group having 1 to 10 carbon atoms in which a part of the methylene group may be substituted with an oxygen atom, R 328 is a single bond or a methylene group. , an ethylene group, a sulfur atom or -O (CH 2 CH 2 ) N - is a .n = 0, 1, 2, 3 or 4 is a radical .R 329 is a hydrogen atom, a methyl group, .X an ethyl group or a phenyl group is a nitrogen atom or CR 330 .Y is A nitrogen atom or CR 331. Z is a nitrogen atom or CR 332. R 330 , R 331 and R 332 are each independently a hydrogen atom, a methyl group or a phenyl group, or R 330 and R 331 or R 331 and R 332 may combine to form an aromatic ring having 6 to 20 carbon atoms or a heteroaromatic ring having 2 to 20 carbon atoms together with the carbon atom to which these are bonded.

更に、下記一般式(B)−15で示される7−オキサノルボルナン−2−カルボン酸エステル構造を有する含窒素有機化合物が例示される。

Figure 2009157338

(上記式中、R333は水素、又は炭素数1〜10の直鎖状、分岐状又は環状のアルキル基である。R334及びR335はそれぞれ独立に、エーテル、カルボニル、エステル、アルコール、スルフィド、ニトリル、アミン、イミン、アミドなどの極性官能基を一つ又は複数含んでいてもよい炭素数1〜20のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基であって、水素原子の一部がハロゲン原子で置換されていてもよい。R334とR335は互いに結合してこれらが結合する窒素原子と共に炭素数2〜20のヘテロ環又はヘテロ芳香環を形成してもよい。) Furthermore, a nitrogen-containing organic compound having a 7-oxanorbornane-2-carboxylic acid ester structure represented by the following general formula (B) -15 is exemplified.
Figure 2009157338

(In the above formula, R 333 is hydrogen or a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. R 334 and R 335 are independently ether, carbonyl, ester, alcohol, sulfide. An alkyl group having 1 to 20 carbon atoms, an aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms, which may contain one or more polar functional groups such as nitrile, amine, imine and amide And R 334 and R 335 may be bonded to each other to form a heterocyclic ring or heteroaromatic ring having 2 to 20 carbon atoms together with the nitrogen atom to which they are bonded. It may be formed.)

なお、含窒素有機化合物の配合量は、ベース樹脂100質量部に対して0.001〜2質量部、特に0.01〜1質量部が好適である。配合量が0.001質量部以上であれば十分な配合効果が得られ、2質量部以下であれば感度が低下するおそれが少ない。   In addition, 0.001-2 mass parts with respect to 100 mass parts of base resins, and especially 0.01-1 mass part are suitable for the compounding quantity of a nitrogen-containing organic compound. If the amount is 0.001 part by mass or more, a sufficient blending effect is obtained, and if it is 2 parts by mass or less, the sensitivity is less likely to decrease.

本発明のレジスト材料には、上記成分以外に任意成分として塗布性を向上させるために慣用されている界面活性剤を添加することができる。なお、任意成分の添加量は、本発明の効果を妨げない範囲で通常量とすることができる。   In addition to the above components, a surfactant conventionally used for improving the coating property can be added to the resist material of the present invention. In addition, the addition amount of an arbitrary component can be made into a normal amount in the range which does not inhibit the effect of this invention.

ここで、界面活性剤としては非イオン性のものが好ましく、パーフルオロアルキルポリオキシエチレンエタノール、フッ素化アルキルエステル、パーフルオロアルキルアミンオキサイド、パーフルオロアルキルEO付加物、含フッ素オルガノシロキサン系化合物等が挙げられる。例えばフロラード「FC−430」、「FC−431」、「FC−4430」(いずれも住友スリーエム(株)製)、サーフロン「S−141」、「S−145」、「KH−10」、「KH−20」、「KH−30」、「KH−40」(いずれも旭硝子(株)製)、ユニダイン「DS−401」、「DS−403」、「DS−451」(いずれもダイキン工業(株)製)、メガファック「F−8151」(大日本インキ工業(株)製)、「X−70−092」、「X−70−093」(いずれも信越化学工業(株)製)等を挙げることができる。好ましくは、フロラード「FC−430」、「FC−4430」(住友スリーエム(株)製)、「KH−20」、「KH−30」(いずれも旭硝子(株)製)、「X−70−093」(信越化学工業(株)製)が挙げられる。   Here, the surfactant is preferably nonionic, such as perfluoroalkyl polyoxyethylene ethanol, fluorinated alkyl ester, perfluoroalkylamine oxide, perfluoroalkyl EO adduct, fluorine-containing organosiloxane compound, and the like. Can be mentioned. For example, Florard “FC-430”, “FC-431”, “FC-4430” (all manufactured by Sumitomo 3M Limited), Surflon “S-141”, “S-145”, “KH-10”, “ KH-20 "," KH-30 "," KH-40 "(all manufactured by Asahi Glass Co., Ltd.), Unidyne" DS-401 "," DS-403 "," DS-451 "(all Daikin Industries ( ), MegaFuck “F-8151” (Dainippon Ink Industries, Ltd.), “X-70-092”, “X-70-093” (all manufactured by Shin-Etsu Chemical Co., Ltd.), etc. Can be mentioned. Preferably, Florard “FC-430”, “FC-4430” (manufactured by Sumitomo 3M Co., Ltd.), “KH-20”, “KH-30” (both manufactured by Asahi Glass Co., Ltd.), “X-70- 093 "(manufactured by Shin-Etsu Chemical Co., Ltd.).

本発明のレジスト材料には、必要に応じ、任意成分として更に、溶解制御剤、カルボン酸化合物、アセチレンアルコール誘導体などの他の成分を添加してもよい。なお、任意成分の添加量は、本発明の効果を妨げない範囲で通常量とすることができる。   If necessary, the resist material of the present invention may further contain other components such as a dissolution controller, a carboxylic acid compound, and an acetylene alcohol derivative as optional components. In addition, the addition amount of an arbitrary component can be made into a normal amount in the range which does not inhibit the effect of this invention.

本発明のレジスト材料に添加することができる溶解制御剤としては、質量平均分子量が100〜1,000、好ましくは150〜800で、かつ分子内にフェノール性水酸基を2つ以上有する化合物の該フェノール性水酸基の水素原子を酸不安定基により全体として平均0〜100モル%の割合で置換した化合物又は分子内にカルボキシ基を有する化合物の該カルボキシ基の水素原子を酸不安定基により全体として平均50〜100モル%の割合で置換した化合物を配合する。   The dissolution control agent that can be added to the resist material of the present invention is a phenol having a mass average molecular weight of 100 to 1,000, preferably 150 to 800, and a compound having two or more phenolic hydroxyl groups in the molecule. The hydrogen atom of the carboxylic group of the compound having a carboxy group in the molecule or the compound in which the hydrogen atom of the ionic hydroxyl group is substituted with an acid labile group as a whole at an average rate of 0 to 100 mol% is averaged by the acid labile group as a whole A compound substituted at a ratio of 50 to 100 mol% is blended.

なお、フェノール性水酸基の水素原子の酸不安定基による置換率は、平均でフェノール性水酸基全体の0モル%以上、好ましくは30モル%以上であり、その上限は100モル%、より好ましくは80モル%である。カルボキシ基の水素原子の酸不安定基による置換率は、平均でカルボキシ基全体の50モル%以上、好ましくは70モル%以上であり、その上限は100モル%である。   The substitution rate of the hydrogen atom of the phenolic hydroxyl group by an acid labile group is on average 0 mol% or more, preferably 30 mol% or more of the entire phenolic hydroxyl group, and the upper limit is 100 mol%, more preferably 80 mol%. Mol%. The substitution rate of the hydrogen atom of the carboxy group with an acid labile group is 50 mol% or more, preferably 70 mol% or more of the entire carboxy group on average, and the upper limit is 100 mol%.

この場合、かかるフェノール性水酸基を2つ以上有する化合物又はカルボキシ基を有する化合物としては、下記式(D1)〜(D14)で示されるものが好ましい。   In this case, as the compound having two or more phenolic hydroxyl groups or the compound having a carboxy group, those represented by the following formulas (D1) to (D14) are preferable.

Figure 2009157338
Figure 2009157338

上記式中、R201とR202は、それぞれ水素原子、又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基を示し、例えば、水素原子、メチル基、エチル基、ブチル基、プロピル基、エチニル基、シクロヘキシル基が挙げられる。
203は、水素原子、又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基、あるいは−(R207hCOOH(式中、R207は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。hは0又は1である。)を示し、例えば、R201、R202と同様なもの、あるいは−COOH、−CH2COOHが挙げられる。
204は、−(CH2i−(i=2〜10)、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示し、例えば、エチレン基、フェニレン基、カルボニル基、スルホニル基、酸素原子、硫黄原子等が挙げられる。
205は、炭素数1〜10のアルキレン基、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示し、例えば、メチレン基、あるいはR204と同様なものが挙げられる。
206は、水素原子、炭素数1〜8の直鎖状又は分岐状のアルキル基、アルケニル基、又はそれぞれ水酸基で置換されたフェニル基又はナフチル基を示し、例えば、水素原子、メチル基、エチル基、ブチル基、プロピル基、エチニル基、シクロヘキシル基、それぞれ水酸基で置換されたフェニル基、ナフチル基等が挙げられる。
208は、水素原子又は水酸基を示す。
In the above formula, R 201 and R 202 each represent a hydrogen atom, or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, such as a hydrogen atom, a methyl group, an ethyl group, or a butyl group. , A propyl group, an ethynyl group, and a cyclohexyl group.
R 203 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or — (R 207 ) h COOH (wherein R 207 is a linear chain having 1 to 10 carbon atoms) And h represents 0 or 1.), and examples thereof include those similar to R 201 and R 202 , or —COOH and —CH 2 COOH.
R 204 represents — (CH 2 ) i — (i = 2 to 10), an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom, such as an ethylene group, a phenylene group, A carbonyl group, a sulfonyl group, an oxygen atom, a sulfur atom, etc. are mentioned.
R 205 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom, and examples thereof include a methylene group or the same as R 204. It is done.
R 206 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, or a phenyl group or a naphthyl group each substituted with a hydroxyl group. For example, a hydrogen atom, a methyl group, an ethyl group Group, butyl group, propyl group, ethynyl group, cyclohexyl group, phenyl group substituted with hydroxyl group, naphthyl group, and the like.
R 208 represents a hydrogen atom or a hydroxyl group.

jは0〜5の整数である。u、hは0又は1である。s、t、s’、t’、s’’、t’’はそれぞれs+t=8、s’+t’=5、s’’+t’’=4を満足し、かつ各フェニル骨格中に少なくとも1つの水酸基を有するような数である。αは式(D8)、(D9)の化合物の質量平均分子量を100〜1,000とする数である。   j is an integer of 0-5. u and h are 0 or 1. s, t, s ′, t ′, s ″, t ″ satisfy s + t = 8, s ′ + t ′ = 5, s ″ + t ″ = 4, respectively, and at least 1 in each phenyl skeleton The number has two hydroxyl groups. α is a number that makes the mass average molecular weight of the compounds of formulas (D8) and (D9) 100 to 1,000.

溶解制御剤の酸不安定基としては、種々用いることができるが、具体的には前記一般式(L1)〜(L4)で示される基、炭素数4〜20の三級アルキル基、各アルキル基の炭素数がそれぞれ1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基等を挙げることができる。なお、それぞれの基の具体例については、先の説明と同様である。   The acid labile group of the dissolution control agent can be variously used. Specifically, the groups represented by the general formulas (L1) to (L4), the tertiary alkyl group having 4 to 20 carbon atoms, and each alkyl Examples thereof include a trialkylsilyl group having 1 to 6 carbon atoms and an oxoalkyl group having 4 to 20 carbon atoms. Note that specific examples of each group are the same as described above.

上記溶解制御剤の配合量は、レジスト材料中のベース樹脂100質量部に対し、0〜50質量部、好ましくは0〜40質量部、より好ましくは0〜30質量部であり、単独又は2種以上を混合して使用できる。配合量が50質量部以下であれば、パターンの膜減りが生じて、解像度が低下するおそれが少ない。   The blending amount of the dissolution control agent is 0 to 50 parts by mass, preferably 0 to 40 parts by mass, more preferably 0 to 30 parts by mass with respect to 100 parts by mass of the base resin in the resist material. The above can be mixed and used. When the blending amount is 50 parts by mass or less, the film thickness of the pattern is reduced, and there is little possibility that the resolution is lowered.

なお、上記のような溶解制御剤は、フェノール性水酸基又はカルボキシ基を有する化合物に対し、有機化学的処方を用いて酸不安定基を導入することにより合成される。   In addition, the above solubility control agents are synthesize | combined by introduce | transducing an acid labile group with respect to the compound which has a phenolic hydroxyl group or a carboxy group using an organic chemical prescription.

本発明のレジスト材料に添加することができるカルボン酸化合物としては、例えば下記[I群]及び[II群]から選ばれる1種又は2種以上の化合物を使用することができるが、これらに限定されるものではない。本成分の配合により、レジストのPED(Post Exposure Delay)安定性が向上し、窒化膜基板上でのエッジラフネスが改善される。   As the carboxylic acid compound that can be added to the resist material of the present invention, for example, one or two or more compounds selected from the following [Group I] and [Group II] can be used, but are not limited thereto. Is not to be done. By blending this component, the PED (Post Exposure Delay) stability of the resist is improved, and the edge roughness on the nitride film substrate is improved.

[I群]
下記一般式(A1)〜(A10)で示される化合物のフェノール性水酸基の水素原子の一部又は全部を−R401−COOH(R401は炭素数1〜10の直鎖状又は分岐状のアルキレン基)により置換してなり、かつ分子中のフェノール性水酸基(C)と≡C−COOHで示される基(D)とのモル比率がC/(C+D)=0.1〜1.0である化合物。
[II群]
下記一般式(A11)〜(A15)で示される化合物。
[Group I]
A part or all of the hydrogen atoms of the phenolic hydroxyl groups of the compounds represented by the following general formulas (A1) to (A10) are converted to —R 401 —COOH (where R 401 is a linear or branched alkylene having 1 to 10 carbon atoms). The molar ratio of the phenolic hydroxyl group (C) in the molecule to the group (D) represented by ≡C—COOH is C / (C + D) = 0.1 to 1.0. Compound.
[Group II]
Compounds represented by the following general formulas (A11) to (A15).

Figure 2009157338
Figure 2009157338

Figure 2009157338
Figure 2009157338

上記式中、R408は水素原子又はメチル基を示す。
402、R403はそれぞれ水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基を示す。R404は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基、あるいは−(R409h−COOR’基(R’は水素原子又は−R409−COOH)を示す。
405は−(CH2i−(i=2〜10)、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示す。
406は炭素数1〜10のアルキレン基、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示す。
407は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基、アルケニル基、それぞれ水酸基で置換されたフェニル基又はナフチル基を示す。
409は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。
410は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基又は−R411−COOH基(式中、R411は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。)を示す。
412は水素原子又は水酸基を示す。
In the above formula, R 408 represents a hydrogen atom or a methyl group.
R 402 and R 403 each represent a hydrogen atom or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms. R 404 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or a — (R 409 ) h —COOR ′ group (R ′ represents a hydrogen atom or —R 409 —COOH). Show.
R 405 is - (CH 2) i - ( i = 2~10), shows an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom.
R 406 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom.
R 407 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, a phenyl group or a naphthyl group each substituted with a hydroxyl group.
R 409 represents a linear or branched alkylene group having 1 to 10 carbon atoms.
R 410 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or —R 411 —COOH group (wherein R 411 is a linear or branched group having 1 to 10 carbon atoms) Represents an alkylene group.
R 412 represents a hydrogen atom or a hydroxyl group.

jは0〜3の数であり、s1、t1、s2、t2、s3、t3、s4、t4は、それぞれs1+t1=8、s2+t2=5、s3+t3=4、s4+t4=6を満足し、かつ各フェニル骨格中に少なくとも1つの水酸基を有するような数である。
s5、t5は、s5≧0、t5≧0で、s5+t5=5を満足する数である。
uは、1≦u≦4を満足する数であり、hは、1≦h≦4を満足する数である。
j is a number from 0 to 3, and s1, t1, s2, t2, s3, t3, s4, and t4 satisfy s1 + t1 = 8, s2 + t2 = 5, s3 + t3 = 4, s4 + t4 = 6, and each phenyl The number is such that it has at least one hydroxyl group in the skeleton.
s5 and t5 are numbers satisfying s5 + t5 = 5 with s5 ≧ 0 and t5 ≧ 0.
u is a number satisfying 1 ≦ u ≦ 4, and h is a number satisfying 1 ≦ h ≦ 4.

κは式(A6)の化合物を質量平均分子量1,000〜5,000とする数である。
λは式(A7)の化合物を質量平均分子量1,000〜10,000とする数である。
本成分として、具体的には下記一般式(AI−1)〜(AI−14)及び(AII−1)〜(AII−10)で示される化合物を挙げることができるが、これらに限定されるものではない。
κ is a number that makes the compound of formula (A6) a mass average molecular weight of 1,000 to 5,000.
λ is a number that makes the compound of formula (A7) a mass average molecular weight of 1,000 to 10,000.
Specific examples of this component include, but are not limited to, compounds represented by the following general formulas (AI-1) to (AI-14) and (AII-1) to (AII-10). It is not a thing.

Figure 2009157338
Figure 2009157338

Figure 2009157338

(上記式中、R’’は水素原子又はCH2COOH基を示し、各化合物においてR’’の10〜100モル%はCH2COOH基である。κとλは上記と同様の意味を示す。)
Figure 2009157338

(In the above formula, R ″ represents a hydrogen atom or a CH 2 COOH group, and in each compound, 10 to 100 mol% of R ″ is a CH 2 COOH group. Κ and λ have the same meaning as described above. .)

なお、上記分子内に≡C−COOHで示される基を有する化合物の添加量は、ベース樹脂100質量部に対して0〜5質量部、好ましくは0.1〜5質量部、より好ましくは0.1〜3質量部、更に好ましくは0.1〜2質量部である。5質量部以下であればレジスト材料の解像度が低下するおそれが少ない。   The amount of the compound having a group represented by ≡C—COOH in the molecule is 0 to 5 parts by mass, preferably 0.1 to 5 parts by mass, and more preferably 0 to 100 parts by mass of the base resin. 0.1-3 parts by mass, more preferably 0.1-2 parts by mass. If it is 5 mass parts or less, there is little possibility that the resolution of a resist material will fall.

本発明のレジスト材料に添加することができるアセチレンアルコール誘導体としては、下記一般式(S1)、(S2)で示されるものを好適に使用することができる。

Figure 2009157338

(上記式中、R501、R502、R503、R504、R505はそれぞれ水素原子、又は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基であり、X、Yは0又は正数を示し、下記値を満足する。0≦X≦30、0≦Y≦30、0≦X+Y≦40である。) As the acetylene alcohol derivative that can be added to the resist material of the present invention, those represented by the following general formulas (S1) and (S2) can be preferably used.
Figure 2009157338

(In the above formula, R 501 , R 502 , R 503 , R 504 and R 505 are each a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, and X and Y are 0 Or it represents a positive number and satisfies the following values: 0 ≦ X ≦ 30, 0 ≦ Y ≦ 30, 0 ≦ X + Y ≦ 40.)

アセチレンアルコール誘導体として好ましくは、サーフィノール61、サーフィノール82、サーフィノール104、サーフィノール104E、サーフィノール104H、サーフィノール104A、サーフィノールTG、サーフィノールPC、サーフィノール440、サーフィノール465、サーフィノール485(Air Products and Chemicals Inc.製)、サーフィノールE1004(日信化学工業(株)製)等が挙げられる。   As acetylene alcohol derivatives, Surfinol 61, Surfinol 82, Surfinol 104, Surfinol 104E, Surfinol 104H, Surfinol 104A, Surfinol TG, Surfinol PC, Surfinol 440, Surfinol 465, Surfinol 485 (Air Products and Chemicals Inc.), Surfinol E1004 (Nisshin Chemical Industry Co., Ltd.) and the like.

上記アセチレンアルコール誘導体の添加量は、レジスト材料100質量%中0.01〜2質量%、より好ましくは0.02〜1質量%である。0.01質量%以上であれば塗布性及び保存安定性の改善効果が十分に得られ、2質量%以下であればレジスト材料の解像性が低下するおそれが少ない。   The addition amount of the acetylene alcohol derivative is 0.01 to 2% by mass, more preferably 0.02 to 1% by mass in 100% by mass of the resist material. If it is 0.01 mass% or more, the improvement effect of applicability | paintability and storage stability is fully acquired, and if it is 2 mass% or less, there is little possibility that the resolution of a resist material will fall.

ここで、ダブルパターニングについて説明すると、図1〜3は従来のダブルパターニング方法を示す。
図1に示すダブルパターニング方法1において、基板10上の被加工基板20上にフォトレジスト膜30を塗布、形成する。フォトレジストパターンのパターン倒れ防止のため、フォトレジスト膜の薄膜化が進行しており、それに伴うエッチング耐性の低下を補うためにハードマスクを用いて被加工基板を加工する方法が行われている。ここで、図1に示すダブルパターニング方法としては、フォトレジスト膜30と被加工基板20の間にハードマスク40を敷く積層膜である(図1−A)。ダブルパターニング方法において、ハードマスクは必ずしも必須ではないし、ハードマスクの代わりにカーボン膜による下層膜と珪素含有中間膜を敷いても構わないし、ハードマスクとフォトレジスト膜との間に有機反射防止膜を敷いても構わない。ハードマスクとしては、SiO2、SiN、SiON、p−Siなどが用いられる。また、ダブルパターニング方法1において、用いるレジスト材料はポジ型レジスト材料である。この方法においては、上記レジスト膜30を露光、現像し(図1−B)、次いでハードマスク40をドライエッチングし(図1−C)、フォトレジスト膜を剥離後、2回目のフォトレジスト膜50を塗布、形成し、露光、現像を行う(図1−D)。次に、被加工基板20をドライエッチングする(図1−E)が、ハードマスクパターンと、2回目のフォトレジストパターンをマスクにしてエッチングするために、ハードマスク40とフォトレジスト膜50のエッチング耐性の違いにより被加工基板のエッチング後のパターン寸法にずれが生じる。
Here, double patterning will be described. FIGS. 1 to 3 show a conventional double patterning method.
In the double patterning method 1 shown in FIG. 1, a photoresist film 30 is applied and formed on a substrate 20 to be processed on the substrate 10. In order to prevent the pattern collapse of the photoresist pattern, the thickness of the photoresist film has been reduced, and a method of processing a substrate to be processed using a hard mask has been performed in order to compensate for the accompanying decrease in etching resistance. Here, the double patterning method shown in FIG. 1 is a laminated film in which a hard mask 40 is laid between the photoresist film 30 and the substrate 20 to be processed (FIG. 1-A). In the double patterning method, a hard mask is not necessarily required, and instead of the hard mask, an underlayer film of carbon film and a silicon-containing intermediate film may be laid, and an organic antireflection film is provided between the hard mask and the photoresist film. May be laid. The hard mask, SiO 2, SiN, SiON, etc. p-Si is used. In the double patterning method 1, the resist material used is a positive resist material. In this method, the resist film 30 is exposed and developed (FIG. 1-B), then the hard mask 40 is dry-etched (FIG. 1-C), and after removing the photoresist film, the second photoresist film 50 is removed. Is applied, formed, exposed and developed (FIG. 1-D). Next, the substrate 20 to be processed is dry-etched (FIG. 1-E). In order to etch using the hard mask pattern and the second photoresist pattern as a mask, the etching resistance of the hard mask 40 and the photoresist film 50 is etched. Due to the difference, the pattern size after etching of the substrate to be processed is shifted.

前記問題を解決するために、図2に示すダブルパターニング方法2では、ハードマスクを2層敷き、1回目のレジストパターンで上層のハードマスク42を加工し、2回目のレジストパターンで下層のハードマスク41を加工し、2つのハードマスクパターンを用いて被加工基板をドライエッチングする。第1ハードマスク41と第2ハードマスク42のエッチング選択比が高いことが必要であり、かなり複雑なプロセスになる。   In order to solve the above problem, in the double patterning method 2 shown in FIG. 2, two layers of hard masks are laid, the upper hard mask 42 is processed with the first resist pattern, and the lower hard mask is formed with the second resist pattern. 41 is processed, and the substrate to be processed is dry-etched using two hard mask patterns. The etching selectivity between the first hard mask 41 and the second hard mask 42 needs to be high, and this is a rather complicated process.

図3に示すダブルパターニング方法3は、トレンチパターンを用いる方法である。これならばハードマスクは1層で済む。しかしながら、ラインパターンに比べてトレンチパターンは光のコントラストが低いために、現像後のパターンの解像が難しく、マージンが狭い欠点がある。広いトレンチパターンを形成してからサーマルフローやRELAX法などでシュリンクさせることも可能であるが、プロセスが煩雑化する。ネガ型レジスト材料を用いれば高い光学コントラストで露光が可能であるが、ネガ型レジスト材料は一般的にポジ型レジスト材料に比べてコントラストが低く、解像性能が低い欠点がある。トレンチプロセスは、1回目のトレンチと2回目のトレンチの位置ずれが、最終的に残るラインの線幅ずれにつながるため、非常に高精度なアライメントが必要である。
いずれにしてもこれまでに挙げられるダブルパターニング方法1〜3は、ハードマスクのエッチングを2回行うことになり、プロセス上の欠点である。
The double patterning method 3 shown in FIG. 3 is a method using a trench pattern. In this case, only one hard mask is required. However, since the trench pattern has a lower light contrast than the line pattern, it is difficult to resolve the pattern after development and has a disadvantage that the margin is narrow. Although it is possible to shrink by thermal flow or RELAX method after forming a wide trench pattern, the process becomes complicated. If a negative resist material is used, exposure can be performed with a high optical contrast. However, a negative resist material generally has a disadvantage that the contrast is lower than that of a positive resist material and the resolution performance is low. In the trench process, the positional deviation between the first trench and the second trench leads to the deviation of the line width of the finally remaining line, so that very high-precision alignment is required.
In any case, the double patterning methods 1 to 3 mentioned so far involve etching of the hard mask twice, which is a process defect.

これに対し、本発明に係るダブルパターニング方法は、図4に示す通りであり、図1−Aと同様に、基板10上の被加工基板20上にハードマスク40を介して第1のポジ型レジスト材料による第1のフォトレジスト膜30を形成する(図4−A)。次いで、第1のレジスト膜30を露光、現像し(図4−B)、その後波長300nm以下の紫外線の照射によりレジスト膜30を架橋硬化させ、架橋レジスト膜30aを形成する(図4−C)。この場合、波長300nm以下の紫外線の照射前に加熱による架橋を更に行うようにしてもよいし、紫外線照射後に加熱による架橋を更に行うようにしてもよい。加熱による架橋は、紫外線照射による架橋と相まってより強固なパターンを形成することができる。加熱温度としては、150℃以上300℃以下、5〜600秒の範囲が好ましい。150℃よりも低い温度では架橋不足のために2回目のパターンを形成するためのレジスト塗布時、あるいは2回目の現像で1回目のパターンが溶解してしまうことがある。300℃を超えると、ポリマー主鎖の分解や熱フローによりパターンが変形することがある。更に、その上に第2のレジスト材料を塗布して第2のレジスト膜50を形成し、露光、現像して、上記第1のレジスト膜30(架橋レジスト膜30a)のパターンのスペース部分に第2のフォトレジスト膜50のパターンを形成する(図4−D)。次に、ハードマスク40をエッチングし(図4−E)、更に被加工基板20をドライエッチングし、上記架橋レジスト膜30a及び第2のレジスト膜50を除去する(図4−F)。   On the other hand, the double patterning method according to the present invention is as shown in FIG. 4, and the first positive type is formed on the substrate 20 to be processed on the substrate 10 via the hard mask 40 as in FIG. A first photoresist film 30 is formed from a resist material (FIG. 4-A). Next, the first resist film 30 is exposed and developed (FIG. 4-B), and then the resist film 30 is crosslinked and cured by irradiation with ultraviolet rays having a wavelength of 300 nm or less to form a crosslinked resist film 30a (FIG. 4-C). . In this case, crosslinking by heating may be further performed before irradiation with ultraviolet rays having a wavelength of 300 nm or less, or crosslinking by heating may be further performed after irradiation with ultraviolet rays. Crosslinking by heating can form a stronger pattern in combination with crosslinking by ultraviolet irradiation. As heating temperature, the range of 150 to 300 degreeC and 5 to 600 second is preferable. When the temperature is lower than 150 ° C., the first pattern may be dissolved at the time of resist coating for forming the second pattern due to insufficient crosslinking or by the second development. If it exceeds 300 ° C., the pattern may be deformed by decomposition of the polymer main chain or heat flow. Further, a second resist material is applied thereon to form a second resist film 50, which is then exposed and developed, and the first resist film 30 (crosslinked resist film 30a) is exposed to the space portion of the pattern. A pattern of the second photoresist film 50 is formed (FIG. 4-D). Next, the hard mask 40 is etched (FIG. 4-E), and the substrate 20 to be processed is further dry-etched to remove the crosslinked resist film 30a and the second resist film 50 (FIG. 4-F).

図4に示されるのは、第1のパターンの間に第2のパターンを形成する方法であるが、第1のパターンと直交する第2のパターンを形成してもよい(図5)。1回の露光で直交するパターンを形成することもできるが、ダイポール照明と変更照明を組み合わせればラインパターンのコントラストを非常に高くすることができる。図5−Aに示されるようにY方向のラインをパターニングし、このパターンを本発明の方法で不溶化し、図5−Bに示されるように2回目のレジストを塗布してX方向ラインを形成する。XとYのラインを組み合わせて格子状パターンを形成することによって空いた部分をホールにする。形成するのは直交パターンだけとは限らず、T型パターンもよいし、図6に示されるように離れていてもよい。   Although FIG. 4 shows a method of forming the second pattern between the first patterns, a second pattern orthogonal to the first pattern may be formed (FIG. 5). An orthogonal pattern can be formed by one exposure, but if the dipole illumination and the modified illumination are combined, the contrast of the line pattern can be made very high. As shown in FIG. 5-A, the Y-direction line is patterned, the pattern is insolubilized by the method of the present invention, and the second resist is applied to form the X-direction line as shown in FIG. 5-B. To do. By combining the X and Y lines to form a lattice pattern, the vacant part is made a hole. What is formed is not limited to the orthogonal pattern, but may be a T-shaped pattern or may be separated as shown in FIG.

この場合、基板10としては、シリコン基板が一般的に用いられる。被加工基板20としては、SiO2、SiN、SiON、SiOC、p−Si、α−Si、TiN、WSi、BPSG、SOG、Cr、CrO、CrON、MoSi、低誘電膜及びそのエッチングストッパー膜が挙げられる。また、ハードマスク40としては、上述した通りである。なお、ハードマスクの代わりにカーボン膜による下層膜と珪素含有中間膜あるいは有機反射防止膜等の中間介在層を形成してもよい。 In this case, a silicon substrate is generally used as the substrate 10. Examples of the substrate to be processed 20 include SiO 2 , SiN, SiON, SiOC, p-Si, α-Si, TiN, WSi, BPSG, SOG, Cr, CrO, CrON, MoSi, a low dielectric film, and an etching stopper film thereof. It is done. The hard mask 40 is as described above. Instead of the hard mask, an underlayer film made of a carbon film and an intermediate intervening layer such as a silicon-containing intermediate film or an organic antireflection film may be formed.

本発明においては、上記被加工基板に直接又は上記ハードマスク等の中間介在層を介して上述した第1のポジ型レジスト材料による第1のレジスト膜を形成するが、第1のレジスト膜の厚さとしては、10〜1,000nm、特に20〜500nmであることが好ましい。このレジスト膜は、露光前に加熱(プリベーク)を行うが、この条件としては60〜180℃、特に70〜150℃で10〜300秒間、特に15〜200秒間行うことが好ましい。   In the present invention, the first resist film made of the first positive resist material is formed directly on the substrate to be processed or via an intermediate intervening layer such as the hard mask. The thickness of the first resist film The thickness is preferably 10 to 1,000 nm, particularly 20 to 500 nm. This resist film is heated (pre-baked) before exposure, and as this condition, it is preferable to carry out at 60 to 180 ° C., particularly 70 to 150 ° C. for 10 to 300 seconds, and particularly 15 to 200 seconds.

次いで、露光を行う。ここで、露光は波長140〜250nmの高エネルギー線、その中でもArFエキシマレーザーによる193nmの露光が最も好ましく用いられる。露光は大気中や窒素気流中のドライ雰囲気でもよいし、水中の液浸露光であってもよい。ArF液浸リソグラフィーにおいては液浸溶媒として純水、又はアルカンなどの屈折率が1以上で露光波長に高透明の液体が用いられる。液浸リソグラフィーでは、プリベーク後のレジスト膜と投影レンズの間に、純水やその他の液体を挿入する。これによってNAが1.0以上のレンズ設計が可能となり、より微細なパターン形成が可能になる。液浸リソグラフィーはArFリソグラフィーを45nmノードまで延命させるための重要な技術である。液浸露光の場合は、レジスト膜上に残った水滴残りを除去するための露光後の純水リンス(ポストソーク)を行ってもよいし、レジスト膜からの溶出物を防ぎ、膜表面の滑水性を上げるために、プリベーク後のレジスト膜上に保護膜を形成させてもよい。液浸リソグラフィーに用いられるレジスト保護膜としては、例えば、水に不溶でアルカリ現像液に溶解する1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール残基を有する高分子化合物をベースとし、炭素数4以上のアルコール系溶剤、炭素数8〜12のエーテル系溶剤、及びこれらの混合溶媒に溶解させた材料が好ましい。フォトレジスト膜形成後に、純水リンス(ポストソーク)を行うことによって膜表面からの酸発生剤などの抽出、あるいはパーティクルの洗い流しを行ってもよいし、露光後に膜上に残った水を取り除くためのリンス(ポストソーク)を行ってもよい。   Next, exposure is performed. Here, the exposure is most preferably 193 nm exposure using a high energy beam having a wavelength of 140 to 250 nm, and among these, ArF excimer laser. The exposure may be a dry atmosphere in the air or a nitrogen stream, or may be immersion exposure in water. In ArF immersion lithography, a liquid that has a refractive index of 1 or more and is highly transparent at the exposure wavelength, such as pure water or alkane, is used as the immersion solvent. In immersion lithography, pure water or other liquid is inserted between a pre-baked resist film and a projection lens. As a result, a lens with an NA of 1.0 or more can be designed, and a finer pattern can be formed. Immersion lithography is an important technique for extending the life of ArF lithography to the 45 nm node. In the case of immersion exposure, pure water rinsing (post-soak) after exposure to remove the water droplet residue remaining on the resist film may be performed, and elution from the resist film is prevented, and the surface lubricity of the film is prevented. In order to increase the thickness, a protective film may be formed on the resist film after pre-baking. As a resist protective film used in immersion lithography, for example, a polymer compound having a 1,1,1,3,3,3-hexafluoro-2-propanol residue that is insoluble in water and dissolved in an alkaline developer is used. A base and a material dissolved in an alcohol solvent having 4 or more carbon atoms, an ether solvent having 8 to 12 carbon atoms, or a mixed solvent thereof is preferable. After forming the photoresist film, pure water rinsing (post-soak) may be performed to extract acid generators from the film surface or to wash out particles, or to remove water remaining on the film after exposure. Rinse (post-soak) may be performed.

露光における露光量は1〜200mJ/cm2程度、好ましくは10〜100mJ/cm2程度となるように露光することが好ましい。次に、ホットプレート上で60〜150℃、1〜5分間、好ましくは80〜120℃、1〜3分間ポストエクスポジュアーベーク(PEB)する。 It is preferable to expose so that the exposure amount in exposure is about 1 to 200 mJ / cm 2 , preferably about 10 to 100 mJ / cm 2 . Next, post exposure baking (PEB) is performed on a hot plate at 60 to 150 ° C. for 1 to 5 minutes, preferably 80 to 120 ° C. for 1 to 3 minutes.

更に、0.1〜5質量%、好ましくは2〜3質量%テトラメチルアンモニウムヒドロキシド(TMAH)等のアルカリ水溶液の現像液を用い、0.1〜3分間、好ましくは0.5〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像することにより基板上に目的のパターンが形成される。   Further, 0.1 to 5% by weight, preferably 2 to 3% by weight, using an aqueous developer such as tetramethylammonium hydroxide (TMAH) for 0.1 to 3 minutes, preferably 0.5 to 2 minutes. The target pattern is formed on the substrate by development by a conventional method such as a dip method, a paddle method, or a spray method.

現像後のレジストパターンの硬化には波長300nm以下の光照射と場合によっては加熱による架橋が必要である。現像後の光照射は波長300nm以下の高エネルギー線、具体的には、波長248nmのKrFエキシマ光、波長254nmと185nmを含む低圧水銀灯から発せられる光、波長193nmのArFエキシマ光、波長172nmのXe2エキシマ光、157nmのF2エキシマ光、146nmのKr2エキシマ光、126nmのAr2エキシマ光が好ましく、露光量は光の場合は露光量10mJ/cm2〜10J/cm2の範囲である。波長200nm以下、特には193nm、172nm、157nm、146nm、122nmのエキシマレーザーや、エキシマランプの照射は、光酸発生剤からの酸の発生だけでなく、光照射による架橋反応を促進させる。更に、フォトレジスト材料としてアンモニウム塩の熱酸発生剤をフォトレジスト材料のベース樹脂100質量部に対して0.001〜20質量部、好ましくは0.01〜10質量部添加しておいて、加熱によって酸を発生させることもできる。この場合、酸の発生と架橋反応は同時に進行する。加熱の条件は100〜300℃、特に130〜250℃の温度範囲で10〜300秒の範囲が好ましい。これにより、溶媒及びアルカリ現像液に不溶の架橋レジスト膜が形成される。 Curing of the resist pattern after development requires light irradiation with a wavelength of 300 nm or less and, in some cases, crosslinking by heating. The light irradiation after development is a high energy ray having a wavelength of 300 nm or less, specifically, KrF excimer light having a wavelength of 248 nm, light emitted from a low-pressure mercury lamp including wavelengths 254 nm and 185 nm, ArF excimer light having a wavelength of 193 nm, Xe having a wavelength of 172 nm. 2 excimer light, 157 nm F 2 excimer light, 146 nm Kr 2 excimer light, and 126 nm Ar 2 excimer light are preferable. In the case of light, the exposure amount is in the range of 10 mJ / cm 2 to 10 J / cm 2 . Irradiation with an excimer laser or excimer lamp having a wavelength of 200 nm or less, particularly 193 nm, 172 nm, 157 nm, 146 nm, and 122 nm, not only generates acid from the photoacid generator, but also promotes a crosslinking reaction by light irradiation. Further, a thermal acid generator of ammonium salt as a photoresist material is added in an amount of 0.001 to 20 parts by mass, preferably 0.01 to 10 parts by mass with respect to 100 parts by mass of the base resin of the photoresist material. It is also possible to generate an acid. In this case, the generation of acid and the crosslinking reaction proceed simultaneously. The heating conditions are preferably 100 to 300 ° C., particularly 130 to 250 ° C. and preferably 10 to 300 seconds. Thereby, a crosslinked resist film insoluble in the solvent and the alkaline developer is formed.

なお、上記アンモニウム塩の熱酸発生剤としては、下記のものが挙げられる。

Figure 2009157338

(式中、R101d、R101e、R101f、R101gはそれぞれ水素原子、炭素数1〜12の直鎖状、分岐状又は環状のアルキル基、アルケニル基、オキソアルキル基又はオキソアルケニル基、炭素数6〜20のアリール基、又は炭素数7〜12のアラルキル基又はアリールオキソアルキル基を示し、これらの基の水素原子の一部又は全部がアルコキシ基によって置換されていてもよい。R101dとR101e又はR101dとR101eとR101fとは互いに結合してこれらが結合する窒素原子と共に環を形成してもよく、環を形成する場合には、環の形成に関与するR101dとR101e又はR101dとR101eとR101fは炭素数3〜10のアルキレン基、又は式中の窒素原子を環の中に有する複素芳香族環を示す。) In addition, the following are mentioned as a thermal acid generator of the said ammonium salt.
Figure 2009157338

Wherein R 101d , R 101e , R 101f and R 101g are each a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 12 carbon atoms, an alkenyl group, an oxoalkyl group or an oxoalkenyl group, carbon the number 6 to 20 aryl group, or an aralkyl group or an aryl oxoalkyl group having 7 to 12 carbon atoms, some or all of the hydrogen atoms of these groups and may .R 101d be substituted by an alkoxy group R 101e or R 101d and R 101e and R 101f may be bonded to each other to form a ring with the nitrogen atom to which they are bonded, and in the case of forming a ring, R 101d and R involved in the formation of the ring 101e or R 101d and R 101e and R 101f each represents an alkylene group having 3 to 10 carbon atoms or a heteroaromatic ring having a nitrogen atom in the formula.

-として具体的には、トリフレート、ノナフレート等のパーフルオロアルカンスルホン酸、ビス(トリフルオロメチルスルホニル)イミド、ビス(パーフルオロエチルスルホニル)イミド、ビス(パーフルオロブチルスルホニル)イミド等のイミド酸、トリス(トリフルオロメチルスルホニル)メチド、トリス(パーフルオロエチルスルホニル)メチドなどのメチド酸、更には下記一般式(K−1)に示されるα位がフルオロ置換されたスルホネート、下記一般式(K−2)に示されるα位がフルオロ置換されたスルホネートが挙げられる。 Specific examples of K include imido acids such as perfluoroalkanesulfonic acid such as triflate and nonaflate, bis (trifluoromethylsulfonyl) imide, bis (perfluoroethylsulfonyl) imide, and bis (perfluorobutylsulfonyl) imide. , Methido acids such as tris (trifluoromethylsulfonyl) methide, tris (perfluoroethylsulfonyl) methide, and sulfonate having a fluoro substituted at the α-position represented by the following general formula (K-1), And sulfonates in which the α-position shown in -2) is fluoro-substituted.

Figure 2009157338
Figure 2009157338

一般式(K−1)中、R102は水素原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基又はアシル基、炭素数2〜20のアルケニル基、又は炭素数6〜20のアリール基又はアリーロキシ基であり、エーテル基、エステル基、カルボニル基、ラクトン環を有していてもよく、又はこれらの基の水素原子の一部又は全部がフッ素原子で置換されていてもよい。一般式(K−2)中、R103は水素原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、炭素数2〜20のアルケニル基、又は炭素数6〜20のアリール基である。 In General Formula (K-1), R102 represents a hydrogen atom, a linear, branched or cyclic alkyl group or acyl group having 1 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, or 6 to 6 carbon atoms. 20 aryl groups or aryloxy groups, which may have an ether group, an ester group, a carbonyl group, a lactone ring, or a part or all of the hydrogen atoms of these groups may be substituted with fluorine atoms Good. In general formula (K-2), R 103 represents a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, or an aryl having 6 to 20 carbon atoms. It is a group.

なお、波長180nm以下の光照射を大気中で行うと、オゾンの発生によりレジスト表面が酸化され、膜厚がかなり減少してしまう。光照射によるオゾン酸化は、基板に付着した有機物のクリーニングに用いられているので、レジスト膜もオゾンによってクリーニングされ、露光量が多いと膜が消失してしまう。そこで、波長172nm、157nm、146nm、122nmのエキシマレーザーや、エキシマランプを照射する場合は、窒素ガスや、Heガス、アルゴンガス、Krガスなどの不活性ガスでパージし、酸素や水分濃度が10ppm以下の雰囲気で光照射することが望ましい。   When light irradiation with a wavelength of 180 nm or less is performed in the air, the resist surface is oxidized by the generation of ozone, and the film thickness is considerably reduced. Ozone oxidation by light irradiation is used for cleaning organic substances attached to the substrate. Therefore, the resist film is also cleaned by ozone, and the film disappears when the exposure amount is large. Therefore, when irradiating excimer lasers or excimer lamps having wavelengths of 172 nm, 157 nm, 146 nm, and 122 nm, purging with an inert gas such as nitrogen gas, He gas, argon gas, or Kr gas, the oxygen or moisture concentration is 10 ppm. Light irradiation is desirable in the following atmosphere.

次に、この架橋レジスト膜のパターンが形成されたハードマスク等の中間介在層上にレジスト材料を塗布して第2のレジスト膜を形成するが、このレジスト材料としては、ポジ型、特に化学増幅ポジ型レジスト材料が好ましい。この場合のレジスト材料としては、上述した第1のレジスト材料と同様のものを使用し得るほか、公知のレジスト材料を使用することもできる。この場合、本発明のパターン形成方法は、第1のレジストパターン現像後に架橋反応を行うことを特徴とするが、第2のレジストパターンの現像後において、架橋反応は特に必要ではない。従って、第2のレジストパターンを形成するためのレジスト材料として、一般式(1)に示されるフェノール基は必須ではなく、従来より公知のいずれの化学増幅ポジ型レジスト材料をも使用し得る。   Next, a second resist film is formed by applying a resist material on an intermediate intervening layer such as a hard mask on which the pattern of the crosslinked resist film is formed. The resist material is a positive type, particularly a chemical amplification. A positive resist material is preferred. As a resist material in this case, the same resist material as the first resist material described above can be used, and a known resist material can also be used. In this case, the pattern forming method of the present invention is characterized in that a crosslinking reaction is performed after the development of the first resist pattern, but the crosslinking reaction is not particularly required after the development of the second resist pattern. Therefore, the phenol group represented by the general formula (1) is not essential as a resist material for forming the second resist pattern, and any conventionally known chemically amplified positive resist material can be used.

この第2のレジスト膜については、常法に従って、露光、現像を行い、第2のレジスト膜のパターンを上記架橋レジスト膜パターンのスペース部分に形成し、パターン間の距離を半減することが好ましい。なお、第2のレジスト膜の膜厚、露光、現像等の条件としては、上述した条件と同様とすることができる。   About this 2nd resist film, it is preferable to expose and develop according to a conventional method, and to form the pattern of a 2nd resist film in the space part of the said bridge | crosslinking resist film pattern, and to halve the distance between patterns. The conditions for the second resist film thickness, exposure, development, and the like can be the same as those described above.

次いで、これら架橋レジスト膜及び第2のレジスト膜をマスクとしてハードマスク等の中間介在層をエッチングし、更に被加工基板のエッチングを行う。この場合、ハードマスク等の中間介在層のエッチングは、フロン系、ハロゲン系のガスを用いてドライエッチングすることによって行うことができ、被加工基板のエッチングは、ハードマスクとのエッチング選択比をとるためのエッチングガス及び条件を適宜選択することができ、フロン系、ハロゲン系、酸素、水素等のガスを用いてドライエッチングすることによって行うことができる。次いで、架橋レジスト膜、第2のレジスト膜を除去するが、これらの除去は、ハードマスク等の中間介在層のエッチング後に行ってもよい。なお、架橋レジスト膜の除去は、酸素、ラジカルなどのドライエッチングによって行うことができ、第2のレジスト膜の除去は上記と同様に、あるいはアミン系、又は硫酸/過酸化水素水などの有機溶媒などの剥離液によって行うことができる。   Next, an intermediate intervening layer such as a hard mask is etched using the cross-linked resist film and the second resist film as a mask, and the substrate to be processed is further etched. In this case, the intermediate intervening layer such as a hard mask can be etched by dry etching using a fluorocarbon or halogen gas, and the etching of the substrate to be processed has an etching selectivity with respect to the hard mask. The etching gas and conditions for the etching can be selected as appropriate, and can be performed by dry etching using a gas such as chlorofluorocarbon, halogen, oxygen, and hydrogen. Next, the cross-linked resist film and the second resist film are removed. These removals may be performed after etching of the intermediate intervening layer such as a hard mask. The cross-linked resist film can be removed by dry etching using oxygen, radicals, etc., and the second resist film can be removed in the same manner as above, or an amine solvent, or an organic solvent such as sulfuric acid / hydrogen peroxide solution. It can be performed with a stripping solution.

以下、合成例、実施例及び比較例を示して本発明を具体的に説明するが、本発明は下記実施例等に制限されるものではない。なお、重量平均分子量(Mw)はGPCによるポリスチレン換算重量平均分子量を示す。   EXAMPLES Hereinafter, although a synthesis example, an Example, and a comparative example are shown and this invention is demonstrated concretely, this invention is not restrict | limited to the following Example etc. In addition, a weight average molecular weight (Mw) shows the polystyrene conversion weight average molecular weight by GPC.

[合成例]
レジスト材料に添加される高分子化合物として、各々のモノマーを組み合わせてテトラヒドロフラン溶媒下で共重合反応を行い、メタノールに晶出し、更にヘキサンで洗浄を繰り返した後に単離、乾燥して、以下に示す組成の高分子化合物(ポリマー1〜14及び比較ポリマー1)を得た。得られた高分子化合物の組成は1H−NMR、分子量及び分散度はゲルパーミエーションクロマトグラフにより確認した。
[Synthesis example]
As a polymer compound added to the resist material, each monomer is combined and subjected to a copolymerization reaction in a tetrahydrofuran solvent, crystallized in methanol, further washed with hexane, isolated and dried, and shown below. Polymer compounds (Polymers 1 to 14 and Comparative polymer 1) having the composition were obtained. The composition of the obtained polymer compound was confirmed by 1 H-NMR, and the molecular weight and dispersity were confirmed by gel permeation chromatography.

ポリマー1
分子量(Mw)=8,500
分散度(Mw/Mn)=1.91

Figure 2009157338
Polymer 1
Molecular weight (Mw) = 8,500
Dispersity (Mw / Mn) = 1.91
Figure 2009157338

ポリマー2
分子量(Mw)=8,900
分散度(Mw/Mn)=1.78

Figure 2009157338
Polymer 2
Molecular weight (Mw) = 8,900
Dispersity (Mw / Mn) = 1.78
Figure 2009157338

ポリマー3
分子量(Mw)=7,700
分散度(Mw/Mn)=1.84

Figure 2009157338
Polymer 3
Molecular weight (Mw) = 7,700
Dispersity (Mw / Mn) = 1.84
Figure 2009157338

ポリマー4
分子量(Mw)=7,100
分散度(Mw/Mn)=1.76

Figure 2009157338
Polymer 4
Molecular weight (Mw) = 7,100
Dispersity (Mw / Mn) = 1.76
Figure 2009157338

ポリマー5
分子量(Mw)=8,800
分散度(Mw/Mn)=1.73

Figure 2009157338
Polymer 5
Molecular weight (Mw) = 8,800
Dispersity (Mw / Mn) = 1.73
Figure 2009157338

ポリマー6
分子量(Mw)=8,600
分散度(Mw/Mn)=1.76

Figure 2009157338
Polymer 6
Molecular weight (Mw) = 8,600
Dispersity (Mw / Mn) = 1.76
Figure 2009157338

ポリマー7
分子量(Mw)=8,400
分散度(Mw/Mn)=1.88

Figure 2009157338
Polymer 7
Molecular weight (Mw) = 8,400
Dispersity (Mw / Mn) = 1.88
Figure 2009157338

ポリマー8
分子量(Mw)=7,600
分散度(Mw/Mn)=1.78

Figure 2009157338
Polymer 8
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.78
Figure 2009157338

ポリマー9
分子量(Mw)=8,100
分散度(Mw/Mn)=1.66

Figure 2009157338
Polymer 9
Molecular weight (Mw) = 8,100
Dispersity (Mw / Mn) = 1.66
Figure 2009157338

ポリマー10
分子量(Mw)=9,200
分散度(Mw/Mn)=1.74

Figure 2009157338
Polymer 10
Molecular weight (Mw) = 9,200
Dispersity (Mw / Mn) = 1.74
Figure 2009157338

ポリマー11
分子量(Mw)=7,600
分散度(Mw/Mn)=1.95

Figure 2009157338
Polymer 11
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.95
Figure 2009157338

ポリマー12
分子量(Mw)=7,600
分散度(Mw/Mn)=1.95

Figure 2009157338
Polymer 12
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.95
Figure 2009157338

ポリマー13
分子量(Mw)=9,400
分散度(Mw/Mn)=2.10

Figure 2009157338
Polymer 13
Molecular weight (Mw) = 9,400
Dispersity (Mw / Mn) = 2.10
Figure 2009157338

ポリマー14
分子量(Mw)=8,400
分散度(Mw/Mn)=1.80

Figure 2009157338
Polymer 14
Molecular weight (Mw) = 8,400
Dispersity (Mw / Mn) = 1.80
Figure 2009157338

比較ポリマー1
分子量(Mw)=8,900
分散度(Mw/Mn)=1.86

Figure 2009157338
Comparative polymer 1
Molecular weight (Mw) = 8,900
Dispersity (Mw / Mn) = 1.86
Figure 2009157338

[実施例1〜28、比較例1,2]
ポリマーの光照射による架橋性の評価
上記で合成した高分子化合物(ポリマー1〜14及び比較ポリマー1)の光照射による架橋性を調べるために、下記表1に示す組成で、各ポリマー、酸発生剤、溶剤を混合し、0.2μmのテフロン(登録商標)フィルターで濾過した溶液を調製した。
表1中の各組成は次の通りである。
酸発生剤:PAG(光酸発生剤)1、TAG(熱酸発生剤)1,2(下記構造式参照)

Figure 2009157338

溶解制御剤:DRR(下記構造式参照)
Figure 2009157338

有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)
CyH(シクロヘキサノン) [Examples 1 to 28, Comparative Examples 1 and 2]
Evaluation of crosslinkability of polymer by light irradiation In order to examine the crosslinkability of the polymer compounds synthesized above (polymers 1 to 14 and comparative polymer 1) by light irradiation, each polymer and acid generation were prepared with the compositions shown in Table 1 below. An agent and a solvent were mixed, and a solution filtered through a 0.2 μm Teflon (registered trademark) filter was prepared.
Each composition in Table 1 is as follows.
Acid generator: PAG (photo acid generator) 1, TAG (thermal acid generator) 1, 2 (see the following structural formula)
Figure 2009157338

Dissolution control agent: DRR (see structural formula below)
Figure 2009157338

Organic solvent: PGMEA (propylene glycol monomethyl ether acetate)
CyH (cyclohexanone)

8インチシリコン基板に各ポリマー溶液を塗布し、100℃で60秒間ベークし、膜厚光学式膜厚計の測定で膜厚が120nmになるようにした。
実施例1〜10は波長193nmのArFエキシマレーザーで200mJ/cm2の光を照射した後に200℃で60秒間ベークした。実施例12〜21は波長172nm、放射照度10mWのXe2エキシマランプで100mJ/cm2の光を照射した後に180℃で60秒間ベークした。実施例11は波長146nm、放射照度2mWのKr2エキシマランプで50mJ/cm2の光を照射した後に200℃で60秒間ベークした。実施例22〜28は200℃で60秒間ベークした後に波長172nm、放射照度10mWのXe2エキシマランプで100mJ/cm2の光を照射した。
比較例1は光を照射しない場合、比較例2はArFエキシマレーザーで200mJ/cm2の光を照射した後に200℃で60秒間ベークした。
Each polymer solution was applied to an 8-inch silicon substrate, baked at 100 ° C. for 60 seconds, and the film thickness was 120 nm as measured by a film thickness optical film thickness meter.
Examples 1 to 10 were baked at 200 ° C. for 60 seconds after irradiating 200 mJ / cm 2 of light with an ArF excimer laser having a wavelength of 193 nm. Examples 12 to 21 were baked at 180 ° C. for 60 seconds after irradiation with light of 100 mJ / cm 2 with a Xe 2 excimer lamp having a wavelength of 172 nm and an irradiance of 10 mW. In Example 11, a Kr 2 excimer lamp having a wavelength of 146 nm and an irradiance of 2 mW was irradiated with light of 50 mJ / cm 2 and then baked at 200 ° C. for 60 seconds. In Examples 22 to 28, after baking at 200 ° C. for 60 seconds, light of 100 mJ / cm 2 was irradiated with a Xe 2 excimer lamp having a wavelength of 172 nm and an irradiance of 10 mW.
When Comparative Example 1 was not irradiated with light, Comparative Example 2 was baked at 200 ° C. for 60 seconds after irradiation with 200 mJ / cm 2 of light with an ArF excimer laser.

溶媒処理による減膜では、膜上にプロピレングリコールモノメチルエーテルアセテート(PGMEA)とシクロヘキサノン(CyH)70:30質量比の混合溶媒を20秒間ディスペンスし、2,000rpmで30秒間スピンドライ、100℃で60秒間乾燥した後に膜厚を測定し、溶媒ディスペンス前の膜厚との差を求めた。現像による減膜では2.38質量%のテトラメチルアンモニウムヒドロキシド(TMAH)水溶液で30秒間パドル現像を行い、現像前の膜厚との差を求めた。
結果を表1に示す。
In film reduction by solvent treatment, a mixed solvent of propylene glycol monomethyl ether acetate (PGMEA) and cyclohexanone (CyH) 70:30 mass ratio is dispensed on the film for 20 seconds, spin-dried at 2,000 rpm for 30 seconds, and 60 ° C. at 100 ° C. After drying for 2 seconds, the film thickness was measured, and the difference from the film thickness before solvent dispensing was determined. In film reduction by development, paddle development was performed for 30 seconds with a 2.38 mass% tetramethylammonium hydroxide (TMAH) aqueous solution, and the difference from the film thickness before development was determined.
The results are shown in Table 1.

Figure 2009157338
Figure 2009157338

表1の結果から、波長300nm以下の光照射によって溶媒耐性、アルカリ現像液耐性が向上していることから、光照射によって架橋が進行していることが確認された。   From the results shown in Table 1, it was confirmed that the cross-linking proceeded by the light irradiation because the solvent resistance and the alkali developer resistance were improved by the light irradiation with a wavelength of 300 nm or less.

[実施例29〜44、比較例3,4]
ポジ型レジスト材料の調製
上記で合成した高分子化合物(ポリマー1〜14及び比較ポリマー1)を用いて、下記表2に示す組成で溶解させた溶液を0.2μmサイズのフィルターで濾過してレジスト溶液を調製した。
表2中の各組成は次の通りである。
酸発生剤:PAG1,2、TAG1(下記構造式参照)

Figure 2009157338

塩基性化合物:Quencher1(下記構造式参照)
Figure 2009157338

有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)
CyH(シクロヘキサノン) [Examples 29 to 44, Comparative Examples 3 and 4]
Preparation of Positive Resist Material Using the polymer compounds synthesized above (Polymers 1 to 14 and Comparative Polymer 1), a solution dissolved in the composition shown in Table 2 below is filtered through a 0.2 μm size filter to form a resist. A solution was prepared.
Each composition in Table 2 is as follows.
Acid generator: PAG1, 2, TAG1 (see the following structural formula)
Figure 2009157338

Basic compound: Quencher 1 (see the structural formula below)
Figure 2009157338

Organic solvent: PGMEA (propylene glycol monomethyl ether acetate)
CyH (cyclohexanone)

ダブルパターニング評価
表2中に示される実施例29〜44、比較例3,4で調製したレジスト材料を、シリコンウエハーにARC−29A(日産化学工業(株)製)を80nmの膜厚で成膜した基板上にスピンコーティングし、ホットプレートを用いて110℃で60秒間ベークし、レジスト膜の厚みを120nmにした。
これをArFエキシマレーザースキャナー((株)ニコン製、NSR−S307E,NA0.85、σ0.93、通常照明、6%ハーフトーン位相シフトマスク)を用いて露光し、露光後、直ちに100℃で60秒間ベークし、2.38質量%のテトラメチルアンモニウムヒドロキシドの水溶液で30秒間現像を行って、寸法が70nmでピッチが350nmのポジ型の孤立パターン(第1のパターン)を得た。
実施例29〜39、41〜44では、波長193nmのArFエキシマレーザー(200mJ/cm2)を用いて露光後、200℃で60秒間ベークを行った。実施例40では、200℃で60秒間ベークの後、波長193nmのArFエキシマレーザー(200mJ/cm2)を用いて露光を行った。
比較例3は光を照射しない場合、比較例4は波長193nmのArFエキシマレーザー(200mJ/cm2)を用いて露光を行った後、200℃で60秒間ベークを行った。
次いで、第1のパターン上に同じレジスト材料を120nmの膜厚になるように塗布し、ベークを行ったウエハーを用意した。このウエハーを用いてラインパターンの位置をウエハー上で170nmずらしたパターンのマスクを用いて第1のパターンと同じようにArFエキシマレーザースキャナーで露光、PEB、現像を行い、第1のパターンの寸法を測定した。
結果を表2に示す。
Double resist patterning evaluation The resist materials prepared in Examples 29 to 44 and Comparative Examples 3 and 4 shown in Table 2 were deposited on a silicon wafer with ARC-29A (Nissan Chemical Industry Co., Ltd.) having a thickness of 80 nm. The coated substrate was spin-coated and baked at 110 ° C. for 60 seconds using a hot plate, so that the resist film had a thickness of 120 nm.
This was exposed using an ArF excimer laser scanner (manufactured by Nikon Corporation, NSR-S307E, NA0.85, σ0.93, normal illumination, 6% halftone phase shift mask). The film was baked for 2 seconds and developed with an aqueous solution of 2.38% by mass of tetramethylammonium hydroxide for 30 seconds to obtain a positive type isolated pattern (first pattern) having dimensions of 70 nm and a pitch of 350 nm.
In Examples 29 to 39 and 41 to 44, baking was performed at 200 ° C. for 60 seconds after exposure using an ArF excimer laser (200 mJ / cm 2 ) having a wavelength of 193 nm. In Example 40, after baking at 200 ° C. for 60 seconds, exposure was performed using an ArF excimer laser (200 mJ / cm 2 ) having a wavelength of 193 nm.
When Comparative Example 3 was not irradiated with light, Comparative Example 4 was baked at 200 ° C. for 60 seconds after exposure using an ArF excimer laser (200 mJ / cm 2 ) having a wavelength of 193 nm.
Next, the same resist material was applied on the first pattern so as to have a film thickness of 120 nm, and a baked wafer was prepared. Using this wafer, exposure, PEB, and development are performed with an ArF excimer laser scanner in the same manner as the first pattern, using a mask whose pattern is shifted by 170 nm on the wafer, and the dimensions of the first pattern are adjusted. It was measured.
The results are shown in Table 2.

Figure 2009157338
Figure 2009157338

実施例29〜44を使ったレジスト材料では、第1のパターンの間に第2のパターンのラインが形成されていることが確認された。比較例3では、第2のパターンは形成されたが、第1のパターンは第2のレジスト塗布時に溶解したためにパターンが形成されていなかった。フェノール基を有していない比較ポリマー1をベースとしたレジスト材料を用いた比較例4の場合、第1のパターンの不溶化が完全でないため、第1のパターン寸法の細りが顕著であった。   In the resist materials using Examples 29 to 44, it was confirmed that a second pattern line was formed between the first patterns. In Comparative Example 3, the second pattern was formed, but the first pattern was not formed because it was dissolved when the second resist was applied. In Comparative Example 4 using a resist material based on Comparative Polymer 1 that does not have a phenol group, the first pattern dimension was significantly reduced because the insolubilization of the first pattern was not complete.

なお、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has substantially the same configuration as the technical idea described in the claims of the present invention, and any device that exhibits the same function and effect is the present invention. It is included in the technical scope of the invention.

従来のダブルパターニング方法の一例を説明する断面図であり、Aは、基板上に被加工基板、ハードマスク、レジスト膜を形成した状態、Bは、レジスト膜を露光、現像した状態、Cは、ハードマスクをエッチングした状態、Dは、第2のレジスト膜を形成後、このレジスト膜を露光、現像した状態、Eは、被加工基板をエッチングした状態を示す。It is sectional drawing explaining an example of the conventional double patterning method, A is the state which formed the to-be-processed substrate, the hard mask, and the resist film on the board | substrate, B is the state which exposed and developed the resist film, C is A state in which the hard mask is etched, D indicates a state in which the second resist film is formed and the resist film is exposed and developed, and E indicates a state in which the substrate to be processed is etched. 従来のダブルパターニング方法の他の例を説明する断面図であり、Aは、基板上に被加工基板、第1及び第2のハードマスク、レジスト膜を形成した状態、Bは、レジスト膜を露光、現像した状態、Cは、第2のハードマスクをエッチングした状態、Dは、第1のレジスト膜を除去して第2のレジスト膜を形成後、このレジスト膜を露光、現像した状態、Eは、第1のハードマスクをエッチングした状態、Fは、被加工基板をエッチングした状態を示す。It is sectional drawing explaining the other example of the conventional double patterning method, A is the state which formed the to-be-processed substrate, the 1st and 2nd hard mask, and the resist film on the board | substrate, B is exposing the resist film , Developed state, C is a state where the second hard mask is etched, D is a state where the first resist film is removed and a second resist film is formed, and then this resist film is exposed and developed, E Indicates a state in which the first hard mask is etched, and F indicates a state in which the substrate to be processed is etched. 従来のダブルパターニング方法の別の例を説明する断面図であり、Aは、基板上に被加工基板、ハードマスク、レジスト膜を形成した状態、Bは、レジスト膜を露光、現像した状態、Cは、ハードマスクをエッチングした状態、Dは、第1のレジスト膜を除去して第2のレジスト膜を形成後、このレジスト膜を露光、現像した状態、Eは、更にハードマスクをエッチングした状態、Fは、被加工基板をエッチングした状態を示す。It is sectional drawing explaining another example of the conventional double patterning method, A is the state which formed the to-be-processed substrate, the hard mask, and the resist film on the board | substrate, B is the state which exposed and developed the resist film, C Is a state in which the hard mask is etched, D is a state in which the first resist film is removed and a second resist film is formed, and this resist film is exposed and developed, and E is a state in which the hard mask is further etched. , F indicates a state in which the substrate to be processed is etched. 本発明のダブルパターニング方法の一例を説明する断面図であり、Aは、基板上に被加工基板、ハードマスク、レジスト膜を形成した状態、Bは、レジスト膜を露光、現像した状態、Cは、レジスト膜を架橋した状態、Dは、第2のレジスト膜を形成後、このレジスト膜を露光、現像した状態、Eは、ハードマスクをエッチングした状態、Fは、被加工基板をエッチングした状態を示す。It is sectional drawing explaining an example of the double patterning method of this invention, A is the state which formed the to-be-processed substrate, the hard mask, and the resist film on the board | substrate, B is the state which exposed and developed the resist film, C is , A state in which the resist film is crosslinked, D is a state in which the second resist film is formed and then exposed and developed, E is a state in which the hard mask is etched, and F is a state in which the substrate to be processed is etched. Indicates. 本発明のダブルパターニング方法の一例を説明する上空図であり、Aは、第1のパターンを形成した状態、Bは、第1のパターン形成後、第1のパターンと交わる第2のパターンをした状態を示す。It is the sky figure explaining an example of the double patterning method of the present invention, A is the state where the 1st pattern was formed, B was the 2nd pattern which intersects the 1st pattern after the 1st pattern formation Indicates the state. 本発明のダブルパターニング方法の別の例を説明する上空図であり、Aは、第1のパターンを形成した状態、Bは、第1のパターン形成後、第1のパターンと離れた第2のパターンを形成した状態を示す。It is an aerial view explaining another example of the double patterning method of this invention, A is the state which formed the 1st pattern, B is the 2nd which separated from the 1st pattern after 1st pattern formation. The state which formed the pattern is shown.

符号の説明Explanation of symbols

10 基板
20 被加工基板
30 レジスト膜
30a 架橋レジスト膜
40 ハードマスク
50 第2のレジスト膜
DESCRIPTION OF SYMBOLS 10 Substrate 20 Substrate 30 Resist film 30a Cross-linked resist film 40 Hard mask 50 Second resist film

Claims (11)

フェノール基を有する繰り返し単位と酸によってアルカリ溶解性が向上する繰り返し単位とを共重合してなる高分子化合物を含む第1のポジ型レジスト材料を基板上に塗布して第1のレジスト膜を形成し、加熱処理後に高エネルギー線で上記レジスト膜を露光し、加熱処理後に現像液を用いて上記レジスト膜を現像し、その後波長300nm以下の高エネルギー線の照射によって第1のレジスト膜を架橋硬化させ、その上に第2のポジ型レジスト材料を基板上に塗布して第2のレジスト膜を形成し、加熱処理後に高エネルギー線で上記第2のレジスト膜を露光し、加熱処理後に現像液を用いて第2のレジスト膜を現像する工程を有することを特徴とするパターン形成方法。   A first positive resist material containing a polymer compound obtained by copolymerizing a repeating unit having a phenol group and a repeating unit whose alkali solubility is improved by an acid is applied on a substrate to form a first resist film. Then, after the heat treatment, the resist film is exposed with a high energy beam, and after the heat treatment, the resist film is developed with a developer, and then the first resist film is crosslinked and cured by irradiation with a high energy beam having a wavelength of 300 nm or less. Then, a second positive resist material is applied onto the substrate to form a second resist film, and after the heat treatment, the second resist film is exposed with a high energy beam, and after the heat treatment, a developer A pattern forming method comprising a step of developing the second resist film using フェノール基を有する繰り返し単位と酸によってアルカリ溶解性が向上する繰り返し単位とを共重合してなる高分子化合物を含む第1のポジ型レジスト材料を基板上に塗布して第1のレジスト膜を形成し、加熱処理後に高エネルギー線で上記レジスト膜を露光し、加熱処理後に現像液を用いて上記レジスト膜を現像し、その後波長300nm以下の高エネルギー線の照射と加熱によって第1のレジスト膜を架橋硬化させ、その上に第2のポジ型レジスト材料を基板上に塗布して第2のレジスト膜を形成し、加熱処理後に高エネルギー線で上記第2のレジスト膜を露光し、加熱処理後に現像液を用いて第2のレジスト膜を現像する工程を有することを特徴とするパターン形成方法。   A first positive resist material containing a polymer compound obtained by copolymerizing a repeating unit having a phenol group and a repeating unit whose alkali solubility is improved by an acid is applied on a substrate to form a first resist film. Then, after the heat treatment, the resist film is exposed with a high energy beam, and after the heat treatment, the resist film is developed with a developer, and then the first resist film is formed by irradiation and heating with a high energy beam having a wavelength of 300 nm or less. After crosslinking and curing, a second positive resist material is applied onto the substrate to form a second resist film, and after the heat treatment, the second resist film is exposed with a high energy beam, and after the heat treatment A pattern forming method comprising a step of developing the second resist film using a developer. フェノール基を有する繰り返し単位と酸によってアルカリ溶解性が向上する繰り返し単位とを共重合してなる高分子化合物を含む第1のポジ型レジスト材料を基板上に塗布して第1のレジスト膜を形成し、加熱処理後に高エネルギー線で上記レジスト膜を露光し、加熱処理後に現像液を用いて上記レジスト膜を現像し、その後加熱し、次いで波長300nm以下の高エネルギー線を照射して第1のレジスト膜を架橋硬化し、その上に第2のポジ型レジスト材料を基板上に塗布して第2のレジスト膜を形成し、加熱処理後に高エネルギー線で上記第2のレジスト膜を露光し、加熱処理後に現像液を用いて第2のレジスト膜を現像する工程を有することを特徴とするパターン形成方法。   A first positive resist material containing a polymer compound obtained by copolymerizing a repeating unit having a phenol group and a repeating unit whose alkali solubility is improved by an acid is applied on a substrate to form a first resist film. Then, after the heat treatment, the resist film is exposed with a high energy beam, and after the heat treatment, the resist film is developed with a developer, and then heated, and then irradiated with a high energy beam having a wavelength of 300 nm or less. The resist film is cross-linked and cured, and a second positive resist material is applied onto the substrate to form a second resist film. After the heat treatment, the second resist film is exposed with a high energy beam, A pattern forming method comprising a step of developing the second resist film using a developer after the heat treatment. 現像によって形成した第1のレジストパターンの架橋に用いる波長300nm以下の高エネルギー線が、波長254nmと185nmを含む低圧水銀灯から発せられる光、波長248nmのKrFエキシマ光、波長222nmのKrClエキシマ光、波長193nmのArFエキシマ光、波長172nmのXe2エキシマ光、157nmのF2エキシマ光、146nmのKr2エキシマ光、126nmのAr2エキシマ光、又は電子線であることを特徴とする請求項1乃至3のいずれか1項記載のパターン形成方法。 High energy rays having a wavelength of 300 nm or less used for crosslinking of the first resist pattern formed by development are emitted from a low-pressure mercury lamp including wavelengths 254 nm and 185 nm, KrF excimer light having a wavelength of 248 nm, KrCl excimer light having a wavelength of 222 nm, wavelength 4. A 193 nm ArF excimer light, a 172 nm Xe 2 excimer light, a 157 nm F 2 excimer light, a 146 nm Kr 2 excimer light, a 126 nm Ar 2 excimer light, or an electron beam. The pattern formation method of any one of these. 第1のレジストパターン及び第2のレジストパターンを形成するための露光が、波長193nmのArFエキシマレーザーによる屈折率1.4以上の液体をレンズとウエハーの間に浸積した液浸リソグラフィーであることを特徴とする請求項1乃至4のいずれか1項記載のパターン形成方法。   The exposure for forming the first resist pattern and the second resist pattern is immersion lithography in which a liquid having a refractive index of 1.4 or more is immersed between a lens and a wafer by an ArF excimer laser having a wavelength of 193 nm. The pattern forming method according to claim 1, wherein: 屈折率1.4以上の液体が水であることを特徴とする請求項5記載のパターン形成方法。   6. The pattern forming method according to claim 5, wherein the liquid having a refractive index of 1.4 or more is water. 第1のパターンのスペース部分に第2のパターンを形成することによってパターン間を縮小することを特徴とする請求項1乃至6のいずれか1項記載のパターン形成方法。   7. The pattern forming method according to claim 1, wherein a space between patterns is reduced by forming a second pattern in a space portion of the first pattern. 第1のパターンと交わる第2のパターンを形成することを特徴とする請求項1乃至6のいずれか1項記載のパターン形成方法。   The pattern forming method according to claim 1, wherein a second pattern intersecting with the first pattern is formed. 第1のパターンのパターンが形成されていないスペース部分に第1のパターンと異なる方向に第2のパターンを形成することを特徴とする請求項1乃至6のいずれか1項記載のパターン形成方法。   The pattern forming method according to claim 1, wherein the second pattern is formed in a direction different from the first pattern in a space portion where the pattern of the first pattern is not formed. フェノール基を有する繰り返し単位と酸によってアルカリ溶解性が向上する繰り返し単位とを共重合してなる高分子化合物が、下記一般式(1)に示される繰り返し単位(a)と繰り返し単位(b)とを有するものであることを特徴とする請求項1乃至9のいずれか1項記載のパターン形成方法に用いるポジ型レジスト材料。
Figure 2009157338

(式中、R1、R3は同一又は異種の水素原子又はメチル基を示す。Xは単結合、又は−C(=O)−O−であり、Yは単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基で、エステル基又はエーテル基を有していてもよい。あるいは、Yは、ベンゼン環の互いに隣接する2個の炭素原子と結合して脂環を形成する3価の基である。R2は同一又は異種の水素原子、ハロゲン原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、炭素数2〜6のアルケニル基、炭素数6〜10のアリール基、又はトリフルオロメチル基であり、mは1〜3の整数、nは2〜4の整数であり、m+n=5である。R4は酸不安定基を示す。a、bは0<a<1.0、0<b<1.0、0<a+b≦1.0の範囲である。)
A polymer compound obtained by copolymerizing a repeating unit having a phenol group and a repeating unit whose alkali solubility is improved by an acid is a repeating unit (a) and a repeating unit (b) represented by the following general formula (1): The positive resist material used for the pattern formation method of any one of Claims 1 thru | or 9 characterized by the above-mentioned.
Figure 2009157338

(In the formula, R 1 and R 3 represent the same or different hydrogen atoms or methyl groups. X is a single bond, or —C (═O) —O—, and Y is a single bond, or a carbon number of 1 to A linear, branched or cyclic alkylene group which may have an ester group or an ether group, or Y is bonded to two adjacent carbon atoms of the benzene ring to form an alicyclic ring R 2 is the same or different hydrogen atom, halogen atom, linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, alkenyl group having 2 to 6 carbon atoms, An aryl group having 6 to 10 carbon atoms or a trifluoromethyl group, m is an integer of 1 to 3, n is an integer of 2 to 4, and m + n = 5, and R 4 represents an acid labile group. A and b are in the range of 0 <a <1.0, 0 <b <1.0, and 0 <a + b ≦ 1.0.)
フェノール基を有する繰り返し単位と酸によってアルカリ溶解性が向上する繰り返し単位とを共重合してなる高分子化合物が、下記一般式(2)に示される繰り返し単位(a)、(b)、(c1)、(c2)を有するものであることを特徴とする請求項1乃至9のいずれか1項記載のパターン形成方法に用いるポジ型レジスト材料。
Figure 2009157338

(式中、R1、R3、R5、R10は同一又は異種の水素原子又はメチル基を示す。Xは単結合、又は−C(=O)−O−であり、Yは単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基で、エステル基又はエーテル基を有していてもよい。あるいは、Yは、ベンゼン環の互いに隣接する2個の炭素原子と結合して脂環を形成する3価の基である。R2は同一又は異種の水素原子、ハロゲン原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、炭素数2〜6のアルケニル基、炭素数6〜10のアリール基、又はトリフルオロメチル基であり、mは1〜3の整数、nは2〜4の整数であり、m+n=5である。R4は酸不安定基を示す。R6、R11は単結合、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基であり、エーテル基又はエステル基を有していてもよいが、炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基の場合、式中のエステル基に連結した炭素原子は1級又は2級である。R7、R8、R9、R12、R13、R14、R15は水素原子、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキル基である。a、b、c1、c2は0<a<1.0、0<b<1.0、0≦c1<1.0、0≦c2<1.0、0<c1+c2<1.0、0<a+b+c1+c2≦1.0の範囲である。)
A polymer compound obtained by copolymerizing a repeating unit having a phenol group and a repeating unit whose alkali solubility is improved by an acid is a repeating unit (a), (b) or (c1) represented by the following general formula (2). 10) The positive resist material used in the pattern forming method according to claim 1, wherein the positive resist material has (c2).
Figure 2009157338

(In the formula, R 1 , R 3 , R 5 and R 10 are the same or different hydrogen atoms or methyl groups. X is a single bond, or —C (═O) —O—, and Y is a single bond. Or a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms which may have an ester group or an ether group, or Y represents two carbon atoms adjacent to each other in the benzene ring. R 2 is the same or different hydrogen atom, halogen atom, linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, carbon number 2 6 alkenyl group, an aryl group having 6 to 10 carbon atoms, or a trifluoromethyl group, m is an integer of 1 to 3, n is an integer from 2 to 4, .R 4 is a m + n = 5 is An acid labile group, wherein R 6 and R 11 are a single bond or a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms. In the case of a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, the carbon atom linked to the ester group in the formula is primary. R 7 , R 8 , R 9 , R 12 , R 13 , R 14 , R 15 are a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms. A, b, c1, c2 are 0 <a <1.0, 0 <b <1.0, 0 ≦ c1 <1.0, 0 ≦ c2 <1.0, 0 <c1 + c2 <1.0, 0 <A + b + c1 + c2 ≦ 1.0.
JP2008150504A 2007-12-05 2008-06-09 Pattern forming method and resist material used therefor Expired - Fee Related JP5013115B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008150504A JP5013115B2 (en) 2007-12-05 2008-06-09 Pattern forming method and resist material used therefor

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007314226 2007-12-05
JP2007314226 2007-12-05
JP2008150504A JP5013115B2 (en) 2007-12-05 2008-06-09 Pattern forming method and resist material used therefor

Publications (2)

Publication Number Publication Date
JP2009157338A true JP2009157338A (en) 2009-07-16
JP5013115B2 JP5013115B2 (en) 2012-08-29

Family

ID=40961382

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008150504A Expired - Fee Related JP5013115B2 (en) 2007-12-05 2008-06-09 Pattern forming method and resist material used therefor

Country Status (1)

Country Link
JP (1) JP5013115B2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010055083A (en) * 2008-08-01 2010-03-11 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP2011053643A (en) * 2009-08-03 2011-03-17 Jsr Corp Resist pattern forming method and radiation-sensitive resin composition
JP2011197150A (en) * 2010-03-17 2011-10-06 Jsr Corp Radiation-sensitive composition and resist pattern forming method using the same
CN102263057A (en) * 2010-05-31 2011-11-30 海力士半导体有限公司 Method for forming contact holes in semiconductor device
JP2012059956A (en) * 2010-09-09 2012-03-22 Tokyo Electron Ltd Resist pattern forming method and device for the same
JP2014137454A (en) * 2013-01-16 2014-07-28 Jsr Corp Positive-type radiation-sensitive resin composition, cured film, method for producing the same, semiconductor element, and display device
US20180373148A1 (en) * 2017-06-21 2018-12-27 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
JP2019109500A (en) * 2017-12-15 2019-07-04 信越化学工業株式会社 Antireflection coating, method for manufacturing antireflection coating, and glasses type display
US10377692B2 (en) * 2009-09-09 2019-08-13 Sumitomo Chemical Company, Limited Photoresist composition
EP4276533A1 (en) * 2022-05-10 2023-11-15 Shin-Etsu Chemical Co., Ltd. Chemically amplified positive resist composition and resist pattern forming process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003261529A (en) * 2001-12-27 2003-09-19 Shin Etsu Chem Co Ltd Photo acid generating agent compound, chemical amplification positive type resist material and method for forming pattern
JP2005197349A (en) * 2004-01-05 2005-07-21 Semiconductor Leading Edge Technologies Inc Fine patterning method and fabrication process of semiconductor device
JP2006215526A (en) * 2005-01-06 2006-08-17 Shin Etsu Chem Co Ltd Resist material and pattern forming method using it

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003261529A (en) * 2001-12-27 2003-09-19 Shin Etsu Chem Co Ltd Photo acid generating agent compound, chemical amplification positive type resist material and method for forming pattern
JP2005197349A (en) * 2004-01-05 2005-07-21 Semiconductor Leading Edge Technologies Inc Fine patterning method and fabrication process of semiconductor device
JP2006215526A (en) * 2005-01-06 2006-08-17 Shin Etsu Chem Co Ltd Resist material and pattern forming method using it

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010055083A (en) * 2008-08-01 2010-03-11 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP2011053643A (en) * 2009-08-03 2011-03-17 Jsr Corp Resist pattern forming method and radiation-sensitive resin composition
US10377692B2 (en) * 2009-09-09 2019-08-13 Sumitomo Chemical Company, Limited Photoresist composition
JP2011197150A (en) * 2010-03-17 2011-10-06 Jsr Corp Radiation-sensitive composition and resist pattern forming method using the same
CN102263057A (en) * 2010-05-31 2011-11-30 海力士半导体有限公司 Method for forming contact holes in semiconductor device
JP2012059956A (en) * 2010-09-09 2012-03-22 Tokyo Electron Ltd Resist pattern forming method and device for the same
JP2014137454A (en) * 2013-01-16 2014-07-28 Jsr Corp Positive-type radiation-sensitive resin composition, cured film, method for producing the same, semiconductor element, and display device
US20180373148A1 (en) * 2017-06-21 2018-12-27 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
JP2019008279A (en) * 2017-06-21 2019-01-17 信越化学工業株式会社 Resist material and pattern forming method
TWI675852B (en) * 2017-06-21 2019-11-01 日商信越化學工業股份有限公司 Resist composition and patterning process
US10948822B2 (en) * 2017-06-21 2021-03-16 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
JP2019109500A (en) * 2017-12-15 2019-07-04 信越化学工業株式会社 Antireflection coating, method for manufacturing antireflection coating, and glasses type display
JP7222674B2 (en) 2017-12-15 2023-02-15 信越化学工業株式会社 ANTI-REFLECTING FILM, METHOD FOR MANUFACTURING ANTI-REFLECTING FILM, AND GLASS-TYPE DISPLAY
EP4276533A1 (en) * 2022-05-10 2023-11-15 Shin-Etsu Chemical Co., Ltd. Chemically amplified positive resist composition and resist pattern forming process

Also Published As

Publication number Publication date
JP5013115B2 (en) 2012-08-29

Similar Documents

Publication Publication Date Title
JP5013119B2 (en) Pattern forming method and resist material used therefor
JP4990844B2 (en) Pattern forming method and resist material used therefor
JP5035562B2 (en) Pattern formation method
KR101691657B1 (en) Positive resist composition and patterning process
KR101434690B1 (en) Polymer, resist composition, and patterning process
JP4488230B2 (en) Resist polymer, resist material and pattern forming method
JP4488215B2 (en) Resist composition and pattern forming method using the same
JP5013115B2 (en) Pattern forming method and resist material used therefor
KR101002820B1 (en) Positive Resist Composition and Patterning Process
JP4857208B2 (en) Pattern forming method using resist material
KR101682708B1 (en) Positive resist composition and patterning process
JP4240223B2 (en) Polymer compound, resist material, and pattern forming method
JP4092572B2 (en) Resist polymer, resist material and pattern forming method
JP2006106311A (en) Silicon-containing resist composition and method for forming pattern by using the same
JP2007212941A (en) Resist composition and pattern forming method using the same
KR101623584B1 (en) Positive resist composition and patterning process
KR101094563B1 (en) Positive Resist Compositions and Patterning Process
JP4600678B2 (en) Resist composition and pattern forming method using the same
KR101426560B1 (en) Positive Resist Compositions and Patterning Process
KR101054163B1 (en) Positive resist material and pattern formation method
KR20080005091A (en) Positive resist compositions and patterning process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100521

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110810

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111005

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120509

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120522

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5013115

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees