JP2008147576A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2008147576A
JP2008147576A JP2006336000A JP2006336000A JP2008147576A JP 2008147576 A JP2008147576 A JP 2008147576A JP 2006336000 A JP2006336000 A JP 2006336000A JP 2006336000 A JP2006336000 A JP 2006336000A JP 2008147576 A JP2008147576 A JP 2008147576A
Authority
JP
Japan
Prior art keywords
ion implantation
implantation mask
etching
semiconductor device
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006336000A
Other languages
English (en)
Inventor
Hideto Tamaso
秀人 玉祖
Makoto Harada
真 原田
Kazuhiro Fujikawa
一洋 藤川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Electric Industries Ltd
Original Assignee
Sumitomo Electric Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Electric Industries Ltd filed Critical Sumitomo Electric Industries Ltd
Priority to JP2006336000A priority Critical patent/JP2008147576A/ja
Priority to CNA2007800462579A priority patent/CN101558475A/zh
Priority to EP07832793A priority patent/EP2092552A4/en
Priority to US12/517,735 priority patent/US20100035420A1/en
Priority to KR1020097012675A priority patent/KR20090098832A/ko
Priority to PCT/JP2007/073078 priority patent/WO2008072482A1/ja
Priority to CA002672259A priority patent/CA2672259A1/en
Priority to TW096146359A priority patent/TW200842952A/zh
Publication of JP2008147576A publication Critical patent/JP2008147576A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • H01L21/0455Making n or p doped regions or layers, e.g. using diffusion
    • H01L21/046Making n or p doped regions or layers, e.g. using diffusion using ion implantation
    • H01L21/0465Making n or p doped regions or layers, e.g. using diffusion using ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • H01L21/2253Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66053Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide
    • H01L29/66068Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】半導体装置を微細化することができるとともに半導体装置の特性のばらつきを低減することができる半導体装置の製造方法を提供する。
【解決手段】半導体の表面の一部にイオン注入マスクを形成する第1工程と、イオン注入マスクが形成されている領域以外の半導体の表面の露出領域の少なくとも一部に第1ドーパントのイオンを注入して第1ドーパント注入領域を形成する第2工程と、第1ドーパント注入領域の形成後にイオン注入マスクの一部を除去して半導体の表面の露出領域を拡大する第3工程と、拡大した半導体の表面の露出領域の少なくとも一部に第2ドーパントのイオンを注入して第2ドーパント注入領域を形成する第4工程と、を含む、半導体装置の製造方法である。
【選択図】図6

Description

本発明は、半導体装置の製造方法に関し、特に、半導体装置を微細化することができるとともに半導体装置の特性のばらつきを低減することができる半導体装置の製造方法に関する。
半導体装置の一種であるSiC(炭化ケイ素)を用いたMOSFET(Metal Oxide Semiconductor Field Effect Transistor;以下、「SiC−MOSFET」と言うこともある。)は、大きく分けて、選択イオン注入、活性化アニール、ゲート酸化膜形成、および電極形成の工程を経て作製されている。
以下、図20〜図30の模式的断面図を参照して、従来のSiC−MOSFETの製造方法の一例について説明する。
まず、図20に示すように、SiC基板201の表面上にn型のSiC膜202をエピタキシャル成長させる。次に、図21に示すように、SiC膜202の表面全体にイオン注入マスク203を形成する。
次いで、図22に示すように、イオン注入マスク203上にフォトリソグラフィ技術を利用して所定の開口部205を有するレジスト204を形成する。続いて、図23に示すように、開口部205の下方に位置する部分のイオン注入マスク203をエッチングにより除去して、SiC膜202の表面の一部を露出させる。
その後、図24に示すように、レジスト204を除去し、露出したSiC膜202の表面にリンなどのn型ドーパントのイオンをイオン注入することによって、SiC膜202の表面にn型ドーパント注入領域206を形成する。
次に、図25に示すように、SiC膜202の表面からイオン注入マスク203をすべて除去する。その後、図26に示すように、SiC膜202の表面全体にイオン注入マスク203を再度形成する。
そして、図27に示すように、イオン注入マスク203の表面上にフォトリソグラフィ技術を利用してレジスト204を部分的に形成する。ここで、レジスト204の形成位置は、フォトリソグラフィ装置の精度等によって設定位置からずれることがある。
次に、図28に示すように、レジスト204が形成されていないイオン注入マスク203の部分をエッチングにより除去することによって、SiC膜202の表面の一部を露出させる。
続いて、図29に示すように、露出したSiC膜202の表面にアルミニウムなどのp型ドーパントのイオンをイオン注入することによって、SiC膜202の表面にp型ドーパント注入領域207を形成する。
その後、イオン注入マスク203およびレジスト204を除去し、イオン注入マスク203およびレジスト204の除去後のウエハについて結晶性を回復するための活性化アニールを行なう。
そして、図30に示すように、SiC膜202の表面上にゲート酸化膜208、ソース電極209およびドレイン電極211を形成し、ゲート酸化膜208の表面上にゲート電極210を形成する。その後、ソース電極209、ゲート電極210およびドレイン電極211にそれぞれ配線を付けてからウエハをチップ状に分割することによって、SiC−MOSFETが完成する。
松波弘之編著,「半導体SiC技術と応用」,日刊工業新聞社
SiCはドーパントの拡散係数が小さいため、拡散法ではなく、イオン注入法によって、n型ドーパントおよびp型ドーパントをそれぞれ導入する必要がある。
しかしながら、上述したように、n型ドーパントおよびp型ドーパントのイオン注入のイオン注入マスクとなるレジストの形成位置がフォトリソグラフィ装置の精度等によってばらつくため、n型ドーパント注入領域とp型ドーパント注入領域との相対的な位置関係にばらつきが生じ、ひいてはSiC−MOSFETのゲート長にばらつきが生じてSiC−MOSFETの特性にばらつきが生じるという問題があった。また、半導体装置のさらなる微細化も要望されている。
そこで、本発明の目的は、半導体装置を微細化することができるとともに半導体装置の特性のばらつきを低減することができる半導体装置の製造方法を提供することにある。
本発明は、半導体の表面の一部にイオン注入マスクを形成する第1工程と、イオン注入マスクが形成されている領域以外の半導体の表面の露出領域の少なくとも一部に第1ドーパントのイオンを注入して第1ドーパント注入領域を形成する第2工程と、第1ドーパント注入領域の形成後にイオン注入マスクの一部を除去して半導体の表面の露出領域を拡大する第3工程と、拡大した半導体の表面の露出領域の少なくとも一部に第2ドーパントのイオンを注入して第2ドーパント注入領域を形成する第4工程と、を含む、半導体装置の製造方法である。
本発明の半導体装置の製造方法によれば、第1ドーパント注入領域の形成用のイオン注入マスクを第2ドーパント注入領域の形成にも利用することができ、第1ドーパント注入領域と第2ドーパント注入領域との相対的な位置関係のばらつきを低減することができるため、半導体装置を微細化することができるとともに半導体装置の特性のばらつきを低減することができる。また、本発明の半導体装置の製造方法によれば、イオン注入マスクのパターンニング用のレジストの形成が1回で済むため、従来と比べて工程数を減少させることもできる。
また、本発明の半導体装置の製造方法において、イオン注入マスクは、タングステン、ケイ素、アルミニウム、ニッケルおよびチタンからなる群から選択された少なくとも1種を含むことが好ましい。この場合には、イオン注入マスクが第1ドーパントおよび第2ドーパントのイオン注入のマスクとして機能するとともに、イオン注入マスクに半導体表面との密着を改善する密着改善層および半導体表面のエッチングを抑制することができるエッチングストップ層を含ませることができる。ここで、上記のタングステン、ケイ素、アルミニウム、ニッケルおよびチタンはそれぞれ、単体の形態でイオン注入マスクに含まれていてもよく、化合物の形態でイオン注入マスクに含まれていてもよい。
また、本発明の半導体装置の製造方法において、イオン注入マスクは2層以上からなっていてもよい。イオン注入マスクが2層以上からなっている場合には、第1ドーパント注
入領域の形成後にイオン注入マスクの一部を除去して半導体の表面の露出領域を拡大する際に、イオン注入マスクの厚さの減少を抑制しながらその幅を薄くすることができるため、第2ドーパントのイオン注入時のイオン注入マスクの信頼性が向上する。
また、本発明の半導体装置の製造方法において、イオン注入マスクは、第1イオン注入マスクと、第1イオン注入マスク上に形成された第2イオン注入マスクと、の2層からなっていてもよい。この場合には、第1ドーパント注入領域の形成後に第1イオン注入マスクの一部を除去して半導体の表面の露出領域を拡大する際に、第1イオン注入マスクの厚さの減少を抑制しながら第1イオン注入マスクの幅を薄くすることができるため、第2ドーパントのイオン注入時の第1イオン注入マスクの信頼性が向上する。
また、上記において、第1イオン注入マスクがタングステンを主成分とし、第2イオン注入マスクが酸化ケイ素を主成分とすることが好ましい。この場合には、第1イオン注入マスクのエッチング時には第2イオン注入マスクがエッチングされにくく、第2イオン注入マスクのエッチング時には第1イオン注入マスクがエッチングされにくい傾向が特に大きくなり、第1イオン注入マスクの厚さの減少を抑制しながら第1イオン注入マスクの幅を薄くすることができるため、第2ドーパントのイオン注入時の第1イオン注入マスクの信頼性が向上する。
また、本発明の半導体装置の製造方法において、第1工程は半導体の表面上に第1イオン注入マスクと第2イオン注入マスクとをこの順序で積層してイオン注入マスクを形成した後にイオン注入マスクの一部をエッチングすることによって半導体の表面の一部を露出させることにより行なわれ、第3工程は第1ドーパント注入領域の形成後に第1イオン注入マスクを少なくともその幅方向にエッチングすることにより行なわれ、第3工程と第4工程との間には第2イオン注入マスクをエッチングにより除去する工程が含まれ、第4工程の後には第1イオン注入マスクをエッチングにより除去する工程が含まれていてもよい。この場合には、半導体装置の微細化および半導体装置の特性のばらつきの低減を達成することができるとともに従来よりも工程数を減少させることができる。
また、本発明の半導体装置の製造方法において、第2イオン注入マスクをエッチングするためのエッチング液またはエッチングガスによる第2イオン注入マスクの第1イオン注入マスクに対する選択比が2以上であることが好ましい。この場合には、第2ドーパントのイオン注入前に、第2イオン注入マスクのエッチングを抑制することができ、第1イオン注入マスクの厚さの減少を抑制しながら第1イオン注入マスクをその幅方向にエッチングすることができるため、第2ドーパントのイオン注入時の第1イオン注入マスクの信頼性が向上する。
また、本発明の半導体装置の製造方法において、第1工程におけるエッチングおよび第3工程におけるエッチングはそれぞれドライエッチングにより行なわれることが好ましい。この場合には、半導体の表面を露出させる第1工程においては第1イオン注入マスクおよび第2イオン注入マスクの厚さ方向のエッチングが進行する傾向にあり、半導体の表面の露出領域を拡大する第3工程においては第1イオン注入マスクおよび第2イオン注入マスクの幅方向のエッチングの制御が容易になる傾向にあるため、第1イオン注入マスクおよび第2イオン注入マスクのエッチング時においてこれらのイオン注入マスクが不要にエッチングされないようにすることができる。
また、本発明の半導体装置の製造方法においては、第3工程におけるイオン注入マスクの一部の除去をエッチングにより行ない、第3工程におけるエッチング後のイオン注入マスクの厚さを第4工程における第2ドーパントのイオンの注入マスクとして機能する厚さとすることができる。この場合には、イオン注入マスクが第2ドーパントのイオンの注入
マスクとして機能するため、第2ドーパント注入領域を不要な箇所にまで形成されないようにすることができる。
また、本発明の半導体装置の製造方法において、イオン注入マスクがタングステンを主成分としてもよい。イオン注入マスクがタングステンを主成分とする場合には、タングステンは高密度材料でイオン注入を阻止する能力が高いため、他の材料と比べてイオン注入マスクを薄く形成することができ、プロセスが簡易となる傾向にある点で好ましい。
また、本発明の半導体装置の製造方法において、第1工程は半導体の表面上にイオン注入マスクを形成した後にイオン注入マスクの一部をエッチングすることによって半導体の表面の一部を露出させることにより行なわれ、第3工程は第1ドーパント注入領域の形成後にイオン注入マスクを少なくともその幅方向にエッチングすることにより行なわれ、第4工程の後にはイオン注入マスクを除去する工程が含まれていてもよい。この場合には、半導体装置の微細化および半導体装置の特性のばらつきの低減を達成することができるとともに従来よりも工程数を減少させることができる。
ここで、第1工程におけるエッチングおよび第3工程におけるエッチングはそれぞれドライエッチングにより行なわれることが好ましい。この場合には、半導体の表面を露出させる第1工程においてはイオン注入マスクの厚さ方向のエッチングが進行する傾向にあり、半導体の表面の露出領域を拡大する第3工程においてはイオン注入マスクの幅方向のエッチングの制御が容易になる傾向にあるため、イオン注入マスクのエッチング時においてイオン注入マスクを不要にエッチングしないようにすることができる。
また、本発明の半導体装置の製造方法において、半導体はバンドギャップエネルギが2.5eV以上であることが好ましい。この場合には、高耐圧かつ低損失で、耐熱性および耐環境性に優れた半導体装置を製造することができる傾向にある。
また、本発明の半導体装置の製造方法において、半導体は、炭化ケイ素を主成分とすることが好ましい。炭化ケイ素からなる半導体装置においては、ドーパントの注入後の活性化アニール温度が高温となるため、従来のSiデバイスのようなセルフアラインの手法を用いることができないため、本発明を特に好適に用いることができる。
本発明によれば、半導体装置を微細化することができるとともに半導体装置の特性のばらつきを低減することができる半導体装置の製造方法を提供することができる。
以下、本発明の実施の形態について説明する。なお、本発明の図面において、同一の参照符号は、同一部分または相当部分を表わすものとする。
(実施の形態1)
以下、図1〜図10の模式的断面図を参照して、本発明の半導体装置の製造方法の一例について説明する。
まず、図1に示すように、SiC基板101の表面上にn型のSiC膜102をエピタキシャル成長させてウエハを形成する。次に、図2に示すように、SiC膜102の表面全体にタングステンからなる第1イオン注入マスク103aを形成し、第1イオン注入マスク103aの表面上に酸化ケイ素からなる第2イオン注入マスク103bを形成する。これにより、第1イオン注入マスク103aと第2イオン注入マスク103bとの積層体からなるイオン注入マスク103が形成される。
ここで、タングステンからなる第1イオン注入マスク103aおよび酸化ケイ素からなる第2イオン注入マスク103bはそれぞれ、たとえば、スパッタリング法またはCVD(Chemical Vapor Deposition)法等によって形成することができる。
また、タングステンからなる第1イオン注入マスク103aは、2μm以下の厚さに形成されることが好ましく、1μm以下の厚さに形成されることがより好ましい。また、酸化ケイ素からなる第2イオン注入マスク103bは、0.5μm以下の厚さに形成されることが好ましく、0.3μm以下の厚さに形成されることがより好ましい。
次いで、図3に示すように、第2イオン注入マスク103b上にたとえばフォトリソグラフィ技術を利用して所定の開口部105を有するレジスト104を形成する。続いて、図4に示すように、開口部105の下方に位置する部分の第1イオン注入マスク103aおよび第2イオン注入マスク103bをその厚さ方向にエッチングにより除去して、SiC膜102の表面の一部を露出させる。
その後、図5に示すように、レジスト104を除去し、露出したSiC膜102の表面にリンなどのn型ドーパントのイオンをイオン注入することによって、SiC膜102の表面にn型ドーパント注入領域106を形成する。
次に、図6に示すように、第1イオン注入マスク103aをその幅方向にエッチングすることによって、第1イオン注入マスク103aの幅を減少させる。これにより、SiC膜102の表面のうちn型ドーパント注入領域106が形成された領域以外の領域が露出し、SiC膜102の表面の露出領域が拡大する。
ここで、第1イオン注入マスク103aのエッチングを行なうためのエッチング液またはエッチングガスとしては、第2イオン注入マスク103bよりも第1イオン注入マスク103aをエッチングしやすい材質のものが用いられる。
続いて、図7に示すように、第1イオン注入マスク103a上の第2イオン注入マスク103bをエッチングにより除去する。ここで、第2イオン注入マスク103bをエッチングするためのエッチング液またはエッチングガスとしては、第1イオン注入マスク103aよりも第2イオン注入マスク103bをエッチングしやすい材質のものが用いられる。
次いで、図8に示すように、上記のようにして拡大したSiC膜102の表面の露出領域にアルミニウムなどのp型ドーパントのイオンをイオン注入することによって、SiC膜102の表面にp型ドーパント注入領域107を形成する。
そして、図9に示すように、第1イオン注入マスク103aを除去する。その後、第1イオン注入マスク103aの除去後のウエハについて結晶性を回復するとともに、イオン注入されたn型ドーパントおよびp型ドーパントのイオンを活性化するための活性化アニールを行なう。
そして、図10に示すように、SiC膜102の表面上にゲート酸化膜108、ソース電極109およびドレイン電極111を形成し、ゲート酸化膜108の表面上にゲート電極110を形成した後に、ウエハをチップ状に分割することによって、SiC−MOSFETが完成する。
このように、本実施の形態においては、n型ドーパント注入領域の形成用のイオン注入
マスクをp型ドーパント注入領域の形成にも利用することができるため、従来のように、n型ドーパント注入領域の形成用のイオン注入マスクとp型ドーパント注入領域の形成用のイオン注入マスクとを別々に形成する必要がない。
したがって、従来に比べて、n型ドーパント注入領域とp型ドーパント注入領域との相対的な位置関係のばらつきを低減することができ、ゲート長を短くすることができることから、半導体装置の微細化につながる。また、そのばらつきの低減により半導体装置の特性のばらつきも低減することができる。
また、イオン注入マスクのパターンニング用のレジストの形成が1回で済むため、従来と比べて工程数を減少させることもできる。
なお、イオン注入マスク103は、タングステンからなる第1イオン注入マスク103aとSiC膜102の表面との間に、たとえばチタン、ニッケル、酸化ケイ素または窒化ケイ素等からなる層を含んでいてもよい。このような層は、イオン注入マスク103とSiC膜102との密着性を改善し、SiC膜102の表面のエッチングストップ層としても機能し得るためである。この層は、たとえば100nm以下の厚さに形成することができる。
また、上記においては、第1イオン注入マスク103aとしてタングステンを用い、第2イオン注入マスク103bとして酸化ケイ素を用いたが、本発明においてはこの構成に限定されないことは言うまでもない。たとえば、第1イオン注入マスク103aに酸化ケイ素、窒化ケイ素または酸窒化ケイ素等のケイ素化合物を用い、第2イオン注入マスク103bにアルミニウムまたはチタン等の金属を用いることもできる。
すなわち、第1イオン注入マスク103aとしては、第2イオン注入マスク103bのエッチングを行なうためのエッチング液またはエッチングガスに対して第2イオン注入マスク103bよりもエッチングされにくい材質のものを用いることができ、第2イオン注入マスク103bとしては、第1イオン注入マスク103aのエッチングを行なうためのエッチング液またはエッチングガスに対して第1イオン注入マスク103aよりもエッチングされにくい材質のものを用いることができる。
なかでも、第1イオン注入マスク103aとしてはタングステンを用いることが好ましく、第2イオン注入マスク103bとしては酸化ケイ素を用いることが好ましい。この場合には、第1イオン注入マスク103aのエッチング時には第2イオン注入マスク103bがエッチングされにくく、第2イオン注入マスク103bのエッチング時には第1イオン注入マスク103aがエッチングされにくい傾向が特に大きくなり、第1イオン注入マスク103aの厚さの減少を抑制しながら第1イオン注入マスク103aの幅を薄くすることができるため、第2ドーパントのイオン注入時の第1イオン注入マスク103aの信頼性を向上することができる。
なお、本発明において、イオン注入マスク103は、上記の2層の構成に限られず、1層であってもよく、3層以上であってもよい。
また、第2イオン注入マスク103bをエッチングするためのエッチング液またはエッチングガスによる第2イオン注入マスク103bの第1イオン注入マスク103aに対する選択比が2以上であることが好ましい。この場合には、p型ドーパントのイオン注入前に、第2イオン注入マスク103bのエッチングを抑制することができ、第1イオン注入マスク103aの厚さの減少を抑制しながら第1イオン注入マスク103aをその幅方向にエッチングすることができるため、p型ドーパントのイオン注入時の第1イオン注入マ
スク103aの信頼性が向上する。
なお、上記の選択比は、第1イオン注入マスク103aと第2イオン注入マスク103bとを同一の条件でエッチング液またはエッチングガスによってエッチングし、第1イオン注入マスク103aのエッチング速度と第2イオン注入マスク103bのエッチング速度との比(第1イオン注入マスク103aのエッチング速度/第2イオン注入マスク103bのエッチング速度)を求めることによって算出することができる。
また、上記において、図4に示す第1イオン注入マスク103aおよび第2イオン注入マスク103bの厚さ方向のエッチングはエッチングガスを用いたドライエッチングにより行なわれることが好ましい。また、図6に示す第1イオン注入マスク103aの幅方向のエッチングはエッチング液を用いたウエットエッチングにより行なうこともできるが、エッチングガスを用いたドライエッチングにより行なわれることが好ましい。
すなわち、エッチングガスを用いたドライエッチングにおいては、通常、SiC基板101にバイアス電圧が印加され、エッチングガスはSiC基板101方向へある程度の指向性を持って進行するため、ウエットエッチングと比べて、第1イオン注入マスク103aおよび第2イオン注入マスク103bの厚さ方向のエッチングが進みやすくなる傾向にある。また、エッチング液を用いたウエットエッチングにおいては、等方性エッチングが進行しやすいため、ドライエッチングと比べて、第1イオン注入マスク103aの幅方向のエッチングが進みやすくなる傾向にあるが、エッチングの制御を容易にする観点からはエッチングガスを用いたドライエッチングにより第1イオン注入マスク103aの幅方向のエッチングを行なうことが好ましい。
また、上記においては、半導体としてSiCを用いたが、SiC以外の半導体を用いてもよいことは言うまでもない。本発明において、半導体としては、たとえば、窒化ガリウム、ダイヤモンド、酸化亜鉛または窒化アルミニウム等を用いることができる。
なかでも、本発明においては、バンドギャップエネルギが2.5eV以上の半導体を用いることが好ましい。この場合には、高耐圧かつ低損失で、耐熱性および耐環境性に優れた半導体装置を製造することができる傾向にある。
また、上記においては、半導体装置としてSiC−MOSFETを作製する場合について説明したが、本発明においてはSiC以外の半導体を用いてSiC−MOSFET以外の半導体装置を作製してもよいことは言うまでもない。
また、本発明においては、上記のp型とn型の導電型が入れ替わっていてもよいことは言うまでもない。
(実施の形態2)
以下、図11〜図19の模式的断面図を参照して、本発明の半導体装置の製造方法の一例について説明する。
まず、図11に示すように、SiC基板101の表面上にn型のSiC膜102をエピタキシャル成長させてウエハを形成する。次に、図12に示すように、SiC膜102の表面全体にタングステンからなるイオン注入マスク103を形成する。
次いで、図13に示すように、イオン注入マスク103の表面上にたとえばフォトリソグラフィ技術を利用して所定の開口部105を有するレジスト104を形成する。続いて、図14に示すように、開口部105の下方に位置する部分の注入マスク103をエッチ
ングにより除去して、SiC膜102の表面の一部を露出させる。
その後、図15に示すように、レジスト104を除去し、露出したSiC膜102の表面にリンなどのn型ドーパントのイオンをイオン注入することによって、SiC膜102の表面にn型ドーパント注入領域106を形成する。
次に、図16に示すように、イオン注入マスク103の等方性エッチングを行ない、イオン注入マスク103をその幅方向に除去して、イオン注入マスク103の幅を減少させる。これにより、SiC膜102の表面のうちn型ドーパント注入領域106が形成された領域以外の領域が露出し、SiC膜102の表面の露出領域が拡大する。
なお、本実施の形態においては、上記の等方性エッチングによって、イオン注入マスク103全体がエッチングされることになるため、イオン注入マスク103の幅だけでなく高さも減少することになる。
次いで、図17に示すように、上記のようにして拡大したSiC膜102の表面の露出領域にアルミニウムなどのp型ドーパントのイオンをイオン注入することによって、SiC膜102の表面にp型ドーパント注入領域107を形成する。
そして、図18に示すように、イオン注入マスク103を除去する。その後、イオン注入マスク103の除去後のウエハについて結晶性を回復するための活性化アニールを行なう。
そして、図19に示すように、SiC膜102の表面上にゲート酸化膜108、ソース電極109およびドレイン電極111を形成し、ゲート酸化膜108の表面上にゲート電極110を形成した後に、ウエハをチップ状に分割することによって、SiC−MOSFETが完成する。
このように、本実施の形態においては、n型ドーパント注入領域の形成用のイオン注入マスクをp型ドーパント注入領域の形成にも利用することができ、n型ドーパント注入領域の形成用のイオン注入マスクとp型ドーパント注入領域の形成用のイオン注入マスクとを別々に形成する必要がない。
したがって、従来に比べて、n型ドーパント注入領域とp型ドーパント注入領域との相対的な位置関係のばらつきを低減することができ、ゲート長を短くすることができることから、半導体装置の微細化につながる。また、そのばらつきの低減により半導体装置の特性のばらつきも低減することができる。
また、イオン注入マスク103のパターンニング用のレジストの形成が1回で済むため、従来と比べて工程数を減少させることもできる。
なお、本実施の形態においては、イオン注入マスク103としてタングステンを用いたが、これに限定されないことは言うまでもない。
また、上記において、図16に示すエッチング後のイオン注入マスク103の厚さは、その後のp型ドーパントのイオンのイオン注入におけるイオン注入マスクとして機能する厚さとなっていることが好ましい。図16に示すエッチング後のイオン注入マスク103が後述するイオン注入のイオン注入マスクとして機能しない場合には、p型ドーパント注入領域107が不要な箇所にまで形成されてしまうためである。ここで、イオン注入マスクとして機能する厚さとは、イオン注入されるイオンの99.9%以上の注入を阻止する
ことができる厚さを意味する。
たとえば、図16に示すエッチングによって、イオン注入マスク103の幅がその両側からxずつ減少する場合には、イオン注入マスク103の厚さがx以上減少することがあるが、x以上減少した後のイオン注入マスク103の厚さがイオン注入マスクとして機能する厚さ以上であればよい。
また、上記において、図14に示すイオン注入マスク103の厚さ方向のエッチングはエッチングガスを用いたドライエッチングにより行なわれることが好ましい。また、図16に示すイオン注入マスク103のエッチングはエッチング液を用いたウエットエッチングにより行なうこともできるが、エッチングガスを用いたドライエッチングにより行なわれることが好ましい。
上述したように、エッチングガスを用いたドライエッチングにおいては、エッチングガスがSiC基板101方向へある程度の指向性を持って進行するため、ウエットエッチングと比べてイオン注入マスク103の厚さ方向のエッチングが進みやすくなる傾向にある。また、エッチング液を用いたウエットエッチングにおいては、等方性エッチングが進行しやすいため、ドライエッチングと比べてイオン注入マスク103の幅方向のエッチングが進みやすくなる傾向にあるが、エッチングの制御を容易にする観点からはエッチングガスを用いたドライエッチングによりイオン注入マスク103の幅方向のエッチングを行なうことが好ましい。
なお、本実施の形態におけるその他の説明は実施の形態1と同様である。
(実施例1)
まず、SiC基板の表面上にn型のSiC膜をエピタキシャル成長させたウエハを作製した。ここで、エピタキシャル成長させたn型のSiC膜の膜厚は10μmであって、n型ドーパントの濃度は1×1015cm-3であった。
次に、SiC膜の表面全体にタングステンからなる第1イオン注入マスクをスパッタリング法により形成し、第1イオン注入マスクに酸化ケイ素からなる第2イオン注入マスクをスパッタリング法により形成した。ここで、第1イオン注入マスクの厚さは800nmであって、第2イオン注入マスクの厚さは100nmであった。
次いで、フォトリソグラフィ技術を利用して、n型ドーパント注入領域を形成する箇所に開口部を有するようにパターンニングされたレジストを第2イオン注入マスク上に形成した。
続いて、レジストの開口部から露出している部分の第2イオン注入マスクをCF4ガスによりエッチングして除去した。そして、上記のように除去された第2イオン注入マスクから露出した部分の第1イオン注入マスクをSF6ガスによりエッチングして、上記のレジストの開口部の下方に位置するSiC膜の表面を露出させた。
ここで、CF4ガスは、タングステンからなる第1イオン注入マスクよりも酸化ケイ素からなる第2イオン注入マスクの方を大きくエッチングするエッチングガスである。また、SF6ガスは、酸化ケイ素からなる第2イオン注入マスクよりもタングステンからなる第1イオン注入マスクの方を大きくエッチングするエッチングガスである。
その後、レジストを除去し、露出したSiC膜の表面にリンイオンをイオン注入するこ
とによって、SiC膜の表面の一部にn型ドーパント注入領域を形成した。ここで、n型ドーパント注入領域は、ドーズ量が1×1015cm-2の条件でリンイオンを注入することによって形成された。
次に、アンモニア水溶液と過酸化水素水との混合溶液からなるエッチング液に2分間浸漬させることで、タングステンからなる第1イオン注入マスクの側面を0.5μmの厚さだけその幅方向にエッチングした。これにより、SiC膜の表面のうちn型ドーパント注入領域が形成された領域以外の領域が露出した。
なお、アンモニア水溶液と過酸化水素水との混合溶液からなるエッチング液は、酸化ケイ素からなる第2イオン注入マスクよりもタングステンからなる第1イオン注入マスクの方を大きくエッチングするエッチング液である。
続いて、酸化ケイ素からなる第2イオン注入マスクをバッファードフッ酸を用いたエッチングによりすべて除去した。ここで、バッファードフッ酸は、タングステンからなる第1イオン注入マスクよりも酸化ケイ素からなる第2イオン注入マスクの方を大きくエッチングするエッチング液である。
次いで、露出しているSiC膜の表面にアルミニウムイオンを注入することによって、SiC膜の表面にp型ドーパント注入領域を形成した。ここで、p型ドーパント注入領域は、ドーズ量が1×1014cm-2の条件でアルミニウムイオンを注入することによって形成された。
次に、タングステンからなる第1イオン注入マスクをアンモニア水溶液と過酸化水素水との混合溶液からなるエッチング液を用いたエッチングによりすべて除去した。その後、ウエハを1700℃に加熱して活性化アニールを行ない、結晶性を回復させるとともに、イオン注入されたドーパントの活性化を行なった。
続いて、SiC膜の表面に熱酸化法により酸化ケイ素からなるゲート酸化膜を100nmの膜厚で形成した。
その後、ソース電極およびドレイン電極を形成し、さらに、ゲート酸化膜の表面上にゲート電極を形成した後に、ウエハをチップ状に分割することによって、SiC−MOSFETを完成させた。
(実施例2)
まず、SiC基板の表面上にn型のSiC膜をエピタキシャル成長させたウエハを作製した。ここで、エピタキシャル成長させたn型のSiC膜の膜厚は10μmであって、n型ドーパントの濃度は1×1015cm-3であった。
次に、SiC膜の表面全体にタングステンからなるイオン注入マスクをスパッタリング法により1600nmの膜厚で形成した。
次いで、フォトリソグラフィ技術を利用して、n型ドーパント注入領域を形成する箇所に開口部を有するようにパターンニングされたレジストを上記のイオン注入マスク上に形成した。
続いて、レジストの開口部から露出している部分のタングステンからなるイオン注入マスクをSF6ガスによりエッチングし、上記のレジストの開口部の下方に位置するSiC膜の表面を露出させた。
その後、レジストを除去し、露出したSiC膜の表面にリンイオンをイオン注入することによって、SiC膜の表面の一部にn型ドーパント注入領域を形成した。ここで、n型ドーパント注入領域は、ドーズ量が1×1015cm-2の条件でリンイオンを注入することによって形成された。
次に、SF6ガスを用いてタングステンからなるイオン注入マスクのドライエッチングを行なった。ここで、ドライエッチングの条件は、等方性エッチングに近い条件とした。ドライエッチング後のタングステンからなるイオン注入マスクの幅の減少量は800nmであり、イオン注入マスクの厚さの減少量は400nmであった。したがって、上記のドライエッチング後のイオン注入マスクの厚さは1200nmとなっていた。
次いで、露出しているSiC膜の表面にアルミニウムイオンを注入することによって、SiC膜の表面にp型ドーパント注入領域を形成した。ここで、p型ドーパント注入領域は、ドーズ量が1×1014cm-2の条件でアルミニウムイオンを注入することによって形成された。
ここで、アルミニウムイオンのイオン注入におけるイオン注入マスクとして機能する厚さは800nmであった。したがって、上記のドライエッチング後のイオン注入マスクの厚さは、アルミニウムイオンのイオン注入におけるイオン注入マスクとして機能する厚さを十分に有していることが確認された。
次に、タングステンからなるイオン注入マスクをアンモニア水溶液と過酸化水素水との混合溶液からなるエッチング液を用いたエッチングによりすべて除去した。その後、ウエハを1700℃に加熱して活性化アニールを行ない、結晶性を回復させるとともに、イオン注入されたドーパントの活性化を行なった。
続いて、SiC膜の表面に熱酸化法により酸化ケイ素からなるゲート酸化膜を100nmの膜厚で形成した。
その後、ソース電極およびドレイン電極を形成し、さらに、ゲート酸化膜の表面上にゲート電極を形成した後に、ウエハをチップ状に分割することによって、SiC−MOSFETを完成させた。
今回開示された実施の形態および実施例はすべての点で例示であって制限的なものではないと考えられるべきである。本発明の範囲は上記した説明ではなくて特許請求の範囲によって示され、特許請求の範囲と均等の意味および範囲内でのすべての変更が含まれることが意図される。
本発明によれば、半導体装置を微細化することができるとともに半導体装置の特性のばらつきを低減することができる半導体装置の製造方法を提供することができる。
本発明の半導体装置の製造方法の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の他の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の他の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の他の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の他の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の他の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の他の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の他の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の他の一例の一部を図解する模式的な断面図である。 本発明の半導体装置の製造方法の他の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。 従来のSiC−MOSFETの製造方法の一例の一部を図解する模式的な断面図である。
符号の説明
101,201 SiC基板、102,202 SiC膜、103,203 イオン注入マスク、103a 第1イオン注入マスク、103b 第2イオン注入マスク、104,204 レジスト、105,205 開口部、106,206 n型ドーパント注入領域、107,207 p型ドーパント注入領域、108,208 ゲート酸化膜、109,209 ソース電極、110,210 ゲート電極、111,211 ドレイン電極。

Claims (14)

  1. 半導体の表面の一部にイオン注入マスクを形成する第1工程と、
    前記イオン注入マスクが形成されている領域以外の前記半導体の表面の露出領域の少なくとも一部に第1ドーパントのイオンを注入して第1ドーパント注入領域を形成する第2工程と、
    前記第1ドーパント注入領域の形成後に前記イオン注入マスクの一部を除去して前記半導体の表面の露出領域を拡大する第3工程と、
    前記拡大した前記半導体の表面の露出領域の少なくとも一部に第2ドーパントのイオンを注入して第2ドーパント注入領域を形成する第4工程と、
    を含む、半導体装置の製造方法。
  2. 前記イオン注入マスクは、タングステン、ケイ素、アルミニウム、ニッケルおよびチタンからなる群から選択された少なくとも1種を含むことを特徴とする、請求項1に記載の半導体装置の製造方法。
  3. 前記イオン注入マスクは2層以上からなることを特徴とする、請求項1または2に記載の半導体装置の製造方法。
  4. 前記イオン注入マスクは、第1イオン注入マスクと、前記第1イオン注入マスク上に形成された第2イオン注入マスクと、の2層からなることを特徴とする、請求項3に記載の半導体装置の製造方法。
  5. 前記第1イオン注入マスクがタングステンを主成分とし、前記第2イオン注入マスクが酸化ケイ素を主成分とすることを特徴とする、請求項4に記載の半導体装置の製造方法。
  6. 前記第1工程は、前記半導体の表面上に前記第1イオン注入マスクと前記第2イオン注入マスクとをこの順序で積層して前記イオン注入マスクを形成した後に前記イオン注入マスクの一部をエッチングすることによって前記半導体の表面の一部を露出させることにより行なわれ、
    前記第3工程は、前記第1ドーパント注入領域の形成後に前記第1イオン注入マスクを少なくともその幅方向にエッチングすることにより行なわれ、
    前記第3工程と前記第4工程との間には、前記第2イオン注入マスクをエッチングにより除去する工程が含まれ、
    前記第4工程の後には、前記第1イオン注入マスクをエッチングにより除去する工程が含まれることを特徴とする、請求項4または5に記載の半導体装置の製造方法。
  7. 前記第2イオン注入マスクをエッチングするためのエッチング液またはエッチングガスによる前記第2イオン注入マスクの前記第1イオン注入マスクに対する選択比が2以上であることを特徴とする、請求項6に記載の半導体装置の製造方法。
  8. 前記第1工程におけるエッチングおよび前記第3工程におけるエッチングはそれぞれドライエッチングにより行なわれることを特徴とする、請求項6または7に記載の半導体装置の製造方法。
  9. 前記第3工程における前記イオン注入マスクの一部の除去はエッチングにより行なわれ、前記第3工程におけるエッチング後の前記イオン注入マスクの厚さが前記第4工程における前記第2ドーパントのイオンの注入マスクとして機能する厚さとなっていることを特徴とする、請求項1に記載の半導体装置の製造方法。
  10. 前記イオン注入マスクがタングステンを主成分とすることを特徴とする、請求項9に記載の半導体装置の製造方法。
  11. 前記第1工程は、前記半導体の表面上に前記イオン注入マスクを形成した後に前記イオン注入マスクの一部をエッチングすることによって前記半導体の表面の一部を露出させることにより行なわれ、
    前記第3工程は、前記第1ドーパント注入領域の形成後に前記イオン注入マスクを少なくともその幅方向にエッチングすることにより行なわれ、
    前記第4工程の後には、前記イオン注入マスクを除去する工程が含まれることを特徴とする、請求項9または10に記載の半導体装置の製造方法。
  12. 前記第1工程におけるエッチングおよび前記第3工程におけるエッチングはそれぞれドライエッチングにより行なわれることを特徴とする、請求項11に記載の半導体装置の製造方法。
  13. 前記半導体は、バンドギャップエネルギが2.5eV以上であることを特徴とする、請求項1から12のいずれかに記載の半導体装置の製造方法。
  14. 前記半導体は、炭化ケイ素を主成分とすることを特徴とする、請求項13に記載の半導体装置の製造方法。
JP2006336000A 2006-12-13 2006-12-13 半導体装置の製造方法 Pending JP2008147576A (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2006336000A JP2008147576A (ja) 2006-12-13 2006-12-13 半導体装置の製造方法
CNA2007800462579A CN101558475A (zh) 2006-12-13 2007-11-29 半导体器件的制造方法
EP07832793A EP2092552A4 (en) 2006-12-13 2007-11-29 MANUFACTURING METHOD FOR SEMICONDUCTOR COMPONENTS
US12/517,735 US20100035420A1 (en) 2006-12-13 2007-11-29 Method of manufacturing semiconductor device
KR1020097012675A KR20090098832A (ko) 2006-12-13 2007-11-29 반도체 장치의 제조 방법
PCT/JP2007/073078 WO2008072482A1 (ja) 2006-12-13 2007-11-29 半導体装置の製造方法
CA002672259A CA2672259A1 (en) 2006-12-13 2007-11-29 Method of manufacturing semiconductor device
TW096146359A TW200842952A (en) 2006-12-13 2007-12-05 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006336000A JP2008147576A (ja) 2006-12-13 2006-12-13 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2008147576A true JP2008147576A (ja) 2008-06-26

Family

ID=39511506

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006336000A Pending JP2008147576A (ja) 2006-12-13 2006-12-13 半導体装置の製造方法

Country Status (8)

Country Link
US (1) US20100035420A1 (ja)
EP (1) EP2092552A4 (ja)
JP (1) JP2008147576A (ja)
KR (1) KR20090098832A (ja)
CN (1) CN101558475A (ja)
CA (1) CA2672259A1 (ja)
TW (1) TW200842952A (ja)
WO (1) WO2008072482A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010071084A1 (ja) 2008-12-16 2010-06-24 住友電気工業株式会社 半導体装置およびその製造方法
WO2012098759A1 (ja) 2011-01-17 2012-07-26 住友電気工業株式会社 炭化珪素半導体装置の製造方法
EP2482308A2 (en) 2011-01-31 2012-08-01 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2013021219A (ja) * 2011-07-13 2013-01-31 Shindengen Electric Mfg Co Ltd 半導体装置およびその製造方法
JP2018537859A (ja) * 2015-12-02 2018-12-20 アーベーベー・シュバイツ・アーゲー 半導体装置およびその製造方法
JP2019186429A (ja) * 2018-04-12 2019-10-24 富士電機株式会社 窒化物半導体装置および窒化物半導体装置の製造方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8563986B2 (en) 2009-11-03 2013-10-22 Cree, Inc. Power semiconductor devices having selectively doped JFET regions and related methods of forming such devices
JP2012099601A (ja) * 2010-11-01 2012-05-24 Sumitomo Electric Ind Ltd 半導体装置およびその製造方法
US8350365B1 (en) * 2011-01-13 2013-01-08 Xilinx, Inc. Mitigation of well proximity effect in integrated circuits
JP2013021242A (ja) * 2011-07-14 2013-01-31 Sumitomo Electric Ind Ltd 半導体装置の製造方法
CN102507704A (zh) * 2011-10-18 2012-06-20 重庆邮电大学 基于碳化硅的肖特基势垒二极管氧传感器及制造方法
CN102496559A (zh) * 2011-11-25 2012-06-13 中国科学院微电子研究所 一种三层复合离子注入阻挡层及其制备、去除方法
US10937869B2 (en) * 2018-09-28 2021-03-02 General Electric Company Systems and methods of masking during high-energy implantation when fabricating wide band gap semiconductor devices
CN109309009B (zh) * 2018-11-21 2020-12-11 长江存储科技有限责任公司 一种半导体器件及其制造方法
CN116504612B (zh) * 2023-02-09 2023-11-21 长鑫存储技术有限公司 半导体结构及其形成方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0254935A (ja) * 1988-08-19 1990-02-23 Sony Corp Mis型トランジスタの製造方法
JPH03297147A (ja) * 1990-04-16 1991-12-27 Fujitsu Ltd 半導体装置の製造方法
JP2002359254A (ja) * 2001-03-30 2002-12-13 Denso Corp 炭化珪素半導体装置およびその製造方法
JP2006524433A (ja) * 2003-04-24 2006-10-26 クリー インコーポレイテッド 自己整合ソースおよびウェル領域を有する炭化珪素パワーデバイスならびにその製造方法
JP2007013087A (ja) * 2005-05-31 2007-01-18 Toshiba Corp 電界効果トランジスタおよびサイリスタ

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3966501A (en) * 1973-03-23 1976-06-29 Mitsubishi Denki Kabushiki Kaisha Process of producing semiconductor devices
US4173818A (en) * 1978-05-30 1979-11-13 International Business Machines Corporation Method for fabricating transistor structures having very short effective channels
FR2575334B1 (fr) * 1984-12-21 1987-01-23 Radiotechnique Compelec Dispositif mos dont les regions de source sont disposees en bandes paralleles, et procede pour l'obtenir
US6573534B1 (en) * 1995-09-06 2003-06-03 Denso Corporation Silicon carbide semiconductor device
US6551865B2 (en) * 2001-03-30 2003-04-22 Denso Corporation Silicon carbide semiconductor device and method of fabricating the same
US6927422B2 (en) * 2002-10-17 2005-08-09 Astralux, Inc. Double heterojunction light emitting diodes and laser diodes having quantum dot silicon light emitters
JP2007042803A (ja) * 2005-08-02 2007-02-15 Honda Motor Co Ltd イオン注入マスクおよびその製造方法、並びにイオン注入マスクを用いた炭化珪素半導体装置およびその製造方法
US7517807B1 (en) * 2006-07-26 2009-04-14 General Electric Company Methods for fabricating semiconductor structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0254935A (ja) * 1988-08-19 1990-02-23 Sony Corp Mis型トランジスタの製造方法
JPH03297147A (ja) * 1990-04-16 1991-12-27 Fujitsu Ltd 半導体装置の製造方法
JP2002359254A (ja) * 2001-03-30 2002-12-13 Denso Corp 炭化珪素半導体装置およびその製造方法
JP2006524433A (ja) * 2003-04-24 2006-10-26 クリー インコーポレイテッド 自己整合ソースおよびウェル領域を有する炭化珪素パワーデバイスならびにその製造方法
JP2007013087A (ja) * 2005-05-31 2007-01-18 Toshiba Corp 電界効果トランジスタおよびサイリスタ

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010071084A1 (ja) 2008-12-16 2010-06-24 住友電気工業株式会社 半導体装置およびその製造方法
US8643065B2 (en) 2008-12-16 2014-02-04 Sumitomo Electric Industries, Ltd. Semiconductor device and method for manufacturing the same
WO2012098759A1 (ja) 2011-01-17 2012-07-26 住友電気工業株式会社 炭化珪素半導体装置の製造方法
US8652954B2 (en) 2011-01-17 2014-02-18 Sumitomo Electric Industries, Ltd. Method for manufacturing silicon carbide semiconductor device
EP2482308A2 (en) 2011-01-31 2012-08-01 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2012160509A (ja) * 2011-01-31 2012-08-23 Renesas Electronics Corp 半導体装置の製造方法
US8569132B2 (en) 2011-01-31 2013-10-29 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2013021219A (ja) * 2011-07-13 2013-01-31 Shindengen Electric Mfg Co Ltd 半導体装置およびその製造方法
JP2018537859A (ja) * 2015-12-02 2018-12-20 アーベーベー・シュバイツ・アーゲー 半導体装置およびその製造方法
JP7432071B2 (ja) 2015-12-02 2024-02-16 ヒタチ・エナジー・リミテッド 半導体装置およびその製造方法
JP2019186429A (ja) * 2018-04-12 2019-10-24 富士電機株式会社 窒化物半導体装置および窒化物半導体装置の製造方法
JP7187808B2 (ja) 2018-04-12 2022-12-13 富士電機株式会社 窒化物半導体装置および窒化物半導体装置の製造方法

Also Published As

Publication number Publication date
KR20090098832A (ko) 2009-09-17
TW200842952A (en) 2008-11-01
EP2092552A4 (en) 2010-12-01
CA2672259A1 (en) 2008-06-19
US20100035420A1 (en) 2010-02-11
EP2092552A1 (en) 2009-08-26
CN101558475A (zh) 2009-10-14
WO2008072482A1 (ja) 2008-06-19

Similar Documents

Publication Publication Date Title
JP2008147576A (ja) 半導体装置の製造方法
US6703648B1 (en) Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
TWI263305B (en) Method for fabricating semiconductor device
US6087239A (en) Disposable spacer and method of forming and using same
JP4283904B2 (ja) 半導体装置の製造方法
US20050245015A1 (en) Method for manufacturing a semiconductor device having a dual-gate structure
JP2007273588A (ja) 半導体装置の製造方法
JPH09190983A (ja) 半導体装置の製造方法
JP4039375B2 (ja) 半導体装置の製造方法
WO2013105550A1 (ja) 半導体装置及びその製造方法
JP2004152965A (ja) 半導体装置の製造方法と半導体装置
JP3455742B2 (ja) 半導体装置
JPH09213655A (ja) 半導体装置およびその製造方法
JP2008098264A (ja) 半導体装置の製造方法
JP2005101449A (ja) 半導体装置及びその製造方法
JP2008108913A (ja) 半導体装置の製造方法
JP2004319957A (ja) 半導体素子のゲート電極形成方法
JP2008227292A (ja) イオン注入マスク、イオン注入方法および半導体装置の製造方法
US20070114610A1 (en) Semiconductor device and method of fabricating the same
JP6707927B2 (ja) 炭化珪素半導体装置の製造方法
JP2007067322A (ja) Ldd構造の半導体装置の製造方法
TWI373826B (en) Cmos transistor and the method for manufacturing the same
JP2007501512A (ja) バイポーラ・トランジスタを有する半導体装置の製造方法及びバイポーラ・トランジスタを有する装置
JP2004207354A (ja) 半導体装置及びその製造方法
JPH05211165A (ja) 拡散層の形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090723

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120904

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130108