JP2006024667A - Process for fabricating semiconductor device - Google Patents

Process for fabricating semiconductor device Download PDF

Info

Publication number
JP2006024667A
JP2006024667A JP2004200083A JP2004200083A JP2006024667A JP 2006024667 A JP2006024667 A JP 2006024667A JP 2004200083 A JP2004200083 A JP 2004200083A JP 2004200083 A JP2004200083 A JP 2004200083A JP 2006024667 A JP2006024667 A JP 2006024667A
Authority
JP
Japan
Prior art keywords
film
supplying
molecule
semiconductor device
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2004200083A
Other languages
Japanese (ja)
Inventor
Nobuyuki Otsuka
信幸 大塚
Miyoko Shimada
美代子 島田
Akira Furuya
晃 古谷
Shinichi Ogawa
真一 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2004200083A priority Critical patent/JP2006024667A/en
Publication of JP2006024667A publication Critical patent/JP2006024667A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To suppress diffusion of metal employed in barrier metal into a porous low dielectric constant (p-lowk) film being formed porously. <P>SOLUTION: The process for fabricating a semiconductor device comprises a step for forming a p-lowk film on a substrate (S102); a step for supplying Ta[N(C<SB>2</SB>H<SB>5</SB>)<SB>2</SB>]<SB>5</SB>which causes attraction of a molecule (Ta-R1) having a hole on the surface side of the p-lowk film larger than the opening size at a position coupled with the hole on the inner side of the p-lowk film to the surface of the p-lowk film (S106); a step for supplying NH<SB>3</SB>reacting on the molecule (Ta-R1) and forming a TaN film (S110); a step for supplying TaCl<SB>5</SB>which causes attraction of a molecule (Ta-R2) smaller than the opening size (S114); and a step for supplying NH<SB>3</SB>reacting on the molecule (Ta-R2) and forming a TaN film furthermore (S120). <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、半導体装置の製造方法に係り、特に、Cu配線を有するULSI(Ultra large scale
integrated circuit)デバイスの製造方法に関する。
The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a ULSI (Ultra large scale) having Cu wiring.
integrated circuit) relates to a device manufacturing method.

低抵抗で高いエレクトロマイグレーション(EM)耐性を有するCu配線は、高集積化し微細化されたLSI配線用の高信頼性材料として期待されている。   Cu wiring having low resistance and high electromigration (EM) resistance is expected as a highly reliable material for highly integrated and miniaturized LSI wiring.

特に、最近はLSIの高速性能化を達成するために、配線技術を従来のアルミ(Al)合金から低抵抗のCu或いはCu合金(以下、まとめてCuと称する。)に代える動きが進んでいる。Cuは、Al合金配線の形成において頻繁に用いられたドライエッチング法による微細加工が困難であるので、溝加工が施された絶縁膜上にCu膜を堆積し、溝内に埋め込まれた部分以外のCu膜を化学機械研磨(CMP)により除去して埋め込み配線を形成する、いわゆるダマシン(damascene)法が主に採用されている。Cu膜はスパッタ法などで薄いシード層を形成した後に電解めっき法により数100nm程度の厚さの積層膜を形成することが一般的である。   In particular, recently, in order to achieve high-speed performance of LSIs, there has been a movement to replace the wiring technology from conventional aluminum (Al) alloy to low resistance Cu or Cu alloy (hereinafter collectively referred to as Cu). . Since Cu is difficult to finely process by the dry etching method frequently used in the formation of Al alloy wiring, Cu film is deposited on the insulating film subjected to the groove processing, and other than the portion embedded in the groove A so-called damascene method, in which the Cu film is removed by chemical mechanical polishing (CMP) to form a buried wiring, is mainly employed. In general, a Cu film is formed by forming a thin seed layer by sputtering or the like and then forming a laminated film having a thickness of about several hundreds of nanometers by electrolytic plating.

さらに、最近は層間絶縁膜として比誘電率の低い低誘電率(low−k)膜を用いることが検討されている。すなわち、比誘電率kが、約4.2のシリコン酸化膜(SiO)膜から比誘電率kが例えば3.5以下のlow−k膜を用いることにより、配線間の寄生容量を低減することが試みられている。このようなlow−k膜とCu配線を組み合わせた多層配線構造を有する半導体装置の製造方法は次のようなものである。 Further, recently, it has been studied to use a low dielectric constant (low-k) film having a low relative dielectric constant as an interlayer insulating film. That is, by using a low-k film having a relative dielectric constant k of 3.5 or less from a silicon oxide film (SiO 2 ) film having a relative dielectric constant k of about 4.2, parasitic capacitance between wirings is reduced. It has been tried. A method of manufacturing a semiconductor device having a multilayer wiring structure in which such a low-k film and a Cu wiring are combined is as follows.

図20は、従来のlow−k膜とCu配線を組み合わせた多層配線構造を有する半導体装置の製造方法を示す工程断面図である。
図20では、デバイス部分等の形成方法は省略している。
図20(a)において、シリコン基板による基体200上にCVD(化学気層成長)等の方法により第1の絶縁膜221を成膜する。
図20(b)において、フォトリソグラフィ工程及びエッチング工程により、Cu金属配線或いはCuコンタクトプラグを形成するための溝構造(開口部H)を第1の絶縁膜221に形成する。
図20(c)において、第1の絶縁膜221上にバリアメタル膜240、Cuシード膜及びCu膜260をかかる順序で形成して、150℃から400℃の温度で約30分間アニール処理する。
図20(d)において、Cu膜260とバリアメタル膜240をCMPにより除去し、平坦化を行なうことにより、溝である開口部HにCu配線を形成する。
図20(e)において、前記Cu膜260表面に還元性プラズマ処理を施した後に第2の絶縁膜281を成膜する。
さらに、多層Cu配線を形成する場合は、これらの工程を繰り返して積層していくのが一般的である。ここで、第1の絶縁膜221と第2の絶縁膜281の大半がlow−k膜となる。
FIG. 20 is a process cross-sectional view illustrating a method of manufacturing a semiconductor device having a multilayer wiring structure in which a conventional low-k film and Cu wiring are combined.
In FIG. 20, a method for forming a device portion or the like is omitted.
In FIG. 20A, a first insulating film 221 is formed on a silicon substrate 200 by a method such as CVD (chemical vapor deposition).
In FIG. 20B, a groove structure (opening H) for forming a Cu metal wiring or a Cu contact plug is formed in the first insulating film 221 by a photolithography process and an etching process.
In FIG. 20C, a barrier metal film 240, a Cu seed film, and a Cu film 260 are formed in this order on the first insulating film 221, and annealed at a temperature of 150 ° C. to 400 ° C. for about 30 minutes.
In FIG. 20D, the Cu film 260 and the barrier metal film 240 are removed by CMP and planarized to form a Cu wiring in the opening H that is a groove.
In FIG. 20E, a second insulating film 281 is formed after the surface of the Cu film 260 is subjected to reducing plasma treatment.
Furthermore, when forming multilayer Cu wiring, it is common to repeat these processes and to laminate. Here, most of the first insulating film 221 and the second insulating film 281 are low-k films.

次世代デバイスにおいては層間絶縁膜として低誘電率膜、特に誘電率を下げるために、空孔を有する低誘電率膜の使用が検討されている。言い換えれば、比誘電率kが2.5以下のlow−k膜材料の開発も進められており、これらは材料中に空孔が入ったポーラス材料となっているものが多い。今後さらにCu配線の微細化が進むにつれて、Cuに比べて高抵抗であるバリアメタルの薄膜化は必須となってくる。極薄膜のバリアメタルを成膜するために、検討されている手法として、原子層気相成長(ALD:Atomic Layer
Deposition)法がある(例えば、非特許文献1,2参照)。この手法は原料ガスを交互に供給し、原子層レベルでの成膜を行う手法である。
In next-generation devices, the use of a low dielectric constant film as an interlayer insulating film, in particular, a low dielectric constant film having pores is being studied in order to lower the dielectric constant. In other words, the development of low-k film materials having a relative dielectric constant k of 2.5 or less has been promoted, and many of these are porous materials having pores in the material. As Cu wiring is further miniaturized in the future, it is essential to reduce the thickness of the barrier metal, which has a higher resistance than Cu. In order to form an ultra-thin barrier metal film, atomic layer vapor deposition (ALD: Atomic Layer) is being studied.
There is a Deposition method (for example, see Non-Patent Documents 1 and 2). This method is a method of performing film formation at the atomic layer level by alternately supplying source gases.

図21は、ALD法によるバリアメタルの成膜例を示すガスの供給フロー図である。
まず、タンタル(Ta)原料の供給を行う。例えば、塩化タンタル(TaCl)を用いて説明する。この時、セルフリミッティング効果により、ある一定量以上は吸着しない。次にアルゴン(Ar)によりパージを行う。つづいて、アンモニア(NH)の供給を行うことにより、バリアメタルとしての窒化タンタル(TaN)を形成する。最後にArによりパージを行う。この一連の作業を1サイクルとして、必要な膜厚分サイクルを繰り返すことで成膜を行う。
図22は、ALD法において、TaN膜が形成される様子を説明するための概念図である。
図22(a)において、TaR20(Ta化合物)を供給することにより、基体10にTaR20(Ta化合物)が吸着する。また、基体10の周辺には、吸着していないTaR20が浮遊する。
図22(b)において、Arを供給することにより、浮遊するTaR20が置換(パージ)される。
図22(c)において、NHを供給することにより基体10に吸着されたTaR20を還元してTaN膜22が形成される。
FIG. 21 is a gas supply flow diagram showing an example of barrier metal film formation by the ALD method.
First, a tantalum (Ta) raw material is supplied. For example, it will be described with reference to tantalum chloride (TaCl 5). At this time, a certain amount or more is not adsorbed due to the self-limiting effect. Next, purging is performed with argon (Ar). Subsequently, by supplying ammonia (NH 3 ), tantalum nitride (TaN) as a barrier metal is formed. Finally, purge is performed with Ar. This series of operations is defined as one cycle, and film formation is performed by repeating a cycle corresponding to the required film thickness.
FIG. 22 is a conceptual diagram for explaining how a TaN film is formed in the ALD method.
In FIG. 22A, TaR20 (Ta compound) is adsorbed on the substrate 10 by supplying TaR20 (Ta compound). Further, TaR 20 that is not adsorbed floats around the base 10.
In FIG. 22B, by supplying Ar, floating TaR 20 is replaced (purged).
In FIG. 22C, by supplying NH 3 , TaR 20 adsorbed on the substrate 10 is reduced to form a TaN film 22.

その他、ALD法に関連する技術として、複数枚の基板を同時にALD反応器内にローディングするとする技術(特許文献1参照)、ALD成膜時に同一原料をパージ等を挟んで繰り返し供給する技術(特許文献2参照)、金属酸化膜を成膜する場合に水酸化基を含まない反応物を供給後、水酸化基を含む反応物を供給することにより水酸化基の副産物の生成を抑止するとする技術(特許文献3参照)、基板を回転させながらALD成膜を行なう技術(特許文献4参照)、バリアメタル膜を金属窒化膜と銅膜との積層膜とする技術(特許文献5参照)、ALD成膜時にラジカル供給を行なう技術(特許文献6参照)が開示されている。
特開2002−367992号公報 特開2000−54134号公報 特開2001−152339号公報 特開2001−254181号公報 特開2002−329680号公報 特表2002−539326号公報 “Atomic layerdeposition of metal and nitride thin films: Current research efforts andapplications for semiconductor device processing" ,J. Vac. Sci. Technol.B21(6), 2003, p2231-2261 “Atomiclayer deposition for nanoscale Cu metallization" ,AdvancedMetallization Conference 2003 Conference Proceedings AMC XIX 2004 MaterialsResearch Society p713-722
Other techniques related to the ALD method include a technique in which a plurality of substrates are simultaneously loaded into an ALD reactor (see Patent Document 1), and a technique in which the same raw material is repeatedly supplied with a purge or the like interposed during ALD film formation (patent) Reference 2), when forming a metal oxide film, after supplying a reaction product that does not contain a hydroxyl group, supply a reaction product that contains a hydroxyl group, thereby suppressing the generation of by-products of the hydroxyl group (Refer to Patent Document 3), a technique for performing ALD film formation while rotating the substrate (refer to Patent Document 4), a technique in which a barrier metal film is a laminated film of a metal nitride film and a copper film (refer to Patent Document 5), ALD A technique for supplying radicals during film formation (see Patent Document 6) is disclosed.
Japanese Patent Laid-Open No. 2002-367992 JP 2000-54134 A JP 2001-152339 A JP 2001-254181 A JP 2002-329680 A Special Table 2002-539326 “Atomic layerdeposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing”, J. Vac. Sci. Technol. B21 (6), 2003, p2231-2261 “Atomiclayer deposition for nanoscale Cu metallization”, AdvancedMetallization Conference 2003 Conference Proceedings AMC XIX 2004 MaterialsResearch Society p713-722

多孔質低誘電率(p−lowk)膜上にALD法を用いてバリアメタル成膜を行った場合、下地膜内へバリアメタルに用いたメタルの拡散が発生する。たとえば、上述したTaClおよびNHを原料に使用して、TaNのALD成膜を行う際、下地にp−lowk材料として多孔質のポーラスメチルシルセスキオキサン(p−MSQ)を使用した場合は、p−MSQ内へのTaメタルの拡散が起こる。このため、出来上がったバリメタルとしてのTaNのバリア性が減少してしまう。 When the barrier metal film is formed on the porous low dielectric constant (p-lowk) film by using the ALD method, the metal used for the barrier metal is diffused into the base film. For example, when performing TaD ALD film formation using TaCl 5 and NH 3 described above as raw materials, porous porous methylsilsesquioxane (p-MSQ) is used as the p-lowk material for the underlayer Causes diffusion of Ta metal into the p-MSQ. For this reason, the barrier property of TaN as a finished varimetal is reduced.

本発明は、上述した問題点を克服し、ポーラス状に形成される多孔質低誘電率(p−lowk)膜内へのバリアメタルに用いたメタルの拡散を抑制することを目的とする。   An object of the present invention is to overcome the above-described problems and to suppress diffusion of a metal used as a barrier metal into a porous low dielectric constant (p-lowk) film formed in a porous shape.

本発明の半導体装置の製造方法は、
複数の空孔が形成され、表面側に形成される空孔が内部の空孔へ連結し内部へと開口する多孔質膜を基体上に形成する多孔質膜形成工程と、
前記多孔質膜表面側に形成される空孔が前記多孔質膜内部側の空孔へ連結する連結位置における開口サイズより大きい第1の分子を前記多孔質膜表面に吸着させる第1の吸着工程と、
前記第1の分子と反応する第1の反応種を供給し、前記多孔質膜表面に第1の反応生成膜を形成する第1の反応生成膜形成工程と、
前記第1の反応生成膜表面に前記開口サイズより小さい第2の分子を吸着させる第2の吸着工程と、
前記第2の分子と反応する第2の反応種を供給し、前記第1の反応生成膜表面に第2の反応生成膜を形成する第2の反応生成膜形成工程と、
を備えたことを特徴とする。
A method for manufacturing a semiconductor device of the present invention includes:
A porous film forming step in which a plurality of pores are formed, and the pores formed on the surface side are connected to the internal pores to form a porous film that opens to the inside on the substrate;
A first adsorption step for adsorbing, on the porous membrane surface, first molecules larger than the opening size at a connection position where the pores formed on the porous membrane surface side are connected to the pores on the porous membrane inner side. When,
Supplying a first reactive species that reacts with the first molecule, and forming a first reaction product film on the porous film surface;
A second adsorption step for adsorbing a second molecule smaller than the opening size on the surface of the first reaction product film;
Supplying a second reactive species that reacts with the second molecule, and forming a second reaction product film on the surface of the first reaction product film;
It is provided with.

後述するように、p−lowk膜内へのバリアメタルに用いたメタルの拡散は、メタル原料が所定の大きさの分子となってp−lowk膜表面に吸着する際、かかる分子の大きさが、前記p−lowk膜表面側に形成される空孔が前記p−lowk膜内部側の空孔へと連結する連結位置における開口サイズより小さいためにp−lowk膜内部へと拡散してしまう。そこで、前記開口サイズより小さい第2の分子を用いて第2の反応生成膜を形成する場合に、まず、前記開口サイズより大きい第1の分子を前記多孔質膜表面に吸着させることにより、第1の分子で連結位置での開口面を塞いだ状態を形成し、第1の反応生成膜を形成する。その後に、前記開口サイズより小さい第2の分子を前記第1の反応生成膜表面に吸着させることで、所望する膜厚に第2の反応生成膜を形成することができる。   As will be described later, the diffusion of the metal used for the barrier metal into the p-lowk film is such that when the metal raw material is adsorbed on the surface of the p-lowk film as a molecule of a predetermined size, Since the holes formed on the surface side of the p-lowk film are smaller than the opening size at the connecting position where the holes are connected to the holes inside the p-lowk film, the holes diffuse into the p-lowk film. Therefore, when the second reaction product film is formed using the second molecules smaller than the opening size, first, the first molecules larger than the opening size are adsorbed on the surface of the porous film. A state in which the opening surface at the connection position is closed by one molecule is formed, and a first reaction product film is formed. Then, the second reaction product film can be formed in a desired film thickness by adsorbing second molecules smaller than the opening size on the surface of the first reaction product film.

ここで、前記第1の吸着工程において、金属有機化合物を前記第1の分子の原料として供給し、
前記第2の吸着工程において、金属無機化合物を前記第2の分子の原料として供給することを特徴とする。
Here, in the first adsorption step, a metal organic compound is supplied as a raw material for the first molecule,
In the second adsorption step, a metal inorganic compound is supplied as a raw material for the second molecule.

分子サイズが小さく拡散し易い金属無機化合物を原料として第2の反応生成膜を形成する場合でも、まず、分子サイズが大きい金属有機化合物を原料として供給し、前記第1の分子を前記多孔質膜表面に吸着させることにより、多孔質膜における前記開口面を塞いだ状態を形成することができる。   Even when the second reaction product film is formed using a metal inorganic compound having a small molecular size and easily diffusing as a raw material, first, a metal organic compound having a large molecular size is supplied as a raw material, and the first molecule is supplied to the porous film. By adsorbing to the surface, it is possible to form a state in which the opening surface of the porous film is closed.

或いは、前記第1の吸着工程において、前記第2の吸着工程に用いる原料と同種の原料を前記第1の分子の原料として供給し、前記第2の吸着工程における基体温度よりも低い基体温度で前記第1の分子を吸着させることも有効である。   Alternatively, in the first adsorption step, a raw material of the same type as that used in the second adsorption step is supplied as the raw material of the first molecule, and the substrate temperature is lower than the substrate temperature in the second adsorption step. It is also effective to adsorb the first molecule.

同種の原料を用いる場合でも、まず、前記第2の吸着工程における基体温度よりも低い基体温度で前記第1の分子を吸着させることで、前記第1の分子サイズが、第2の分子サイズより大きい状態で前記多孔質膜表面に吸着させることができる。   Even when the same kind of raw material is used, first, the first molecule size is made larger than the second molecule size by adsorbing the first molecule at a substrate temperature lower than the substrate temperature in the second adsorption step. It can be adsorbed on the surface of the porous membrane in a large state.

ここで、前記多孔質膜として、比誘電率2.5以下の低誘電率膜を用いる場合に特に有効である。   Here, it is particularly effective when a low dielectric constant film having a relative dielectric constant of 2.5 or less is used as the porous film.

前記開口サイズが、0.6nm以下の多孔質膜に対して、前記第1の吸着工程において、ペンタジメチルタンタル(Ta[N(CH)を前記第1の分子の原料として用いることを特徴とする。 For the porous film having an opening size of 0.6 nm or less, pentadimethyltantalum (Ta [N (CH 3 ) 2 ] 5 ) is used as a raw material for the first molecule in the first adsorption step. It is characterized by that.

Ta[N(CHを前記第1の分子の原料として用いることにより、多孔質膜表面に吸着する分子サイズが、0.6nm以上とすることができ、0.6nm以下の開口サイズの多孔質膜に対してTaの内部拡散を抑制することができる。 By using Ta [N (CH 3 ) 2 ] 5 as a raw material for the first molecule, the molecular size adsorbed on the surface of the porous film can be 0.6 nm or more, and the opening is 0.6 nm or less. The internal diffusion of Ta can be suppressed with respect to the size porous film.

前記開口サイズが、0.7nm以下の多孔質膜に対して、前記第1の吸着工程において、ペンタジエチルタンタル(Ta[N(C)を前記第1の分子の原料として用いることを特徴とする。 In the first adsorption step, pentadiethyl tantalum (Ta [N (C 2 H 5 ) 2 ] 5 ) is used as the raw material for the first molecule for the porous film having an opening size of 0.7 nm or less. It is used as.

Ta[N(Cを前記第1の分子の原料として用いることにより、多孔質膜表面に吸着する分子サイズが、0.7nm以上とすることができ、0.7nm以下の開口サイズの多孔質膜に対してTaの内部拡散を抑制することができる。 By using Ta [N (C 2 H 5 ) 2 ] 5 as a raw material for the first molecule, the molecular size adsorbed on the porous film surface can be 0.7 nm or more, and 0.7 nm or less. It is possible to suppress internal diffusion of Ta with respect to a porous film having an opening size of.

前記開口サイズが、0.3nm以下の多孔質膜に対して、前記第1と第2の吸着工程において、塩化タンタル(TaCl)を前記第1と第2の分子の原料として用いることを特徴とする。 Tantalum chloride (TaCl 5 ) is used as a raw material for the first and second molecules in the first and second adsorption steps for the porous film having an opening size of 0.3 nm or less. And

TaClを前記第1と第2の分子の原料として用いる場合に、前記第1の吸着工程において、第2の吸着工程より低い基体温度で前記第1の分子を吸着させることで、多孔質膜表面に吸着する分子サイズを0.3nm以上とすることができ、0.3nm以下の開口サイズの多孔質膜に対してTaの内部拡散を抑制することができる。 When TaCl 5 is used as a raw material for the first and second molecules, in the first adsorption step, the first molecule is adsorbed at a lower substrate temperature than in the second adsorption step. The molecular size adsorbed on the surface can be 0.3 nm or more, and internal diffusion of Ta can be suppressed with respect to a porous film having an opening size of 0.3 nm or less.

本発明の半導体装置の製造方法は、
基体上に、多孔質膜を形成する多孔質膜形成工程と、
基体温度を250℃より低い温度に制御し、前記多孔質膜が形成された基体上に、ペンタジメチルタンタル(Ta[N(CH)を供給するペンタジメチルタンタル供給工程と、
Ta[N(CH供給後に、Ta[N(CHと反応する反応種を供給する反応種供給工程と、
を備えたことを特徴とする。
A method for manufacturing a semiconductor device of the present invention includes:
A porous film forming step of forming a porous film on the substrate;
A pentadimethyl tantalum supply step of controlling the substrate temperature to a temperature lower than 250 ° C. and supplying pentadimethyl tantalum (Ta [N (CH 3 ) 2 ] 5 ) onto the substrate on which the porous film is formed;
A reactive species supplying step of supplying reactive species that react with Ta [N (CH 3 ) 2 ] 5 after supplying Ta [N (CH 3 ) 2 ] 5 ;
It is provided with.

通常の成膜温度よりも低い温度である250℃より低い温度でTa[N(CHを供給することにより、多孔質膜に吸着する分子サイズをさらに大きくすることができ、多孔質膜に対してTaの内部拡散を抑制することができる。その上で、反応種と反応させてTa系の膜を多孔質膜上に成膜することができる。 By supplying Ta [N (CH 3 ) 2 ] 5 at a temperature lower than the normal film formation temperature, which is lower than 250 ° C., the molecular size adsorbed on the porous film can be further increased. It is possible to suppress internal diffusion of Ta with respect to the material film. Then, a Ta-based film can be formed on the porous film by reacting with the reactive species.

本発明の半導体装置の製造方法は、
基体上に、多孔質膜を形成する多孔質膜形成工程と、
基体温度を250℃より低い温度に制御し、前記多孔質膜が形成された基体上に、ペンタジエチルタンタル(Ta[N(C)を供給するペンタジエチルタンタル供給工程と、
Ta[N(C供給後に、Ta[N(Cと反応する反応種を供給する反応種供給工程と、
を備えたことを特徴とする。
A method for manufacturing a semiconductor device of the present invention includes:
A porous film forming step of forming a porous film on the substrate;
A pentadiethyl tantalum supply step of controlling the substrate temperature to a temperature lower than 250 ° C. and supplying pentadiethyl tantalum (Ta [N (C 2 H 5 ) 2 ] 5 ) onto the substrate on which the porous film is formed; ,
A reactive species supplying step of supplying reactive species that react with Ta [N (C 2 H 5 ) 2 ] 5 after supplying Ta [N (C 2 H 5 ) 2 ] 5 ;
It is provided with.

通常の成膜温度よりも低い温度である250℃より低い温度でTa[N(Cを供給することにより、多孔質膜に吸着する分子サイズをさらに大きくすることができ、多孔質膜に対してTaの内部拡散を抑制することができる。その上で、反応種と反応させてTa系の膜を多孔質膜上に成膜することができる。 By supplying the Ta [N (C 2 H 5 ) 2] 5 at a temperature lower than 250 ° C. at a temperature lower than the normal deposition temperature, it is possible to further increase the molecular size to adsorb to the porous membrane In addition, it is possible to suppress internal diffusion of Ta with respect to the porous film. Then, a Ta-based film can be formed on the porous film by reacting with the reactive species.

本発明の半導体装置の製造方法は、
基体上に、多孔質膜を形成する多孔質膜形成工程と、
基体温度を300℃より低い温度に制御し、前記多孔質膜が形成された基体上に、塩化タンタル(TaCl)を供給する塩化タンタル供給工程と、
TaCl供給後に、TaClと反応する反応種を供給する反応種供給工程と、
を備えたことを特徴とする。
A method for manufacturing a semiconductor device of the present invention includes:
A porous film forming step of forming a porous film on the substrate;
A tantalum chloride supply step of controlling the substrate temperature to be lower than 300 ° C. and supplying tantalum chloride (TaCl 5 ) onto the substrate on which the porous film is formed;
TaCl 5 after the supply to a reaction species supply step of supplying a reactive species that reacts with TaCl 5,
It is provided with.

通常の成膜温度よりも低い温度である300℃より低い温度でTaClを供給することにより、多孔質膜に吸着する分子サイズをさらに大きくすることができ、多孔質膜に対してTaの内部拡散を抑制することができる。その上で、反応種と反応させてTa系の膜を多孔質膜上に成膜することができる。 By supplying TaCl 5 at a temperature lower than 300 ° C., which is a temperature lower than the normal film formation temperature, the molecular size adsorbed on the porous film can be further increased. Diffusion can be suppressed. Then, a Ta-based film can be formed on the porous film by reacting with the reactive species.

本発明によれば、当初の吸着分子で連結位置での開口面を塞いだ状態を形成するため、多孔質膜に対しての内部拡散を抑制することができる。また、前記開口サイズに合わせて原料を選択することで、多孔質膜の性質に合わせて幅広く対応することができる。また、前記開口サイズに合わせて、多孔質膜に吸着する分子サイズを制御することができる。多孔質膜に吸着する分子サイズを制御することができるので、さらに、多孔質膜の性質に合わせて幅広く対応することができる。   According to the present invention, the state in which the opening surface at the coupling position is closed with the initially adsorbed molecules is formed, so that internal diffusion to the porous film can be suppressed. In addition, by selecting a raw material in accordance with the opening size, it is possible to respond widely according to the properties of the porous membrane. The molecular size adsorbed on the porous membrane can be controlled in accordance with the opening size. Since the molecular size adsorbed on the porous membrane can be controlled, it is possible to cope with a wide range according to the properties of the porous membrane.

実施の形態1.
実施の形態1では、Ta[N(Cをメタル原料に用いて、多孔質低誘電率膜としてのp−MSQ上にバリアメタル膜としてTaN膜をALD成膜する場合を説明する。
図1は、実施の形態1における半導体装置の製造方法の要部を表すフローチャートである。
図1において、本実施の形態では、基体上に多孔質の低誘電率絶縁性材料を用いた多孔質低誘電率(p−lowk)膜を形成するp−lowk膜形成工程(S102)と、開口部を形成する開口部形成工程(S104)と、第1のバリアメタル膜形成工程として、Ta[N(Cを供給するTa[N(C供給工程(S106)、水素(H)供給工程(S108)、NH供給工程(S110)、H供給工程(S112)と、第2のバリアメタル膜形成工程として、TaClを供給するTaCl供給工程(S114)、H供給工程(S116)、NH供給工程(S118)、H供給工程(S120)という一連の工程を実施する。
第1のバリアメタル膜形成工程は、Ta[N(C供給工程(S106)、水素(H)供給工程(S108)、NH供給工程(S110)、H供給工程(S112)を1サイクルとして繰り返す。第2のバリアメタル膜形成工程は、TaCl供給工程(S114)、H供給工程(S116)、NH供給工程(S118)、H供給工程(S120)を1サイクルとして繰り返す。そして、所望するTaN膜を形成した後、導電性材料である銅(Cu)を物理的気相成長(PVD)法及びめっき法により堆積させ、Cu配線を形成する。
Embodiment 1 FIG.
In the first embodiment, Ta [N (C 2 H 5 ) 2 ] 5 is used as a metal raw material, and a TaN film as an barrier metal film is ALD formed on a p-MSQ as a porous low dielectric constant film. Will be explained.
FIG. 1 is a flowchart showing the main part of the semiconductor device manufacturing method according to the first embodiment.
In FIG. 1, in the present embodiment, a p-lowk film forming step (S102) for forming a porous low dielectric constant (p-lowk) film using a porous low dielectric constant insulating material on a substrate, an opening forming step of forming an opening (S104), as the first barrier metal film forming step, Ta [N (C 2 H 5) 2] 5 for supplying Ta [N (C 2 H 5 ) 2] 5 supply step (S106), hydrogen (H 2 ) supply step (S108), NH 3 supply step (S110), H 2 supply step (S112), and TaCl 5 is supplied as the second barrier metal film forming step. A series of steps of a TaCl 5 supply step (S114), an H 2 supply step (S116), an NH 3 supply step (S118), and an H 2 supply step (S120) are performed.
The first barrier metal film forming step includes a Ta [N (C 2 H 5 ) 2 ] 5 supply step (S106), a hydrogen (H 2 ) supply step (S108), an NH 3 supply step (S110), and an H 2 supply. The step (S112) is repeated as one cycle. In the second barrier metal film forming step, the TaCl 5 supply step (S114), the H 2 supply step (S116), the NH 3 supply step (S118), and the H 2 supply step (S120) are repeated as one cycle. Then, after forming a desired TaN film, copper (Cu) as a conductive material is deposited by a physical vapor deposition (PVD) method and a plating method to form a Cu wiring.

図2は、多孔質低誘電率膜上に吸着する分子の様子を説明するための図である。
多孔質低誘電率(p−lowk)膜には、多くの空孔が形成されている。そして、これら複数の空孔が連結し合い、内部から表面まで延びるポーラスを形成する。これら複数の空孔が連結する連結位置(空孔連結部分)では、一般に空孔サイズよりも小さな開口面が形成されるが、図2(a)に示すように、後述するALD法によるバリアメタル膜形成の際、供給されるメタル原料ガスが分子(Ta−R2)となってp−lowk膜に吸着する。この時、かかる分子のサイズが、連結位置での開口面の開口サイズ(空孔連結部分サイズ)より小さいと、p−lowk膜内部へと拡散してしまう。かかる分子には、Taが結合されているためTaの拡散が生じる。一方、図2(b)に示すように、後述するALD法によるバリアメタル膜形成の際、供給されるメタル原料ガスが分子(Ta−R1)となってp−lowk膜に吸着する。この時、かかる分子のサイズが、連結位置での開口面の開口サイズより大きいと、開口面を塞ぎ、これ以上p−lowk膜内部へと拡散しない。本実施の形態では、開口サイズより大きい分子(Ta−R1)を用いて、ALD成膜すればよいことがわかる。
FIG. 2 is a diagram for explaining a state of molecules adsorbed on the porous low dielectric constant film.
Many pores are formed in the porous low dielectric constant (p-lowk) film. These holes are connected to each other to form a porous extending from the inside to the surface. At the connecting position (hole connecting portion) where the plurality of holes are connected, an opening surface smaller than the hole size is generally formed. However, as shown in FIG. During film formation, the supplied metal source gas becomes molecules (Ta-R2) and is adsorbed on the p-lowk film. At this time, if the size of the molecule is smaller than the opening size of the opening surface at the connecting position (hole connecting portion size), the molecules diffuse into the p-lowk film. Since Ta is bonded to such molecules, Ta diffusion occurs. On the other hand, as shown in FIG. 2B, when a barrier metal film is formed by the ALD method to be described later, the supplied metal source gas becomes molecules (Ta-R1) and is adsorbed on the p-lowk film. At this time, if the size of the molecule is larger than the opening size of the opening surface at the connection position, the opening surface is blocked and no further diffusion into the p-lowk film occurs. In this embodiment mode, it can be seen that an ALD film may be formed using molecules (Ta-R1) larger than the opening size.

図3は、実施の形態1における半導体装置の製造方法の工程を表す工程断面図である。
図3では、図1において図示していないSiC膜形成工程(S102)から図1の開口部形成工程(S104)までを示している。それ以降の工程は後述する。
FIG. 3 is a process cross-sectional view illustrating a process of the semiconductor device manufacturing method according to the first embodiment.
FIG. 3 shows from the SiC film formation step (S102) not shown in FIG. 1 to the opening formation step (S104) in FIG. Subsequent steps will be described later.

まず、基体上に、図1においてポーラスlow−k膜形成工程(S102)以外について図示していない層間絶縁膜を形成する工程と図1の開口部形成工程(S104)とについて説明する。
図3(a)において、SiC膜形成工程として、基体200の上に、CVD法によって、SiCを用いた膜厚50nmの下地炭化シリコン(SiC)膜を堆積し、SiC膜212を形成する。ここでは、化学気相成長(CVD法)によって成膜しているが、その他の方法を用いても構わない。SiC膜212は、拡散防止膜としての機能を有する。また、SiC膜212は、エッチングストッパとしての機能も有する。SiC膜を生成するのは難しいためSiC膜の代わりに炭酸化シリコン(SiOC)膜を用いても構わない。或いは、炭窒化シリコン(SiCN)膜、窒化シリコン(SiN)膜を用いることができる。基体200として、例えば、直径300ミリのシリコンウェハ等の基板を用いる。基体200には、金属配線またはコンタクトプラグ等、デバイス部分が形成されていても構わない。或いは、その他の層が形成されていても構わない。
First, the step of forming an interlayer insulating film (not shown) other than the porous low-k film forming step (S102) in FIG. 1 on the substrate and the opening forming step (S104) of FIG. 1 will be described.
In FIG. 3A, as a SiC film forming step, a base silicon carbide (SiC) film having a thickness of 50 nm using SiC is deposited on the substrate 200 by a CVD method to form a SiC film 212. Here, the film is formed by chemical vapor deposition (CVD method), but other methods may be used. The SiC film 212 has a function as a diffusion prevention film. The SiC film 212 also has a function as an etching stopper. Since it is difficult to generate the SiC film, a silicon carbonate (SiOC) film may be used instead of the SiC film. Alternatively, a silicon carbonitride (SiCN) film or a silicon nitride (SiN) film can be used. As the substrate 200, for example, a substrate such as a silicon wafer having a diameter of 300 mm is used. A device portion such as a metal wiring or a contact plug may be formed on the base body 200. Alternatively, other layers may be formed.

図3(b)において、p−lowk膜形成工程として、基体200の上に形成された前記SiC絶縁膜形成工程により形成されたSiC膜212の上に多孔質の絶縁性材料を用いた低誘電率絶縁膜となるp−lowk膜220を300nmの厚さで形成する。半導体装置として用いるには、150nm〜300nm程度がより望ましいが、これに限るものではなく、100nm〜1000nm程度であってもよい。ここで、p−lowk膜220を形成することで、比誘電率の低い層間絶縁膜を得ることができる。p−lowk膜220の材料としては、例えば、多孔質のポーラスメチルシルセスキオキサン(methyl silsequioxane:MSQ)を用いることができる。また、その形成方法としては、例えば、溶液をスピンコートし熱処理して薄膜を形成するSOD(spin on dielectic coating)法を用いることができる。ここでは、スピナーの回転数は900min−1(900rpm)で成膜した。このウェハをホットプレート上で窒素雰囲気中250℃の温度でベークを行い、最終的にホットプレート上で窒素雰囲気中450℃の温度で10分間のキュアを行った。ポーラスMSQ(p−MSQ)の材料や形成条件などを適宜調節することにより、所定の物性値を有する多孔質の絶縁膜が得られる。空孔連結部分における空孔連結部分サイズ(開口サイズ)も、同種の原料及び製法により再現性良く形成することができる。例えば、ここでは、空孔連結部分サイズが0.6nmのp−MSQを形成する。
前述のp−MSQ膜の組成としては、珪素の濃度は20%から40%、炭素の濃度は10%から30%、酸素の濃度は40%から60%が望ましい。また、低誘電率絶縁膜は、比誘電率kが2.6以下のp−lowk膜が望ましい。例えば、p−MSQの他、ポーラスHSQ(Hydrogen Silsesquioxane)膜、多孔質の芳香族ポリマー膜等、その他の多孔質低誘電率膜であっても構わない。これらは、半導体装置の微細化にとって望ましい。また、p−lowk膜は、CVD法により形成してもよい。
In FIG. 3B, as a p-lowk film forming step, a low dielectric using a porous insulating material on the SiC film 212 formed by the SiC insulating film forming step formed on the substrate 200. A p-lowk film 220 to be a rate insulating film is formed with a thickness of 300 nm. For use as a semiconductor device, about 150 nm to 300 nm is more desirable, but the present invention is not limited to this and may be about 100 nm to 1000 nm. Here, by forming the p-lowk film 220, an interlayer insulating film having a low relative dielectric constant can be obtained. As a material of the p-lowk film 220, for example, porous porous methylsilsesquioxane (MSQ) can be used. As the formation method, for example, an SOD (spin on selective coating) method in which a thin film is formed by spin-coating a solution and performing heat treatment can be used. Here, the spinner was formed at a rotation speed of 900 min −1 (900 rpm). This wafer was baked on a hot plate at a temperature of 250 ° C. in a nitrogen atmosphere, and finally cured on a hot plate at a temperature of 450 ° C. in a nitrogen atmosphere for 10 minutes. A porous insulating film having predetermined physical properties can be obtained by appropriately adjusting the material and forming conditions of porous MSQ (p-MSQ). The hole connecting part size (opening size) in the hole connecting part can also be formed with good reproducibility by using the same kind of raw material and manufacturing method. For example, here, a p-MSQ having a hole connecting portion size of 0.6 nm is formed.
As for the composition of the aforementioned p-MSQ film, the silicon concentration is preferably 20% to 40%, the carbon concentration is 10% to 30%, and the oxygen concentration is 40% to 60%. The low dielectric constant insulating film is preferably a p-lowk film having a relative dielectric constant k of 2.6 or less. For example, in addition to p-MSQ, other porous low dielectric constant films such as a porous HSQ (Hydrogen Silsesquioxane) film and a porous aromatic polymer film may be used. These are desirable for miniaturization of semiconductor devices. The p-lowk film may be formed by a CVD method.

そして、Heプラズマ処理工程として、このp−lowk膜220表面をCVD装置内でヘリウム(He)プラズマ照射によって表面改質する。Heプラズマ照射によって表面が改質されることで、p−lowk膜220とp−lowk膜220上に形成する後述するキャップ絶縁膜としてのCVD−SiO膜222との接着性を改善することができる。ガス流量は1.7Pa・m/s(1000sccm)、ガス圧力は1000Pa、高周波パワーは500W、低周波パワーは400W、温度は400℃とした。キャップCVD膜をp−lowk膜上に成膜する際は、p−lowk膜表面にプラズマ処理を施すことがキャップCVD膜との接着性を改善する上で有効である。プラズマガスの種類としてはアンモニア(NH)、亜酸化窒素(NO)、水素(H)、He、酸素(O)、シラン(SiH)、アルゴン(Ar)、窒素(N)などがあり、これらの中でもHeプラズマはp−lowk膜へのダメージが少ないために特に有効である。また、プラズマガスはこれらのガスを混合したものでも良い。例えば、Heガスは他のガスと混合して用いると効果的である。 Then, as a He plasma treatment step, the surface of the p-lowk film 220 is surface-modified by helium (He) plasma irradiation in a CVD apparatus. By modifying the surface by He plasma irradiation, the adhesion between the p-lowk film 220 and a CVD-SiO 2 film 222 as a cap insulating film to be described later formed on the p-lowk film 220 can be improved. it can. The gas flow rate was 1.7 Pa · m 3 / s (1000 sccm), the gas pressure was 1000 Pa, the high frequency power was 500 W, the low frequency power was 400 W, and the temperature was 400 ° C. When the cap CVD film is formed on the p-lowk film, it is effective to improve the adhesion with the cap CVD film by performing plasma treatment on the surface of the p-lowk film. As types of plasma gas, ammonia (NH 3 ), nitrous oxide (N 2 O), hydrogen (H 2 ), He, oxygen (O 2 ), silane (SiH 4 ), argon (Ar), nitrogen (N 2 ) Among these, He plasma is particularly effective because it causes little damage to the p-lowk film. The plasma gas may be a mixture of these gases. For example, it is effective to use He gas mixed with other gases.

図3(c)において、キャップ絶縁膜形成工程の一例であるSiO膜形成工程として、前記Heプラズマ処理を行った後、キャップ絶縁膜として、CVD法によってp−lowk膜220上にSiOを膜厚50nm堆積することで、前記p−lowk膜220上にp−lowk膜220を覆うSiO膜222を形成する。SiO膜222を形成することで、直接リソグラフィを行うことができないp−lowk膜220を保護し、p−lowk膜220にパターンを形成することができる。かかるCVD法によるキャップ絶縁膜であるキャップCVD膜は、SiO膜、SiC膜、SiOC膜、SiCN膜などがあるが、ダメージ低減の観点からはSiO膜が優れ、低誘電率化の観点からはSiOC膜が、耐圧向上の観点からはSiC膜やSiCN膜が優れている。さらに、SiO膜とSiC膜の積層膜、もしくはSiO膜とSiCO膜の積層膜、もしくはSiO膜とSiCN膜の積層膜を用いることができる。さらにキャップCVD膜の一部、もしくは全てが後述する導電性材料研磨工程においてCMPにより除去されても良い。キャップ膜を除去することで誘電率をさらに低減することができる。キャップ絶縁膜の厚さとしては10nmから150nmが良く、10nmから50nmが実効的な比誘電率を低減する上で効果的である。なお、ここでは、キャップ絶縁膜としてCVD法によるCVD膜を用いているが、SOD膜であっても構わない。 In FIG. 3 (c), as the SiO 2 film forming step is an example of the cap insulating film forming step, after the He plasma treatment, as a cap insulating film, a SiO 2 on p-low k film 220 by the CVD method By depositing the film to a thickness of 50 nm, an SiO 2 film 222 covering the p-lowk film 220 is formed on the p-lowk film 220. By forming the SiO 2 film 222, it is possible to protect the p-lowk film 220 that cannot be directly lithography, and to form a pattern in the p-lowk film 220. Cap CVD films, which are cap insulating films by CVD, include SiO 2 films, SiC films, SiOC films, SiCN films, etc., but from the viewpoint of reducing damage, SiO 2 films are excellent and from the viewpoint of lowering the dielectric constant. The SiOC film is superior to the SiC film or the SiCN film from the viewpoint of improving the breakdown voltage. Furthermore, a laminated film of SiO 2 film and SiC film, a laminated film of SiO 2 film and SiCO film, or a laminated film of SiO 2 film and SiCN film can be used. Furthermore, a part or all of the cap CVD film may be removed by CMP in a conductive material polishing step described later. The dielectric constant can be further reduced by removing the cap film. The thickness of the cap insulating film is preferably 10 nm to 150 nm, and 10 nm to 50 nm is effective in reducing the effective dielectric constant. Here, a CVD film by a CVD method is used as the cap insulating film, but an SOD film may be used.

図3(d)において、開口部形成工程として、リソグラフィ工程とドライエッチング工程でダマシン配線を作製するための配線溝構造である開口部150をSiO膜222とp−lowk膜220と下地SiC膜212内に形成する。図示していないレジスト塗布工程、露光工程等のリソグラフィ工程を経てSiO膜222の上にレジスト膜が形成された基体200に対し、露出したSiO膜222とその下層に位置するp−lowk膜220を、下地SiC膜212をエッチングストッパとして異方性エッチング法により除去し、その後、下地SiC膜212をエッチングして開口部150を形成すればよい。異方性エッチング法を用いることで、基体200の表面に対し、略垂直に開口部150を形成することができる。例えば、一例として、反応性イオンエッチング法により開口部150を形成すればよい。 In FIG. 3D, as the opening forming process, the opening 150 which is a wiring groove structure for producing a damascene wiring by a lithography process and a dry etching process is formed by using an SiO 2 film 222, a p-lowk film 220, and a base SiC film. 212. An exposed SiO 2 film 222 and a p-lowk film positioned below the exposed SiO 2 film 222 with respect to the substrate 200 on which the resist film is formed on the SiO 2 film 222 through a lithography process such as a resist coating process and an exposure process (not shown). 220 may be removed by anisotropic etching using the underlying SiC film 212 as an etching stopper, and then the underlying SiC film 212 may be etched to form the opening 150. By using the anisotropic etching method, the opening 150 can be formed substantially perpendicular to the surface of the substrate 200. For example, as an example, the opening 150 may be formed by a reactive ion etching method.

図4は、実施の形態1における半導体装置の製造方法の工程を表す工程断面図である。
図4では、図1のTa[N(C供給工程(S106)から図1において図示していない平坦化工程までを示している。
FIG. 4 is a process sectional view showing a process of the method for manufacturing the semiconductor device in the first embodiment.
FIG. 4 shows from the Ta [N (C 2 H 5 ) 2 ] 5 supply step (S106) in FIG. 1 to the planarization step not shown in FIG.

図4(a)において、バリアメタル膜形成工程として、開口部内の表面とSiO膜222表面とにALD法によりバリアメタル材料を用いたバリアメタル膜240を形成する。 In FIG. 4A, as a barrier metal film forming step, a barrier metal film 240 using a barrier metal material is formed on the surface in the opening and the surface of the SiO 2 film 222 by the ALD method.

ここでは、バリアメタル膜として、窒化タンタル(TaN)膜を成膜する。まず、第1のバリアメタル膜成膜のためのメタル原料として、ペンタジエチルタンタル(Ta[N(C)を用い、メタル原料と反応する反応種の一例である、前記メタル原料の還元ガスとして、アンモニア(NH)を用い、パージガスとして、水素(H)を用いる。パージガスとして、Hを用いることで、次の反応性を高めることができる。さらに、Hは純度を高めることができるので、高純度な成膜に適している。
図5は、TaN膜形成工程における各ガスの供給フローを示す図である。
Ta[N(C供給工程として、Ta[N(Cを1s供給する。その後、H供給工程として、Hを1s供給してパージする。そして、NH供給工程として、NHを1s供給する。そしてH供給工程として、Hを1s供給してパージする。かかる工程を1サイクルとして、成膜温度300℃にて、10サイクルの供給を行なう。
Here, a tantalum nitride (TaN) film is formed as the barrier metal film. First, pentadiethyl tantalum (Ta [N (C 2 H 5 ) 2 ] 5 ) is used as a metal raw material for forming the first barrier metal film, which is an example of a reactive species that reacts with the metal raw material, Ammonia (NH 3 ) is used as the reducing gas for the metal raw material, and hydrogen (H 2 ) is used as the purge gas. By using H 2 as the purge gas, the following reactivity can be enhanced. Furthermore, since H 2 can increase the purity, it is suitable for high-purity film formation.
FIG. 5 is a diagram showing a supply flow of each gas in the TaN film formation step.
As a Ta [N (C 2 H 5 ) 2 ] 5 supply step, Ta [N (C 2 H 5 ) 2 ] 5 is supplied for 1 s. Thereafter, as an H 2 supply step, H 2 is supplied for 1 s and purged. Then, the NH 3 supply process, the NH 3 1s supplies. Then, as the H 2 supply step, H 2 is supplied for 1 s and purged. This process is defined as one cycle, and 10 cycles are supplied at a film forming temperature of 300 ° C.

成膜温度300℃にて、金属有機化合物であるTa[N(Cを用いたことで、開口部内側面に露わになったp−lowk膜220表面に吸着する分子(図2におけるTa−R1)サイズを0.6nm以上とすることができる。 Molecules adsorbed on the surface of the p-lowk film 220 exposed on the inner side surface of the opening by using Ta [N (C 2 H 5 ) 2 ] 5 which is a metal organic compound at a film forming temperature of 300 ° C. (Ta-R1 in FIG. 2) The size can be 0.6 nm or more.

図6は、ALD装置の概要構成を示す図である。
図6において、チャンバ600の内部にて基体200上、さらに言えば、基板上に前工程までの処理が施された基体10を所定の温度に制御された基板ホルダ(ウェハステージ)610の上に設置する。そして、チャンバ600の内部に上部からガスを供給する。また、真空ポンプ630によりチャンバ600の内部が所定の圧力になるように真空引きされる。容器650に入った固体のTa[N((Cを50〜70℃に加熱して暖める。暖められ溶融したTa[N(C内にキャリアガスとしてHガスを供給することで、Hと共にガス化したTa[N(Cを一種のバブリング法によりチャンバ600に供給することができる。
FIG. 6 is a diagram showing a schematic configuration of the ALD apparatus.
In FIG. 6, the substrate 10 that has been processed up to the previous step on the substrate 200 inside the chamber 600 is placed on a substrate holder (wafer stage) 610 controlled to a predetermined temperature. Install. Then, gas is supplied into the chamber 600 from above. Further, the vacuum pump 630 is evacuated so that the inside of the chamber 600 becomes a predetermined pressure. Solid Ta [N ((C 2 H 5 ) 2 ] 5 contained in the container 650 is heated to 50 to 70 ° C. The carrier is contained in the heated and melted Ta [N (C 2 H 5 ) 2 ] 5 . By supplying H 2 gas as gas, Ta [N (C 2 H 5 ) 2 ] 5 gasified together with H 2 can be supplied to the chamber 600 by a kind of bubbling method.

ここでは、Ta[N(C、H、NHの各ガス量は、1.68Pa・m/s(1000sccm)、チャンバ600の内部の圧力を339Pa(3Torr)とした。ここで、ガス量は、Ta[N(Cについて、0.5Pa・m/s(300sccm)〜1.68Pa・m/s(1000sccm)が望ましい。NHについて、1.68Pa・m/s(1000sccm)〜3.36Pa・m/s(2000sccm)が望ましい。パージガスであるHについて、1.68Pa・m/s(1000sccm)〜3.36Pa・m/s(2000sccm)が望ましい。成膜圧力は、665Pa(5Torr)以下が望ましい。成膜温度は、250〜300℃が望ましい。 Here, the gas amounts of Ta [N (C 2 H 5 ) 2 ] 5 , H 2 , and NH 3 are 1.68 Pa · m 3 / s (1000 sccm), and the pressure inside the chamber 600 is 339 Pa (3 Torr). It was. Here, the amount of gas, Ta for [N (C 2 H 5) 2] 5, 0.5Pa · m 3 /s(300sccm)~1.68Pa · m 3 / s (1000sccm) is desirable. For NH 3, 1.68Pa · m 3 /s(1000sccm)~3.36Pa · m 3 / s (2000sccm) is desirable. For H 2 is purge, 1.68Pa · m 3 /s(1000sccm)~3.36Pa · m 3 / s (2000sccm) is desirable. The film forming pressure is desirably 665 Pa (5 Torr) or less. The film forming temperature is preferably 250 to 300 ° C.

また、メタル原料の還元ガスとして、ヒドラジン(HNNH)或いは、1−1ジメチルヒドラジンや1−2ジメチルヒドラジン等のヒドラジン化合物を用いても構わない。ヒドラジン或いはヒドラジン化合物を用いることによりNHより還元作用を強くすることができる。 Further, hydrazine (H 2 NNH 2 ) or a hydrazine compound such as 1-1 dimethyl hydrazine or 1-2 dimethyl hydrazine may be used as a reducing gas for the metal raw material. By using hydrazine or a hydrazine compound, the reducing action can be made stronger than NH 3 .

さらに、パージガスとして、アルゴン(Ar)や窒素(N)やHeを用いても構わない。Arを用いることで、安価でかつ扱い易くすることができる。 Furthermore, argon (Ar), nitrogen (N 2 ), or He may be used as the purge gas. By using Ar, it can be made cheap and easy to handle.

次に、TaN膜形成工程における第2のバリアメタル膜成膜のためのメタル原料として、塩化タンタル(TaCl)を用い、メタル原料と反応する反応種の一例である、前記メタル原料の還元ガスとして、アンモニア(NH)を用い、パージガスとして、水素(H)を用いる。メタル原料として、TaClを用いることで、セルフリミッティング性能を向上させることができる。また、TaClを用いることで、有機原料と異なり炭素(C)等をTaN膜内部に残留させず、TaN膜を高純度化させることができる。装置は、図6と同様の構成を有する装置を用いればよい。同様に、容器650に入った固体のTaClを50〜100℃に加熱して暖める。暖められ溶融したTaCl内にキャリアガスとしてHガスを供給することで、Hと共にガス化したTaClを一種のバブリング法によりチャンバ600に供給することができる。
第2のバリアメタル膜成膜における各ガスの供給フローは、以下のようにした。
TaCl供給工程として、TaClを1s供給する。その後、H供給工程として、Hを1s供給してパージする。そして、NH供給工程として、NHを2s供給する。そしてH供給工程として、Hを1s供給してパージする。かかる工程を1サイクルとして、成膜温度350℃にて、30サイクルの供給を行なう。
Next, tantalum chloride (TaCl 5 ) is used as a metal raw material for forming the second barrier metal film in the TaN film forming step, and the reducing gas for the metal raw material is an example of a reactive species that reacts with the metal raw material. As the purge gas, ammonia (NH 3 ) is used, and hydrogen (H 2 ) is used as the purge gas. By using TaCl 5 as the metal raw material, the self-limiting performance can be improved. Further, by using TaCl 5 , unlike the organic material, carbon (C) or the like does not remain inside the TaN film, and the TaN film can be highly purified. A device having a configuration similar to that shown in FIG. 6 may be used. Similarly, the solid TaCl 5 contained in the container 650 is heated to 50 to 100 ° C. and warmed. By supplying H 2 gas as a carrier gas warmed melted TaCl 5, can be supplied to the chamber 600 of TaCl 5 gasified with H 2 by a kind of bubbling method.
The supply flow of each gas in the second barrier metal film formation was as follows.
As a TaCl 5 supply step, TaCl 5 is supplied for 1 s. Thereafter, as an H 2 supply step, H 2 is supplied for 1 s and purged. Then, the NH 3 supply process, the NH 3 2s supplies. Then, as the H 2 supply step, H 2 is supplied for 1 s and purged. This process is defined as one cycle, and 30 cycles are supplied at a film forming temperature of 350 ° C.

成膜温度350℃にて、金属無機化合物であるTaClを用いても分子(図2におけるTa−R2)サイズは0.6nmより小さいが、既に、第1のTaN膜で空孔が塞がっているため、Taがp−lowk膜220内部に拡散しにくくなっている。かかる状態で、さらに、第1のTaN膜上にTaN膜(第2のTaN膜)を形成し、所望する膜厚のTaN膜を形成することができる。ここでは、2nmの膜厚のTaN膜を形成した。 Even when TaCl 5 which is a metal inorganic compound is used at a film forming temperature of 350 ° C., the size of the molecule (Ta-R2 in FIG. 2) is smaller than 0.6 nm, but the pores are already blocked by the first TaN film. Therefore, it is difficult for Ta to diffuse into the p-lowk film 220. In this state, a TaN film (second TaN film) is further formed on the first TaN film, and a TaN film having a desired film thickness can be formed. Here, a TaN film having a thickness of 2 nm was formed.

ここでは、TaCl、H、NHの各ガス量は、1.68Pa・m/s(1000sccm)、チャンバ600の内部の圧力を339Pa(3Torr)とした。ここで、ガス量は、TaClについて、0.5Pa・m/s(300sccm)〜1.68Pa・m/s(1000sccm)が望ましい。NHについて、1.68Pa・m/s(1000sccm)〜3.36Pa・m/s(2000sccm)が望ましい。パージガスであるHについて、1.68Pa・m/s(1000sccm)〜3.36Pa・m/s(2000sccm)が望ましい。成膜圧力は、665Pa(5Torr)以下が望ましい。成膜温度は、300〜350℃が望ましい。 Here, the gas amounts of TaCl 5 , H 2 , and NH 3 were 1.68 Pa · m 3 / s (1000 sccm), and the pressure inside the chamber 600 was 339 Pa (3 Torr). Here, the amount of gas, the TaCl 5, 0.5Pa · m 3 /s(300sccm)~1.68Pa · m 3 / s (1000sccm) is desirable. For NH 3, 1.68Pa · m 3 /s(1000sccm)~3.36Pa · m 3 / s (2000sccm) is desirable. For H 2 is purge, 1.68Pa · m 3 /s(1000sccm)~3.36Pa · m 3 / s (2000sccm) is desirable. The film forming pressure is desirably 665 Pa (5 Torr) or less. The film forming temperature is desirably 300 to 350 ° C.

また、メタル原料の還元ガスとして、HNNH或いは、1−1ジメチルヒドラジンや1−2ジメチルヒドラジン等のヒドラジン化合物を用いても構わない点は同様である。さらに、パージガスとして、ArやNやHeを用いても構わない点も同様である。 Similarly, H 2 NNH 2 or a hydrazine compound such as 1-1 dimethylhydrazine or 1-2 dimethylhydrazine may be used as the reducing gas for the metal raw material. Further, the same is true in that Ar, N 2 or He may be used as the purge gas.

図7は、複数のチャンバを備えた装置の概要を説明するための概念図である。
図7において、装置500は、複数のチャンバ510,520,530を有している。カセット室550にウェハをセットし、搬送室540において、搬送ロボットが、各チャンバにウェハを搬送或いは搬出する。前記第1のバリアメタル膜成膜と前記第2のバリアメタル膜成膜とを真空搬送可能な同一装置内において行なうことでプロセスを安定化させることができる。また、外気にウェハを晒すことなく処理するため、パーティクルの付着を防止することができる。例えば、第1のバリアメタル膜成膜をチャンバ510にて行ない、第2のバリアメタル膜成膜をチャンバ520にて行なう。
FIG. 7 is a conceptual diagram for explaining an outline of an apparatus including a plurality of chambers.
In FIG. 7, the apparatus 500 has a plurality of chambers 510, 520, and 530. A wafer is set in the cassette chamber 550, and in the transfer chamber 540, a transfer robot transfers or unloads the wafer to each chamber. The process can be stabilized by performing the first barrier metal film formation and the second barrier metal film formation in the same apparatus capable of vacuum transfer. Further, since the processing is performed without exposing the wafer to the outside air, adhesion of particles can be prevented. For example, the first barrier metal film is formed in the chamber 510, and the second barrier metal film is formed in the chamber 520.

図8は、ALD装置の他の概要構成例を示す図である。
図6における装置では、チャンバ600上部から基体10の大きさに関わらず、また、ガスの進行方向に関わらずガスを供給しているが、図8に示すように、基体10と平行する平板となるシャワーヘッド620から基体10全面に向けて均一にガスを供給するように構成するとなお良い。その他の構成は、図6と同様であるので省略する。
FIG. 8 is a diagram illustrating another schematic configuration example of the ALD apparatus.
In the apparatus shown in FIG. 6, the gas is supplied from the upper part of the chamber 600 regardless of the size of the substrate 10 and regardless of the gas traveling direction. As shown in FIG. It is more preferable that the gas is uniformly supplied from the shower head 620 to the entire surface of the base 10. Other configurations are the same as those in FIG.

図4(b)において、シード膜形成工程として、スパッタ等のPVD法により、次の工程である電解めっき工程のカソード極となるCu薄膜をシード膜250としてバリアメタル膜240が形成された開口部150内壁及び基体200表面に堆積(形成)させる。ここでは、シード膜250を膜厚100nm堆積させた。   In FIG. 4B, an opening in which a barrier metal film 240 is formed by using a Cu thin film serving as a cathode electrode in a subsequent electrolytic plating process as a seed film 250 by a PVD method such as sputtering as a seed film forming process. The inner wall 150 and the surface of the substrate 200 are deposited (formed). Here, the seed film 250 is deposited to a thickness of 100 nm.

図4(c)において、めっき工程として、シード膜250をカソード極として、電解めっき等の電気化学成長によりCu膜260を開口部150及び基体200表面に堆積させる。ここでは、膜厚500nmのCu膜260を堆積させ、堆積させた後にアニール処理を250℃の温度で30分間行なう。   In FIG. 4C, as a plating process, a Cu film 260 is deposited on the surface of the opening 150 and the substrate 200 by electrochemical growth such as electrolytic plating using the seed film 250 as a cathode electrode. Here, a Cu film 260 having a thickness of 500 nm is deposited, and after the deposition, annealing is performed at a temperature of 250 ° C. for 30 minutes.

図4(d)において、平坦化工程として、CMP法によってSiO膜222の表面に堆積された導電部としての配線層となるCu膜260、シード膜250、及びバリアメタル膜240を研磨除去することにより、平坦化し、図4(d)に表したような下層配線となる埋め込み構造を形成する。 In FIG. 4D, as a planarization step, the Cu film 260, the seed film 250, and the barrier metal film 240, which become a wiring layer as a conductive portion deposited on the surface of the SiO 2 film 222 by CMP, are polished and removed. As a result, planarization is performed, and a buried structure to be a lower layer wiring as shown in FIG. 4D is formed.

以上のように、減圧CVD装置(ここでは、ALD装置)を用いて、p−MSQ(空孔連結部分サイズ:0.6nm)上に、Ta[N(CおよびNHを用い、成膜温度300℃にて、Ta[N(C(1s)→H(1s)→NH(1s)→H(1s)を1サイクルとして、10サイクルの供給を行い、その後、成膜温度を350℃にして、TaCl(1s)→H(1s)→NH(2s)→H(1s)を1サイクルとして、30サイクルの供給を行った結果、TEM(透過電子顕微鏡)観察の結果、2nmのTaNが形成されており、下地のポーラスMSQ内への拡散は観察されなかった。下地p−MSQの空孔連結部分サイズより大きいTa[N(Cを用いたALD−TaNの成膜を行うことでp−MSQ内への拡散を抑制することができる。さらに、セルフリミッティング効果が大きく高純度化が容易なTaClを使用することで、膜厚制御性が高く、高純度なALD−TaN膜を成膜することが可能となる。 As described above, Ta [N (C 2 H 5 ) 2 ] 5 and NH are formed on p-MSQ (hole connection portion size: 0.6 nm) using a low pressure CVD apparatus (here, ALD apparatus). 3 and at a film forming temperature of 300 ° C., Ta [N (C 2 H 5 ) 2 ] 5 (1 s) → H 2 (1 s) → NH 3 (1 s) → H 2 (1 s) as one cycle, Supply 10 cycles, then set the film-forming temperature to 350 ° C., and supply 30 cycles with TaCl 5 (1 s) → H 2 (1 s) → NH 3 (2 s) → H 2 (1 s) as one cycle. As a result of TEM observation, 2 nm of TaN was formed as a result of TEM (transmission electron microscope) observation, and no diffusion into the underlying porous MSQ was observed. Diffusion into the p-MSQ can be suppressed by forming an ALD-TaN film using Ta [N (C 2 H 5 ) 2 ] 5 which is larger than the hole connection portion size of the base p-MSQ. . Further, by using TaCl 5 which has a large self-limiting effect and can be easily purified, it is possible to form a highly purified ALD-TaN film with high film thickness controllability.

さらに言えば、複数の空孔が形成され、表面側に形成される空孔が内部の空孔へ連結し内部へと開口する多孔質膜に対し、第1の吸着工程の一例として、Ta[N(C供給工程において、前記多孔質膜表面側に形成される空孔が前記多孔質膜内部側の空孔へ連結する連結位置における開口サイズより大きい第1の分子(Ta−R1:R1は、[N(C)を前記多孔質膜表面に吸着させることにより、第1の分子により連結位置での開口面を塞いだ状態を形成する。そして、第1の反応生成膜形成工程の一例として、NH供給工程において、前記第1の分子と反応する第1の反応種の一例であるNHを供給し、前記多孔質膜表面に第1の反応生成膜である第1のTaN膜を形成する。第1の分子で連結位置での開口面を塞いだ状態を形成し、第1の反応生成膜である第1のTaN膜を形成することにより、Taメタルの多孔質膜への拡散を抑制することができる。さらに、第2の吸着工程として、TaCl供給工程において、前記第1のTaN膜表面に前記開口サイズより小さい第2の分子(Ta−R2:R2は、Cl)を吸着させ、第2の反応生成膜形成工程として、NH供給工程において、前記第2の分子と反応する第2の反応種の一例であるNHを供給し、前記第1のTaN膜表面に第2の反応生成膜である第2のTaN膜を形成する、すなわち、TaN膜の膜厚を厚くしていくことにより、セルフリミッティング効果を高め、高純度化したTaN膜を形成することができる。言い換えれば、分子サイズが小さく拡散し易い金属無機化合物を原料として第2の反応生成膜を形成する場合でも、まず、分子サイズが大きい金属有機化合物を原料として供給し、前記第1の分子を前記多孔質膜表面に吸着させることにより、多孔質膜における前記開口面を塞いだ状態を形成することができる。 Furthermore, as an example of the first adsorption step, Ta [ N (C 2 H 5 ) 2 ] 5 supply step, the first molecule larger than the opening size at the connection position where the pores formed on the porous membrane surface side are connected to the pores inside the porous membrane (Ta-R1: R1 [N (C 2 H 5 ) 2 ] n ) is adsorbed on the surface of the porous film, thereby forming a state in which the opening surface at the connection position is blocked by the first molecule. . Then, as an example of a first reaction product film forming step, the NH 3 supply step supplies NH 3, which is an example of a first reactive species that reacts with the first molecule, the said porous membrane surface A first TaN film that is one reaction product film is formed. A state in which the opening surface at the connection position is closed with the first molecule and the first TaN film as the first reaction product film is formed, thereby suppressing diffusion of Ta metal into the porous film. be able to. Further, as the second adsorption step, in the TaCl 5 supply step, second molecules smaller than the opening size (Ta—R2: R2 is Cl n ) are adsorbed on the surface of the first TaN film, as a reaction product film forming step, the NH 3 supply process, the second NH 3, which is an example of a reactant supply, a second reaction product film on the first TaN film surface which reacts with the second molecule By forming the second TaN film, that is, increasing the thickness of the TaN film, the self-limiting effect can be enhanced and a highly purified TaN film can be formed. In other words, even when the second reaction product film is formed using a metal inorganic compound having a small molecular size and easily diffusing as a raw material, first, a metal organic compound having a large molecular size is supplied as a raw material, and the first molecule is By adsorbing to the surface of the porous membrane, a state in which the opening surface of the porous membrane is closed can be formed.

実施の形態1では、第1のバリアメタル膜成膜として、Ta[N(Cを用いて300℃の成膜温度で10サイクル成膜しているが、これに限るものではない。p−lowk膜表面の空孔連結部分の開口面が塞がれればサイクル数は何回でも構わない。1サイクルのみ成膜し、開口面を塞ぐようにしても構わない。その後、TaClを用いて通常レートの例えば350℃にして複数サイクル繰り返し、所望する膜厚まで成膜しても構わない。 In the first embodiment, the first barrier metal film is formed using Ta [N (C 2 H 5 ) 2 ] 5 for 10 cycles at a film forming temperature of 300 ° C. However, the present invention is not limited to this. It is not a thing. The number of cycles may be any number of times as long as the opening surface of the hole connection portion on the surface of the p-lowk film is closed. Only one cycle may be formed to close the opening surface. Thereafter, the film may be formed to a desired film thickness by repeating a plurality of cycles using TaCl 5 at a normal rate of, for example, 350 ° C.

図9は、成膜レートと成膜温度との関係を示す図である。
図9に示すように、ALD成膜において、所定の温度以上で成膜が可能となり、ある範囲(B−C間)で成膜レートが安定する。通常、制御のし易さ等の観点から、かかる成膜レートが安定する範囲を成膜温度とするのが望ましい。Ta[N(Cでは、250〜300℃が望ましい。TaClでは、300〜350℃が望ましい。また、400℃以上となるとp−lowk膜に悪影響を与えてしまうため好ましくない。
FIG. 9 is a diagram showing the relationship between the film formation rate and the film formation temperature.
As shown in FIG. 9, in ALD film formation, film formation is possible at a predetermined temperature or higher, and the film formation rate is stabilized within a certain range (between B and C). Usually, from the viewpoint of ease of control and the like, it is desirable to set the film forming temperature in a range where the film forming rate is stable. In Ta [N (C 2 H 5 ) 2] 5, 250~300 ℃ is desirable. In TaCl 5, 300 to 350 ° C. is preferred. Moreover, since it will have a bad influence on a p-lowk film | membrane when it becomes 400 degreeC or more, it is unpreferable.

図10は、Ta[N(Cの分子サイズを説明するための図である。
Ta[N(C分子は、Ta元素に5つのペンタジエチル(N(C)基が結合している。かかる状態で、分子サイズは、1nm以上となる。成膜温度を高くするとかかるN(C基が分離していく。通常、250〜300℃では、2〜3個のN(C基が分離して、p−lowk膜に結合すると考えられる。かかる場合、0.6nm以下の空孔連結部分サイズ(開口サイズ)に対してTaの拡散抑制効果がある。ここで、成膜温度を低くすると逆に分離するN(C基が少なくなる。分離するN(C基が少なくなると分子サイズも大きくなる。図9におけるA点における温度、言い換えれば、250℃より低い温度では、図10の下図のように、通常の成膜温度下での分離数より少ない、例えば、1個のN(C基が分離して、p−lowk膜に結合することがある。かかる場合の分子サイズが、0.7nm以上となる。よって、成膜可能な限界温度に近づけることにより、通常の成膜温度での分子サイズより大きな分子サイズでp−lowk膜に結合させることができる。Ta[N(Cでは、150℃以下では、成膜が難しいと考えられる。よって、150〜250℃の範囲でp−lowk膜に結合させれば、より大きな空孔連結部分サイズ(開口サイズ)、すなわち、0.7nm以下の空孔連結部分サイズに対して拡散抑制効果を挙げることができる。
FIG. 10 is a diagram for explaining the molecular size of Ta [N (C 2 H 5 ) 2 ] 5 .
In 5 molecules of Ta [N (C 2 H 5 ) 2 ], five pentadiethyl (N (C 2 H 5 ) 2 ) groups are bonded to the Ta element. In this state, the molecular size is 1 nm or more. When the film formation temperature is increased, such N (C 2 H 5 ) 2 groups are separated. Usually, at 250 to 300 ° C., it is considered that 2 to 3 N (C 2 H 5 ) 2 groups are separated and bonded to the p-lowk film. In such a case, there is an effect of suppressing diffusion of Ta with respect to a hole connecting portion size (opening size) of 0.6 nm or less. Here, when the film formation temperature is lowered, the number of N (C 2 H 5 ) 2 groups that are separated decreases. As the number of N (C 2 H 5 ) 2 groups to be separated decreases, the molecular size also increases. 9, in other words, at a temperature lower than 250 ° C., as shown in the lower diagram of FIG. 10, for example, one N (C 2 H 5 ) Two groups may separate and bind to the p-lowk membrane. In this case, the molecular size is 0.7 nm or more. Therefore, by bringing the temperature close to the limit temperature at which film formation is possible, the p-lowk film can be bonded with a molecular size larger than the molecular size at the normal film formation temperature. With Ta [N (C 2 H 5 ) 2 ] 5 , film formation is considered difficult at 150 ° C. or lower. Therefore, if bonded to the p-lowk film in the range of 150 to 250 ° C., it has a diffusion suppressing effect on a larger hole connecting part size (opening size), that is, a hole connecting part size of 0.7 nm or less. Can be mentioned.

以上のように、p−lowk膜に吸着する分子サイズを制御することができるので、さらに、p−lowk膜の開口サイズに合わせて幅広く対応することができる。   As described above, since the molecular size adsorbed on the p-lowk film can be controlled, it is possible to cope with a wide range according to the opening size of the p-lowk film.

実施の形態1では、まず、Ta[N(Cを用いて空孔連結部分を塞ぎ、その後に、TaClを用いてセルフリミッティング効果を高め、高純度化したTaN膜を形成しているが、Ta[N(Cを用いて最終的に所望する膜厚まで成膜しても構わない。例えば、空孔連結部分サイズ0.7nmのp−lowk膜上にTaN膜を形成する場合は、当初、250℃以下の成膜温度(例えば150℃)で成膜し、その後、通常レートの例えば300℃にして所望する膜厚まで成膜しても構わない。
或いは、第1のバリアメタル膜成膜として、250℃以下の成膜温度(例えば150℃)で1サイクルのみ成膜し、その後、通常レートの例えば300℃にして複数サイクル繰り返し、所望する膜厚まで成膜しても構わない。成膜レートは遅くなるが、最初から250℃以下の成膜温度(例えば150℃)で所望する膜厚まで成膜しても構わない。
In the first embodiment, Ta [N (C 2 H 5 ) 2 ] 5 is first used to block the hole connecting portion, and then TaCl 5 is used to enhance the self-limiting effect and increase the purity of TaN. Although a film is formed, Ta [N (C 2 H 5 ) 2 ] 5 may be used to finally form a desired film thickness. For example, in the case of forming a TaN film on a p-lowk film having a hole connection portion size of 0.7 nm, the film is initially formed at a film formation temperature of 250 ° C. or lower (for example, 150 ° C.), and then at a normal rate, for example, The film may be formed up to a desired film thickness at 300 ° C.
Alternatively, as the first barrier metal film formation, only one cycle is formed at a film formation temperature of 250 ° C. or lower (for example, 150 ° C.), and then a normal rate of, for example, 300 ° C. is repeated a plurality of cycles to obtain a desired film thickness. It does not matter even if it forms into a film. Although the film formation rate is slow, film formation may be performed up to a desired film thickness at a film formation temperature of 250 ° C. or lower (eg, 150 ° C.) from the beginning.

多層配線化する場合には、さらに、以下の工程を行なう。
図11は、実施の形態1における多層配線化する半導体装置の製造方法の一部の工程を表す工程断面図である。
図11では、さらに、絶縁膜形成工程として、SiC膜形成工程、p−lowk膜形成工程、Heプラズマ処理工程、SiC膜形成工程、p−lowk膜形成工程、Heプラズマ処理工程、SiO膜形成工程を示している。それ以降の工程は後述する。
In the case of multilayer wiring, the following steps are further performed.
FIG. 11 is a process cross-sectional view illustrating a part of the process of manufacturing the semiconductor device to be multilayered according to the first embodiment.
In FIG. 11, further, as an insulating film forming process, a SiC film forming process, a p-lowk film forming process, a He plasma processing process, a SiC film forming process, a p-lowk film forming process, a He plasma processing process, and a SiO 2 film forming process. The process is shown. Subsequent steps will be described later.

図11(a)において、次の層における絶縁膜形成工程の一部であるSiC膜形成工程として、還元性プラズマ処理した同じCVD装置内で400℃の温度で50nmの膜厚のSiC膜275を形成する。SiC膜275は拡散防止膜の働きがあり、このSiC膜275を形成することで、Cuの拡散を防止することができる。かかるCVD法で形成されるSiC膜275の他に、SiCN膜、SiCO膜、SiN膜、SiO膜を用いることができる。 In FIG. 11A, as a SiC film forming process which is a part of the insulating film forming process in the next layer, a SiC film 275 having a thickness of 50 nm is formed at a temperature of 400 ° C. in the same CVD apparatus subjected to reducing plasma. Form. The SiC film 275 functions as a diffusion preventing film, and by forming this SiC film 275, diffusion of Cu can be prevented. In addition to the SiC film 275 formed by the CVD method, a SiCN film, a SiCO film, a SiN film, or a SiO 2 film can be used.

図11(b)において、p−lowk膜形成工程として、図3(b)で説明した工程と同様に、SiC膜275の上にSiC膜275よりも比誘電率の低い低誘電率膜である、多孔質の絶縁性材料を用いたp−lowk膜280を形成する。そして、同様に、Heプラズマ処理工程として、このp−lowk膜280表面をHeプラズマ照射によって表面改質する。   11B, the p-lowk film forming process is a low dielectric constant film having a lower relative dielectric constant than the SiC film 275 on the SiC film 275, as in the process described with reference to FIG. 3B. Then, a p-lowk film 280 using a porous insulating material is formed. Similarly, as a He plasma treatment step, the surface of the p-lowk film 280 is modified by He plasma irradiation.

図11(c)において、SiC膜形成工程として、前記Heプラズマ処理を行った後、キャップ膜として、CVD法によってp−lowk膜280上にSiC膜282を形成する。SiC膜282は、後述するデュアルダマシン法によるCu埋め込みのための溝及び孔をエッチングにより形成するためのエッチングストッパとすることができる。そして、p−lowk膜形成工程として、SiC膜282上にp−lowk膜285を形成する。そして、同様に、Heプラズマ処理工程として、このp−lowk膜285表面をCVD装置内でHeプラズマ照射によって表面改質する。そして、SiO膜形成工程として、図2(c)で説明した工程と同様、前記Heプラズマ処理を行った後、キャップ膜として、CVD法によってp−lowk膜285上にSiO290を形成する。 In FIG. 11C, after performing the He plasma treatment as a SiC film forming step, a SiC film 282 is formed on the p-lowk film 280 as a cap film by a CVD method. The SiC film 282 can be used as an etching stopper for forming grooves and holes for Cu filling by a dual damascene method to be described later by etching. Then, as a p-lowk film formation step, a p-lowk film 285 is formed on the SiC film 282. Similarly, as the He plasma processing step, the surface of the p-lowk film 285 is modified by He plasma irradiation in a CVD apparatus. Then, as the SiO 2 film forming step, similar to the step described with reference to FIG. 2C, after performing the He plasma treatment, SiO 2 290 is formed on the p-lowk film 285 as a cap film by the CVD method. .

図12は、図11に続く、多層配線化する半導体装置の製造方法の一部の工程を表す工程断面図である。
図12では、開口部を形成する開口部形成工程と、バリアメタル膜形成工程と、ヴィアと上層配線とを形成するヴィア、上層配線形成工程となる導電性材料を堆積させる導電性材料堆積工程として、シード膜形成工程とを示している。それ以降の工程は後述する。
FIG. 12 is a process cross-sectional view illustrating a part of the process of manufacturing the semiconductor device to be multi-layered, following FIG.
In FIG. 12, an opening forming step for forming an opening, a barrier metal film forming step, a via for forming a via and an upper layer wiring, and a conductive material depositing step for depositing a conductive material to be an upper layer wiring forming step. , A seed film forming step. Subsequent steps will be described later.

図12(a)において、開口部形成工程として、図3(d)で説明した工程と同様、リソグラフィ工程とドライエッチング工程でデュアルダマシン配線を作製するための配線溝構造である開口部152,154を、SiO膜290とp−lowk膜285とSiC膜282とp−lowk膜280とSiC膜275とに形成する。孔形成工程として開口部150に堆積した下層Cu膜260へと貫通する、ヴィア孔となる開口部152を形成し、溝形成工程として上層配線用の溝となる開口部154を形成する。その後、ドライエッチング洗浄液(例えば、EKC5920による5分間の室温洗浄)でヴィア底残渣を除去する。 In FIG. 12A, as the opening forming process, openings 152 and 154 which are wiring groove structures for producing dual damascene wiring in the lithography process and the dry etching process, as in the process described in FIG. Are formed on the SiO 2 film 290, the p-lowk film 285, the SiC film 282, the p-lowk film 280, and the SiC film 275. As a hole forming step, an opening 152 serving as a via hole penetrating to the lower layer Cu film 260 deposited in the opening 150 is formed, and as an groove forming step, an opening 154 serving as a groove for an upper layer wiring is formed. Thereafter, the via bottom residue is removed with a dry etching cleaning liquid (for example, room temperature cleaning with EKC5920 for 5 minutes).

図12(b)において、バリアメタル膜形成工程として、図4(a)で説明した工程と同様、前記開口部形成工程により形成された開口部152,154及びSiO膜290表面にバリアメタル材料を用いたバリアメタル膜242をALD法により5nm形成する。その他は、図4(a)での説明と同様で構わないため省略する。 In FIG. 12B, as the barrier metal film forming step, a barrier metal material is formed on the surfaces of the openings 152 and 154 and the SiO 2 film 290 formed by the opening forming step as in the step described with reference to FIG. A barrier metal film 242 using is formed to 5 nm by the ALD method. Others may be the same as described with reference to FIG.

図12(c)において、シード膜形成工程として、図4(b)で説明した工程と同様、スパッタ等の物理気相成長(PVD)法により、次の工程である電解めっき工程のカソード極となるCu薄膜をシード膜252としてバリアメタル膜242が形成された開口部152,154内壁、堀込部156及び基体200表面に堆積(形成)させる。ここでは、シードCu膜を膜厚100nm堆積させた。   In FIG. 12C, as the seed film formation step, the cathode electrode in the subsequent electroplating step is performed by physical vapor deposition (PVD) method such as sputtering, as in the step described in FIG. A Cu thin film to be formed is deposited (formed) on the inner walls of the openings 152 and 154 in which the barrier metal film 242 is formed, the digging portion 156, and the surface of the substrate 200, using the seed film 252 as a seed film. Here, a seed Cu film was deposited to a thickness of 100 nm.

図13は、図12に続く、多層配線化する半導体装置の製造方法の一部の工程を表す工程断面図である。
図13では、さらに、めっき工程と、平坦化工程を示している。
FIG. 13 is a process cross-sectional view illustrating a part of the process for manufacturing the semiconductor device to be multilayered, continued from FIG. 12.
FIG. 13 further shows a plating process and a planarization process.

図13(a)において、めっき工程として、図4(c)で説明した工程と同様、シード膜252をカソード極として、電解めっき等の電気化学成長によりCu膜264を開口部152,154及び基体200表面に堆積させる。これによりCu膜264の一部に前記下層配線と前記上層配線と接続するヴィア262が形成される。ここでは、膜厚300nmのCu膜を堆積させた後にアニール処理を250℃の温度で30分間行なう。   In FIG. 13A, as the plating process, as in the process described in FIG. 4C, the seed film 252 is used as a cathode electrode, and the Cu film 264 is formed in the openings 152 and 154 and the substrate by electrochemical growth such as electrolytic plating. 200 is deposited on the surface. As a result, a via 262 connected to the lower layer wiring and the upper layer wiring is formed in a part of the Cu film 264. Here, after a Cu film having a thickness of 300 nm is deposited, annealing is performed at a temperature of 250 ° C. for 30 minutes.

図13(b)において、平坦化工程として、図4(d)で説明した工程と同様、CMP法によってSiO膜290の表面に堆積された導電部としての配線層となるCu膜264、シード膜252、及びバリアメタル膜242を研磨除去することにより、平坦化し、図13(b)に表したような埋め込み構造を形成する。溝外部のCu膜とバリアメタル膜を除去して2層目のデュアルダマシンCu配線を形成する。 In FIG. 13B, as the planarization process, as in the process described with reference to FIG. 4D, the Cu film 264 serving as a wiring layer as a conductive portion deposited on the surface of the SiO 2 film 290 by the CMP method, the seed By polishing and removing the film 252 and the barrier metal film 242, the film 252 and the barrier metal film 242 are planarized to form a buried structure as shown in FIG. The Cu film and the barrier metal film outside the groove are removed to form a second layer dual damascene Cu wiring.

実施の形態2.
実施の形態2では、Ta[N(CHをメタル原料に用いて、多孔質低誘電率膜としてのp−MSQ上にバリアメタル膜としてTaN膜をALD成膜する場合を説明する。ここでは、空孔連結部分サイズが0.3nmのp−MSQ上に形成する。
図14は、実施の形態2における半導体装置の製造方法の要部を表すフローチャートである。
図14において、図1のTa[N(C供給工程(S106)が、Ta[N(CH供給工程(S1406)に代わった以外は、図1と同様である。
Embodiment 2. FIG.
In the second embodiment, Ta [N (CH 3 ) 2 ] 5 is used as a metal raw material, and a case where a TaN film as a barrier metal film is ALD formed on a p-MSQ as a porous low dielectric constant film is described. To do. Here, it is formed on a p-MSQ having a hole connecting portion size of 0.3 nm.
FIG. 14 is a flowchart showing a main part of the method of manufacturing a semiconductor device in the second embodiment.
In FIG. 14, Ta in Figure 1 [N (C 2 H 5 ) 2] 5 supplying step (S106) is, except that instead of the Ta [N (CH 3) 2 ] 5 feed step (S1406) includes a 1 It is the same.

バリアメタル膜形成工程として、開口部内の表面とSiO膜222表面とにALD法によりバリアメタル材料を用いたバリアメタル膜240を形成する。 As a barrier metal film forming step, a barrier metal film 240 using a barrier metal material is formed on the surface in the opening and the surface of the SiO 2 film 222 by the ALD method.

ここでは、実施の形態1と同様、バリアメタル膜として、窒化タンタル(TaN)膜を成膜する。まず、第1のバリアメタル膜成膜のためのメタル原料として、ペンタジメチルタンタル(Ta[N(CH)を用い、メタル原料と反応する反応種の一例である、前記メタル原料の還元ガスとして、アンモニア(NH)を用い、パージガスとして、水素(H)を用いる。パージガスとして、Hを用いることで、次の反応性を高めることができる。さらに、Hは純度を高めることができるので、クリーニングに適している点は、上述した通りである。
図15は、TaN膜形成工程における各ガスの供給フローを示す図である。
Ta[N(CH供給工程として、Ta[N(CHを1s供給する。その後、H供給工程として、Hを1s供給してパージする。そして、NH供給工程として、NHを1s供給する。そしてH供給工程として、Hを1s供給してパージする。かかる工程を1サイクルとして、成膜温度270℃にて、10サイクルの供給を行なう。
Here, as in the first embodiment, a tantalum nitride (TaN) film is formed as the barrier metal film. First, as the metal material for forming the first barrier metal film, pentadimethyl tantalum (Ta [N (CH 3 ) 2 ] 5 ) is used as the metal material, and the metal material is an example of a reactive species that reacts with the metal material. As a reducing gas, ammonia (NH 3 ) is used, and as a purge gas, hydrogen (H 2 ) is used. By using H 2 as the purge gas, the following reactivity can be enhanced. Furthermore, since H 2 can increase the purity, it is suitable for cleaning as described above.
FIG. 15 is a diagram showing a supply flow of each gas in the TaN film forming step.
As a Ta [N (CH 3 ) 2 ] 5 supply step, Ta [N (CH 3 ) 2 ] 5 is supplied for 1 s. Thereafter, as an H 2 supply step, H 2 is supplied for 1 s and purged. Then, the NH 3 supply process, the NH 3 1s supplies. Then, as the H 2 supply step, H 2 is supplied for 1 s and purged. This process is defined as one cycle, and 10 cycles are supplied at a film forming temperature of 270 ° C.

成膜温度270℃にて、金属有機化合物であるTa[N(CHを用いたことで、開口部内側面に露わになったp−lowk膜220表面に吸着する分子(図2におけるTa−R1)サイズを0.3nm以上とすることができる。ALD装置は、図6と同様の装置を用いればよい。 By using Ta [N (CH 3 ) 2 ] 5 which is a metal organic compound at a deposition temperature of 270 ° C., molecules adsorbed on the surface of the p-lowk film 220 exposed on the inner surface of the opening (see FIG. 2 Ta-R1) size can be 0.3 nm or more. As the ALD apparatus, an apparatus similar to that shown in FIG. 6 may be used.

ここでは、Ta[N(CH、H、NHの各ガス量は、1.68Pa・m/s(1000sccm)、チャンバ600の内部の圧力を339Pa(3Torr)とした。ここで、ガス量は、Ta[N(CHについて、0.5Pa・m/s(300sccm)〜1.68Pa・m/s(1000sccm)が望ましい。NHについて、1.68Pa・m/s(1000sccm)〜3.36Pa・m/s(2000sccm)が望ましい。パージガスであるHについて、1.68Pa・m/s(1000sccm)〜3.36Pa・m/s(2000sccm)が望ましい。成膜圧力は、665Pa(5Torr)以下が望ましい。成膜温度は、250〜300℃が望ましい。 Here, the gas amounts of Ta [N (CH 3 ) 2 ] 5 , H 2 , and NH 3 are 1.68 Pa · m 3 / s (1000 sccm), and the pressure inside the chamber 600 is 339 Pa (3 Torr). . Here, the amount of gas, Ta for [N (CH 3) 2] 5, 0.5Pa · m 3 /s(300sccm)~1.68Pa · m 3 / s (1000sccm) is desirable. For NH 3, 1.68Pa · m 3 /s(1000sccm)~3.36Pa · m 3 / s (2000sccm) is desirable. For H 2 is purge, 1.68Pa · m 3 /s(1000sccm)~3.36Pa · m 3 / s (2000sccm) is desirable. The film forming pressure is desirably 665 Pa (5 Torr) or less. The film forming temperature is preferably 250 to 300 ° C.

また、実施の形態1と同様、メタル原料の還元ガスとして、ヒドラジン(HNNH)或いは、1−1ジメチルヒドラジンや1−2ジメチルヒドラジン等のヒドラジン化合物を用いても構わない。 As in the first embodiment, hydrazine (H 2 NNH 2 ) or a hydrazine compound such as 1-1 dimethyl hydrazine or 1-2 dimethyl hydrazine may be used as the reducing gas for the metal raw material.

さらに、実施の形態1と同様、パージガスとして、アルゴン(Ar)や窒素(N)やHeを用いても構わない。 Furthermore, as in the first embodiment, argon (Ar), nitrogen (N 2 ), or He may be used as the purge gas.

次に、実施の形態1と同様、TaN膜形成工程における第2のバリアメタル膜成膜のためのメタル原料として、塩化タンタル(TaCl)を用い、メタル原料と反応する反応種の一例である、前記メタル原料の還元ガスとして、アンモニア(NH)を用い、パージガスとして、水素(H)を用いる。メタル原料として、TaClを用いることで、セルフリミッティング性能を向上させることができる。また、TaClを用いることで、TaN膜を高純度化させることができる。 Next, as in the first embodiment, tantalum chloride (TaCl 5 ) is used as a metal raw material for forming the second barrier metal film in the TaN film forming step, and is an example of a reactive species that reacts with the metal raw material. Ammonia (NH 3 ) is used as the reducing gas for the metal raw material, and hydrogen (H 2 ) is used as the purge gas. By using TaCl 5 as the metal raw material, the self-limiting performance can be improved. Further, by using TaCl 5 , the TaN film can be highly purified.

実施の形態2では、第1のバリアメタル膜成膜として、Ta[N(CHを用いて270℃の成膜温度で10サイクル成膜しているが、これに限るものではない。p−lowk膜表面の空孔連結部分の開口面が塞がれればサイクル数は何回でも構わない。1サイクルのみ成膜し、開口面を塞ぐようにしても構わない。その後、TaClを用いて通常レートの例えば350℃にして複数サイクル繰り返し、所望する膜厚まで成膜しても構わない。 In the second embodiment, as the first barrier metal film deposition, Ta [N (CH 3) 2] has been 10 cycles formed in the deposition temperature of 270 ° C. using 5, this is not a limitation Absent. The number of cycles may be any number of times as long as the opening surface of the hole connection portion on the surface of the p-lowk film is closed. Only one cycle may be formed to close the opening surface. Thereafter, the film may be formed to a desired film thickness by repeating a plurality of cycles using TaCl 5 at a normal rate of, for example, 350 ° C.

図16は、Ta[N(CHの分子サイズを説明するための図である。
Ta[N(CH分子は、Ta元素に5つのペンタジメチル(N(CH)基が結合している。かかる状態で、分子サイズは、0.9nm程度となる。成膜温度を高くするとかかるN(CH基が分離していく。通常、250〜300℃では、2〜3個のN(CH基が分離して、p−lowk膜に結合すると考えられる。空孔連結部分サイズが0.3nm、比誘電率kが2.4、空孔率30%のp−MSQ上に形成した実験の結果、0.3nmの空孔連結部分サイズ(開口サイズ)に対してTaの拡散抑制効果があることがわかっている。よって、0.3nm以下の空孔連結部分サイズ(開口サイズ)に対しては、Taの拡散抑制効果があることになる。ここで、成膜温度を低くすると逆に分離するN(CH基が少なくなる。分離するN(CH基が少なくなると分子サイズも大きくなる。図9におけるA点における温度、言い換えれば、250℃より低い温度では、図16の下図のように、通常の成膜温度下での分離数より少ない、例えば、1個のN(CH基が分離して、p−lowk膜に結合することがある。かかる場合の分子サイズが、0.6nm以上となる。よって、成膜可能な限界温度に近づけることにより、通常の成膜温度での分子サイズより大きな分子サイズでp−lowk膜に結合させることができる。Ta[N(CHでは、200℃以下では、成膜が難しいと考えられる。よって、200〜250℃の範囲でp−lowk膜に結合させれば、より大きな空孔連結部分サイズ(開口サイズ)、すなわち、0.6nm以下の空孔連結部分サイズに対してTaの拡散抑制効果を挙げることができる。
FIG. 16 is a diagram for explaining the molecular size of Ta [N (CH 3 ) 2 ] 5 .
In five molecules of Ta [N (CH 3 ) 2 ], five pentadimethyl (N (CH 3 ) 2 ) groups are bonded to the Ta element. In this state, the molecular size is about 0.9 nm. Higher deposition temperatures according N (CH 3) 2 group is gradually separated. Usually, at 250 to 300 ° C., it is considered that 2 to 3 N (CH 3 ) 2 groups are separated and bonded to the p-lowk film. As a result of an experiment formed on a p-MSQ having a hole connecting portion size of 0.3 nm, a relative dielectric constant k of 2.4, and a porosity of 30%, a hole connecting portion size (opening size) of 0.3 nm was obtained. On the other hand, it is known that there is an effect of suppressing diffusion of Ta. Therefore, there is an effect of suppressing the diffusion of Ta for the hole connecting portion size (opening size) of 0.3 nm or less. Here, when the film formation temperature is lowered, the number of N (CH 3 ) 2 groups that are separated decreases. As the number of N (CH 3 ) 2 groups to be separated decreases, the molecular size also increases. In the temperature at point A in FIG. 9, in other words, at a temperature lower than 250 ° C., as shown in the lower diagram of FIG. 16, the number of separations is lower than the normal film formation temperature, for example, one N (CH 3 ) 2. Groups may separate and bind to the p-lowk membrane. In this case, the molecular size is 0.6 nm or more. Therefore, by bringing the temperature close to the limit temperature at which film formation is possible, the p-lowk film can be bonded with a molecular size larger than the molecular size at the normal film formation temperature. With Ta [N (CH 3 ) 2 ] 5 , film formation is considered difficult at 200 ° C. or lower. Therefore, if bonded to the p-lowk film in the range of 200 to 250 ° C., Ta diffusion suppression is achieved for a larger hole connecting part size (opening size), that is, a hole connecting part size of 0.6 nm or less. An effect can be given.

以上のように、p−lowk膜に吸着する分子サイズを制御することができるので、さらに、p−lowk膜の開口サイズに合わせて幅広く対応することができる。   As described above, since the molecular size adsorbed on the p-lowk film can be controlled, it is possible to cope with a wide range according to the opening size of the p-lowk film.

実施の形態2では、まず、Ta[N(CHを用いて空孔連結部分を塞ぎ、その後に、TaClを用いてセルフリミッティング効果を高め、高純度化したTaN膜を形成しているが、Ta[N(CHを用いて最終的に所望する膜厚まで成膜しても構わない。例えば、空孔連結部分サイズ0.6nmのp−lowk膜上にTaN膜を形成する場合は、当初、250℃以下の成膜温度(例えば200℃)で成膜し、その後、通常レートの例えば300℃にして所望する膜厚まで成膜しても構わない。
或いは、第1のバリアメタル膜成膜として、250℃以下の成膜温度(例えば200℃)で1サイクルのみ成膜し、その後、通常レートの例えば270℃にして複数サイクル繰り返し、所望する膜厚まで成膜しても構わない。成膜レートは遅くなるが、最初から250℃以下の成膜温度(例えば200℃)で所望する膜厚まで成膜しても構わない。
In the second embodiment, first, Ta [N (CH 3 ) 2 ] 5 is used to block the hole connecting portion, and then TaCl 5 is used to enhance the self-limiting effect and to obtain a highly purified TaN film. Although formed, Ta [N (CH 3 ) 2 ] 5 may be used to finally form a desired film thickness. For example, when a TaN film is formed on a p-lowk film having a hole connection portion size of 0.6 nm, the film is initially formed at a film formation temperature of 250 ° C. or lower (for example, 200 ° C.), and then at a normal rate, for example, The film may be formed up to a desired film thickness at 300 ° C.
Alternatively, as the first barrier metal film formation, a film is formed only for one cycle at a film formation temperature of 250 ° C. or less (eg, 200 ° C.), and then repeated at a normal rate of, eg, 270 ° C. for a plurality of cycles to obtain a desired film thickness. It does not matter even if it forms into a film. Although the film formation rate is slow, film formation may be performed up to a desired film thickness at a film formation temperature of 250 ° C. or lower (eg, 200 ° C.) from the beginning.

実施の形態3.
実施の形態3では、TaClをメタル原料に用いて、多孔質低誘電率膜としてのp−MSQ上にバリアメタル膜としてTaN膜をALD成膜する場合を説明する。ここでは、空孔連結部分サイズが0.3nmのp−MSQ上に形成する。
図17は、実施の形態3における半導体装置の製造方法の要部を表すフローチャートである。
図17において、図1のTa[N(C供給工程(S106)が、TaCl供給工程(S1706)に代わった以外は、図1と同様である。
Embodiment 3 FIG.
In the third embodiment, a case will be described in which a TaN film is ALD formed as a barrier metal film on p-MSQ as a porous low dielectric constant film using TaCl 5 as a metal raw material. Here, it is formed on a p-MSQ having a hole connecting portion size of 0.3 nm.
FIG. 17 is a flowchart showing a main part of the method of manufacturing a semiconductor device in the third embodiment.
17 is the same as FIG. 1 except that the Ta [N (C 2 H 5 ) 2 ] 5 supply step (S106) in FIG. 1 is replaced with the TaCl 5 supply step (S1706).

バリアメタル膜形成工程として、開口部内の表面とSiO膜222表面とにALD法によりバリアメタル材料を用いたバリアメタル膜240を形成する。 As a barrier metal film forming step, a barrier metal film 240 using a barrier metal material is formed on the surface in the opening and the surface of the SiO 2 film 222 by the ALD method.

ここでは、実施の形態1と同様、バリアメタル膜として、窒化タンタル(TaN)膜を成膜する。まず、第1のバリアメタル膜成膜のためのメタル原料として、塩化タンタル(TaCl)を用い、メタル原料と反応する反応種の一例である、前記メタル原料の還元ガスとして、アンモニア(NH)を用い、パージガスとして、水素(H)を用いる。パージガスとして、Hを用いることで、次の反応性を高めることができる。さらに、Hは純度を高めることができるので、クリーニングに適している点は、上述した通りである。
図18は、TaN膜形成工程における各ガスの供給フローを示す図である。
TaCl供給工程として、TaClを3s供給する。その後、H供給工程として、Hを1s供給してパージする。そして、NH供給工程として、NHを3s供給する。そしてH供給工程として、Hを1s供給してパージする。かかる工程を1サイクルとして、成膜温度250℃にて、10サイクルの供給を行なう。
Here, as in the first embodiment, a tantalum nitride (TaN) film is formed as the barrier metal film. First, tantalum chloride (TaCl 5 ) is used as a metal material for forming the first barrier metal film, and ammonia (NH 3 ) is used as a reducing gas for the metal material, which is an example of a reactive species that reacts with the metal material. ) And hydrogen (H 2 ) is used as the purge gas. By using H 2 as the purge gas, the following reactivity can be enhanced. Furthermore, since H 2 can increase the purity, it is suitable for cleaning as described above.
FIG. 18 is a diagram showing a supply flow of each gas in the TaN film formation step.
As a TaCl 5 supply step, TaCl 5 is supplied for 3 seconds. Thereafter, as an H 2 supply step, H 2 is supplied for 1 s and purged. Then, the NH 3 supply process, the NH 3 3s supplies. Then, as the H 2 supply step, H 2 is supplied for 1 s and purged. This process is defined as one cycle, and 10 cycles are supplied at a film forming temperature of 250 ° C.

成膜温度250℃にて、金属無機化合物であるTaClを用いたことで、開口部内側面に露わになったp−lowk膜220表面に吸着する分子(図2におけるTa−R1)サイズを0.3nm以上とすることができる。ALD装置は、図6と同様の装置を用いればよい。 By using TaCl 5 which is a metal inorganic compound at a film forming temperature of 250 ° C., the size of molecules adsorbed on the surface of the p-lowk film 220 exposed on the inner surface of the opening (Ta-R1 in FIG. 2) is reduced. It can be 0.3 nm or more. As the ALD apparatus, an apparatus similar to that shown in FIG. 6 may be used.

ここでは、Ta[N(CH、H、NHの各ガス量は、1.68Pa・m/s(1000sccm)、チャンバ600の内部の圧力を339Pa(3Torr)とした。ここで、ガス量は、Ta[N(CHについて、0.5Pa・m/s(300sccm)〜1.68Pa・m/s(1000sccm)が望ましい。NHについて、1.68Pa・m/s(1000sccm)〜3.36Pa・m/s(2000sccm)が望ましい。パージガスであるHについて、1.68Pa・m/s(1000sccm)〜3.36Pa・m/s(2000sccm)が望ましい。成膜圧力は、665Pa(5Torr)以下が望ましい。成膜温度は、250℃以上、300℃未満が望ましい。 Here, the gas amounts of Ta [N (CH 3 ) 2 ] 5 , H 2 , and NH 3 are 1.68 Pa · m 3 / s (1000 sccm), and the pressure inside the chamber 600 is 339 Pa (3 Torr). . Here, the amount of gas, Ta for [N (CH 3) 2] 5, 0.5Pa · m 3 /s(300sccm)~1.68Pa · m 3 / s (1000sccm) is desirable. For NH 3, 1.68Pa · m 3 /s(1000sccm)~3.36Pa · m 3 / s (2000sccm) is desirable. For H 2 is purge, 1.68Pa · m 3 /s(1000sccm)~3.36Pa · m 3 / s (2000sccm) is desirable. The film forming pressure is desirably 665 Pa (5 Torr) or less. The film forming temperature is desirably 250 ° C. or higher and lower than 300 ° C.

また、実施の形態1と同様、メタル原料の還元ガスとして、ヒドラジン(HNNH)或いは、1−1ジメチルヒドラジンや1−2ジメチルヒドラジン等のヒドラジン化合物を用いても構わない。 As in the first embodiment, hydrazine (H 2 NNH 2 ) or a hydrazine compound such as 1-1 dimethyl hydrazine or 1-2 dimethyl hydrazine may be used as the reducing gas for the metal raw material.

さらに、実施の形態1と同様、パージガスとして、アルゴン(Ar)や窒素(N)やHeを用いても構わない。 Furthermore, as in the first embodiment, as a purge gas, argon (Ar) and nitrogen (N 2) and may be used He.

次に、実施の形態1と同様、TaN膜形成工程における第2のバリアメタル膜成膜のためのメタル原料として、同種原料である塩化タンタル(TaCl)を用い、メタル原料と反応する反応種の一例である、前記メタル原料の還元ガスとして、アンモニア(NH)を用い、パージガスとして、水素(H)を用いる。成膜温度は、300〜350℃が望ましい。メタル原料として、TaClを用いることで、セルフリミッティング性能を向上させることができる。また、TaClを用いることで、TaN膜を高純度化させることができる。ALD装置は、図6と同様の装置を用いればよい。本実施の形態3では、同種のメタル原料を、成膜温度を変えて、第1と第2のバリアメタル膜成膜を行なうため、図7に示すように、第1と第2のバリアメタル膜成膜において、チャンバを分けて処理した方が、温度管理のし易さから望ましい。 Next, as in the first embodiment, tantalum chloride (TaCl 5 ), which is the same kind of raw material, is used as the metal raw material for forming the second barrier metal film in the TaN film forming step, and the reactive species reacts with the metal raw material. it is an example of, as a reducing gas in the metal material, using ammonia (NH 3), as the purge gas, using hydrogen (H 2). The film forming temperature is desirably 300 to 350 ° C. By using TaCl 5 as the metal raw material, the self-limiting performance can be improved. Further, by using TaCl 5 , the TaN film can be highly purified. As the ALD apparatus, an apparatus similar to that shown in FIG. 6 may be used. In the third embodiment, since the first and second barrier metal films are formed using the same kind of metal material at different film formation temperatures, as shown in FIG. 7, the first and second barrier metals are formed. In film formation, it is desirable that the chambers are processed separately for ease of temperature control.

以上のように、減圧CVD装置(ここでは、ALD装置)を用いて、ポーラスMSQ(空孔連結部分サイズ:0.3nm)上に、TaClおよびNHを用いて、まず、成膜温度250℃にて、TaCl(3s)→H(1s)→NH(3s)→H(1s)を1サイクルとして、10サイクルの供給を行ない、その後、成膜温度350℃にて、TaCl(1s)→H(1s)→NH(2s)→H(1s)を1サイクルとして成膜後、TEM(透過電子顕微鏡)観察を行った結果、2nmのTaNが形成されており、下地のp−MSQ内への拡散は観察されなかった。初期成膜の温度を低温化することで、分解抑制し表面分子サイズを空孔連結部分サイズより大きくすることができる。これによりp−MSQ内への拡散が抑制できる。 As described above, using a low pressure CVD apparatus (here, an ALD apparatus), TaCl 5 and NH 3 are used on porous MSQ (hole connection portion size: 0.3 nm), and first, the film formation temperature is 250. At 10 ° C., TaCl 5 (3 s) → H 2 (1 s) → NH 3 (3 s) → H 2 (1 s) is set as one cycle, and 10 cycles of supply are performed. 5 (1 s) → H 2 (1 s) → NH 3 (2 s) → H 2 (1 s) After film formation as a cycle, TEM (transmission electron microscope) observation revealed that 2 nm of TaN was formed. No diffusion of the underlying p-MSQ was observed. By lowering the temperature of the initial film formation, decomposition can be suppressed and the surface molecular size can be made larger than the pore connecting portion size. As a result, diffusion into the p-MSQ can be suppressed.

実施の形態3では、第1のバリアメタル膜成膜として、TaClを用いて250℃の成膜温度で10サイクル成膜しているが、これに限るものではない。p−lowk膜表面の空孔連結部分の開口面が塞がればサイクル数は何回でも構わない。1サイクルのみ成膜し、開口面を塞ぐようにしても構わない。その後、第2のバリアメタル膜成膜として、通常レートの例えば350℃にして複数サイクル繰り返し、さらに、TaN膜を所望する膜厚まで成膜しても構わない。第1のバリアメタル膜成膜として、TaClを用いて250℃の成膜温度で1サイクルのみ成膜し、開口面を塞ぐようにする場合、TaCl供給を10s以上供給するのが望ましい。10〜15sがスループットの観点からも好ましい。 In the third embodiment, the first barrier metal film is formed using TaCl 5 for 10 cycles at a film formation temperature of 250 ° C., but is not limited thereto. The number of cycles may be any number of times as long as the opening surface of the hole connection portion on the surface of the p-lowk film is closed. Only one cycle may be formed to close the opening surface. Thereafter, as the second barrier metal film formation, a normal rate of, for example, 350 ° C. may be repeated a plurality of cycles, and a TaN film may be formed to a desired film thickness. When the first barrier metal film is formed by using TaCl 5 for only one cycle at a film forming temperature of 250 ° C. to block the opening surface, it is desirable to supply TaCl 5 for 10 s or longer. 10 to 15 s is also preferable from the viewpoint of throughput.

図19は、TaClの分子サイズを説明するための図である。
TaCl分子は、Ta元素に5つのハロゲン(Cl)基が結合している。成膜温度を高くするとかかるCl基が分離していく。通常、300〜350℃では、2〜3個のN(CH基が分離して、p−lowk膜に結合すると考えられる。実験の結果、0.3nmの空孔連結部分サイズ(開口サイズ)に対してTaの拡散抑制効果はなかったことがわかっている。ここで、成膜温度を低くすると逆に分離するCl基が少なくなる。分離するCl基が少なくなると分子サイズも大きくなる。図9におけるA点における温度、言い換えれば、300℃より低い温度では、図18の下図のように、通常の成膜温度下での分離数より少ない、例えば、1個のN(CH基が分離して、p−lowk膜に結合することがある。かかる場合の分子サイズが、0.3nm以上となる。よって、成膜可能な限界温度に近づけることにより、通常の成膜温度での分子サイズより大きな分子サイズでp−lowk膜に結合させることができる。TaClでは、250℃以下では、成膜が難しいと考えられる。よって、250〜300℃の範囲でp−lowk膜に結合させれば、より大きな空孔連結部分サイズ(開口サイズ)、すなわち、0.3nm以下の空孔連結部分サイズに対してTaの拡散抑制効果を挙げることができる。
FIG. 19 is a diagram for explaining the molecular size of TaCl 5 .
In the TaCl 5 molecule, five halogen (Cl) groups are bonded to the Ta element. When the film forming temperature is increased, such Cl groups are separated. Usually, at 300 to 350 ° C., it is considered that 2 to 3 N (CH 3 ) 2 groups are separated and bonded to the p-lowk film. As a result of the experiment, it was found that there was no effect of suppressing the diffusion of Ta with respect to the hole connecting portion size (opening size) of 0.3 nm. Here, when the film forming temperature is lowered, the number of Cl groups to be separated decreases. As the number of Cl groups to be separated decreases, the molecular size also increases. In the temperature at point A in FIG. 9, in other words, at a temperature lower than 300 ° C., as shown in the lower diagram of FIG. 18, the number of separations is smaller than that at the normal film formation temperature, for example, one N (CH 3 ) 2. Groups may separate and bind to the p-lowk membrane. In this case, the molecular size is 0.3 nm or more. Therefore, by bringing the temperature close to the limit temperature at which film formation is possible, the p-lowk film can be bonded with a molecular size larger than the molecular size at the normal film formation temperature. With TaCl 5 , film formation is considered difficult at 250 ° C. or lower. Therefore, when bonded to a p-lowk film in the range of 250 to 300 ° C., Ta diffusion suppression is achieved for a larger hole connecting part size (opening size), that is, a hole connecting part size of 0.3 nm or less. An effect can be given.

以上のように、p−lowk膜に吸着する分子サイズを制御することができるので、さらに、p−lowk膜の開口サイズに合わせて幅広く対応することができる。   As described above, since the molecular size adsorbed on the p-lowk film can be controlled, it is possible to cope with a wide range according to the opening size of the p-lowk film.

実施の形態3では、まず、250℃下でTaClを用いて空孔連結部分を塞ぎ、その後に、通常成膜温度(300〜350℃)下でTaClを用いて成膜レートを高めたTaN膜を形成しているが、300℃より低い温度(例えば250℃)で最終的に所望する膜厚まで成膜しても構わない。 In the third embodiment, first, the hole connection portion is closed using TaCl 5 at 250 ° C., and then the film formation rate is increased using TaCl 5 at a normal film formation temperature (300 to 350 ° C.). Although the TaN film is formed, the film may be finally formed to a desired film thickness at a temperature lower than 300 ° C. (for example, 250 ° C.).

以上のように、前記第1の吸着工程において、前記第2の吸着工程に用いる原料と同種の原料を前記第1の分子の原料として供給し、前記第2の吸着工程における基体温度よりも低い基体温度で前記第1の分子を吸着させることも有効である。同種の原料を用いる場合でも、まず、前記第2の吸着工程における基体温度よりも低い基体温度で前記第1の分子を吸着させることで、前記第1の分子サイズが、第2の分子サイズより大きい状態でp−lowk膜表面に吸着させることができる。   As described above, in the first adsorption step, a raw material of the same type as the raw material used in the second adsorption step is supplied as the raw material for the first molecule, and is lower than the substrate temperature in the second adsorption step. It is also effective to adsorb the first molecule at the substrate temperature. Even when the same kind of raw material is used, first, the first molecule size is made larger than the second molecule size by adsorbing the first molecule at a substrate temperature lower than the substrate temperature in the second adsorption step. It can be adsorbed on the surface of the p-lowk film in a large state.

前記各実施の形態のいずれか、或いは、組み合わせて、前記開口サイズに合わせて原料を選択すれば、多孔質膜の性質に合わせて幅広く対応することができる。また、前記開口サイズに合わせて、成膜温度を制御することで、多孔質膜に吸着する分子サイズを制御することができる。多孔質膜に吸着する分子サイズを制御することができるので、さらに、多孔質膜の性質に合わせて幅広く対応することができる。   If a raw material is selected according to the size of the opening, in combination with any of the above-described embodiments, it can be widely used in accordance with the properties of the porous film. In addition, the molecular size adsorbed on the porous film can be controlled by controlling the film forming temperature in accordance with the opening size. Since the molecular size adsorbed on the porous membrane can be controlled, it is possible to cope with a wide range according to the properties of the porous membrane.

ここで、ALD法により形成されるバリアメタルとして、TaNの他、炭化窒化タンタル(TaCN)、窒化タングステン(WN)、炭化窒化タングステン(WCN)、窒化チタン(TiN)等の高融点金属の窒化膜或いは窒化炭素膜、或いは、タンタル(Ta)、チタン(Ti)、タングステン(W)単体であっても構わない。或いは、WSiN等であっても構わない。或いはジルコニウム(Zr)系のバリアメタル膜であっても構わない。或いは、これらの複数の材料による積層膜であっても構わない。例えば、Ti系のバリアメタル膜のメタル原料として、テトラジエチルチタン(Ti[N(C)やテトラジメチルチタン(Ti[N(CH)や塩化チタン(TiCl)を用いても構わない。W系のバリアメタル膜のメタル原料として、WFを用いても構わない。 Here, as a barrier metal formed by the ALD method, in addition to TaN, a nitride film of refractory metal such as tantalum carbonitride (TaCN), tungsten nitride (WN), tungsten carbonitride (WCN), titanium nitride (TiN), etc. Alternatively, a carbon nitride film, or tantalum (Ta), titanium (Ti), or tungsten (W) alone may be used. Alternatively, WSiN or the like may be used. Alternatively, a zirconium (Zr) -based barrier metal film may be used. Alternatively, a laminated film made of a plurality of these materials may be used. For example, as a metal raw material for a Ti-based barrier metal film, tetradiethyl titanium (Ti [N (C 2 H 5 ) 2 ] 4 ), tetradimethyl titanium (Ti [N (CH 3 ) 2 ] 4 ), titanium chloride ( TiCl 4 ) may be used. As a metal raw material for the W-based barrier metal film, it may be used WF 6.

ここで、上記各実施の形態における配線層の材料として、Cu以外に、Cu−Sn合金、Cu−Ti合金、Cu−Al合金等の、半導体産業で用いられるCuを主成分とする材料を用いて同様の効果が得られる。   Here, as a material of the wiring layer in each of the above embodiments, a material mainly containing Cu used in the semiconductor industry, such as a Cu—Sn alloy, a Cu—Ti alloy, and a Cu—Al alloy, is used in addition to Cu. The same effect can be obtained.

なお、多層配線構造などを形成する場合には、各図において基体200は、下層の配線層と絶縁膜とが形成されたものである。   In the case of forming a multilayer wiring structure or the like, the substrate 200 in each drawing is formed by forming a lower wiring layer and an insulating film.

以上、具体例を参照しつつ各実施の形態について説明した。しかし、本発明は、これらの具体例に限定されるものではない。   The embodiments have been described above with reference to specific examples. However, the present invention is not limited to these specific examples.

例えば、各実施の形態で層間絶縁膜が形成された基体200は、図示しない各種の半導体素子あるいは構造を有するものとすることができる。また、半導体基板ではなく、層間絶縁膜と配線層とを有する配線構造の上に、さらに層間絶縁膜を形成してもよい。開口部も半導体基板が露出するように形成してもよいし、配線構造の上に形成してもよい。   For example, the substrate 200 on which an interlayer insulating film is formed in each embodiment can have various semiconductor elements or structures not shown. Further, an interlayer insulating film may be further formed on a wiring structure having an interlayer insulating film and a wiring layer instead of the semiconductor substrate. The opening may be formed so that the semiconductor substrate is exposed, or may be formed on the wiring structure.

さらに、層間絶縁膜の膜厚や、開口部のサイズ、形状、数などについても、半導体集積回路や各種の半導体素子において必要とされるものを適宜選択して用いることができる。   Further, the film thickness of the interlayer insulating film and the size, shape, number, and the like of the opening can be appropriately selected from those required in the semiconductor integrated circuit and various semiconductor elements.

その他、本発明の要素を具備し、当業者が適宜設計変更しうる全ての半導体装置の製造方法は、本発明の範囲に包含される。   In addition, any semiconductor device manufacturing method that includes the elements of the present invention and whose design can be changed as appropriate by those skilled in the art is included in the scope of the present invention.

また、説明の簡便化のために、半導体産業で通常用いられる手法、例えば、フォトリソグラフィプロセス、処理前後のクリーニング等は省略しているが、それらの手法が含まれることは言うまでもない。   In addition, for the sake of simplicity of explanation, techniques usually used in the semiconductor industry, such as a photolithography process, cleaning before and after processing, are omitted, but it goes without saying that these techniques are included.

実施の形態1における半導体装置の製造方法の要部を表すフローチャートである。3 is a flowchart showing a main part of a method for manufacturing a semiconductor device in the first embodiment. 多孔質低誘電率膜上に吸着する分子の様子を説明するための図である。It is a figure for demonstrating the mode of the molecule | numerator adsorb | sucked on a porous low dielectric constant film | membrane. 実施の形態1における半導体装置の製造方法の工程を表す工程断面図である。FIG. 6 is a process cross-sectional view illustrating a process of the semiconductor device manufacturing method in the first embodiment. 実施の形態1における半導体装置の製造方法の工程を表す工程断面図である。FIG. 6 is a process cross-sectional view illustrating a process of the semiconductor device manufacturing method in the first embodiment. TaN膜形成工程における各ガスの供給フローを示す図である。It is a figure which shows the supply flow of each gas in a TaN film formation process. ALD装置の概要構成を示す図である。It is a figure which shows schematic structure of an ALD apparatus. 複数のチャンバを備えた装置の概要を説明するための概念図である。It is a conceptual diagram for demonstrating the outline | summary of the apparatus provided with the several chamber. ALD装置の他の概要構成例を示す図である。It is a figure which shows the other schematic structural example of an ALD apparatus. 成膜レートと成膜温度との関係を示す図である。It is a figure which shows the relationship between a film-forming rate and film-forming temperature. Ta[N(Cの分子サイズを説明するための図である。 Ta [N (C 2 H 5 ) 2] is a diagram for explaining the molecular size of 5. 実施の形態1における多層配線化する半導体装置の製造方法の一部の工程を表す工程断面図である。FIG. 6 is a process cross-sectional view illustrating a part of the process of manufacturing the semiconductor device to be multilayered according to the first embodiment. 図11に続く、多層配線化する半導体装置の製造方法の一部の工程を表す工程断面図である。FIG. 12 is a process cross-sectional view illustrating a part of the process of manufacturing the semiconductor device having the multilayer wiring, continued from FIG. 11. 図12に続く、多層配線化する半導体装置の製造方法の一部の工程を表す工程断面図である。FIG. 13 is a process cross-sectional view illustrating a part of the process of manufacturing the semiconductor device having the multilayer wiring, continued from FIG. 12. 実施の形態2における半導体装置の製造方法の要部を表すフローチャートである。10 is a flowchart showing a main part of a method for manufacturing a semiconductor device in a second embodiment. TaN膜形成工程における各ガスの供給フローを示す図である。It is a figure which shows the supply flow of each gas in a TaN film formation process. Ta[N(CHの分子サイズを説明するための図である。 Ta [N (CH 3) 2 ] is a diagram for explaining a 5 molecular size. 実施の形態3における半導体装置の製造方法の要部を表すフローチャートである。10 is a flowchart showing a main part of a method for manufacturing a semiconductor device in a third embodiment. TaN膜形成工程における各ガスの供給フローを示す図である。It is a figure which shows the supply flow of each gas in a TaN film formation process. TaClの分子サイズを説明するための図である。It is a diagram for explaining the molecular size of TaCl 5. 従来のlow−k膜とCu配線を組み合わせた多層配線構造を有する半導体装置の製造方法を示す工程断面図である。It is process sectional drawing which shows the manufacturing method of the semiconductor device which has the multilayer wiring structure which combined the conventional low-k film | membrane and Cu wiring. ALD法によるバリアメタルの成膜例を示すガスの供給フロー図である。It is a gas supply flow figure which shows the example of film formation of the barrier metal by ALD method. ALD法において、TaN膜が形成される様子を説明するための概念図である。It is a conceptual diagram for demonstrating a TaN film | membrane being formed in ALD method.

符号の説明Explanation of symbols

10,200 基体
20 TaR膜
22 TaN膜
150,152,154 開口部
156 堀込部
212,275,282 SiC膜
220,280,285 p−lowk膜
221,281 絶縁膜
222,290 SiO
240,242 バリアメタル膜
250,252 シード膜
260,264 Cu膜
262 ヴィア
500 装置
510,520,530,600 チャンバ
540 搬送室
550 カセット室
610 基板ホルダ
620 シャワーヘッド
630 真空ポンプ
650 容器
10, 200 Base 20 TaR film 22 TaN film 150, 152, 154 Opening 156 Excavation part 212, 275, 282 SiC film 220, 280, 285 p-lowk film 221, 281 Insulating film 222, 290 SiO 2 film 240, 242 Barrier metal film 250, 252 Seed film 260, 264 Cu film 262 Via 500 Device 510, 520, 530, 600 Chamber 540 Transfer chamber 550 Cassette chamber 610 Substrate holder 620 Shower head 630 Vacuum pump 650 Container

Claims (10)

複数の空孔が形成され、表面側に形成される空孔が内部の空孔へ連結し内部へと開口する多孔質膜を基体上に形成する多孔質膜形成工程と、
前記多孔質膜表面側に形成される空孔が前記多孔質膜内部側の空孔へ連結する連結位置における開口サイズより大きい第1の分子を前記多孔質膜表面に吸着させる第1の吸着工程と、
前記第1の分子と反応する第1の反応種を供給し、前記多孔質膜表面に第1の反応生成膜を形成する第1の反応生成膜形成工程と、
前記第1の反応生成膜表面に前記開口サイズより小さい第2の分子を吸着させる第2の吸着工程と、
前記第2の分子と反応する第2の反応種を供給し、前記第1の反応生成膜表面に第2の反応生成膜を形成する第2の反応生成膜形成工程と、
を備えたことを特徴とする半導体装置の製造方法。
A porous film forming step in which a plurality of pores are formed, and the pores formed on the surface side are connected to the internal pores to form a porous film that opens to the inside on the substrate;
A first adsorption step for adsorbing, on the porous membrane surface, first molecules larger than the opening size at a connection position where the pores formed on the porous membrane surface side are connected to the pores on the porous membrane inner side. When,
Supplying a first reactive species that reacts with the first molecule, and forming a first reaction product film on the porous film surface;
A second adsorption step for adsorbing a second molecule smaller than the opening size on the surface of the first reaction product film;
Supplying a second reactive species that reacts with the second molecule, and forming a second reaction product film on the surface of the first reaction product film;
A method for manufacturing a semiconductor device, comprising:
前記第1の吸着工程において、金属有機化合物を前記第1の分子の原料として供給し、
前記第2の吸着工程において、金属無機化合物を前記第2の分子の原料として供給することを特徴とする請求項1記載の半導体装置の製造方法。
In the first adsorption step, a metal organic compound is supplied as a raw material for the first molecule,
2. The method of manufacturing a semiconductor device according to claim 1, wherein in the second adsorption step, a metal inorganic compound is supplied as a raw material for the second molecule.
前記第1の吸着工程において、前記第2の吸着工程に用いる原料と同種の原料を前記第1の分子の原料として供給し、前記第2の吸着工程における基体温度よりも低い基体温度で前記第1の分子を吸着させることを特徴とする請求項1記載の半導体装置の製造方法。   In the first adsorption step, a raw material of the same type as the raw material used in the second adsorption step is supplied as a raw material for the first molecule, and the first adsorption step is performed at a substrate temperature lower than the substrate temperature in the second adsorption step. 2. The method of manufacturing a semiconductor device according to claim 1, wherein one molecule is adsorbed. 前記多孔質膜として、比誘電率2.5以下の低誘電率膜を用いることを特徴とする請求項1記載の半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein a low dielectric constant film having a relative dielectric constant of 2.5 or less is used as the porous film. 前記開口サイズが、0.6nm以下の多孔質膜に対して、前記第1の吸着工程において、ペンタジメチルタンタル(Ta[N(CH)を前記第1の分子の原料として用いることを特徴とする請求項2又は3記載の半導体装置の製造方法。 For the porous film having an opening size of 0.6 nm or less, pentadimethyltantalum (Ta [N (CH 3 ) 2 ] 5 ) is used as a raw material for the first molecule in the first adsorption step. 4. The method of manufacturing a semiconductor device according to claim 2, wherein 前記開口サイズが、0.7nm以下の多孔質膜に対して、前記第1の吸着工程において、ペンタジエチルタンタル(Ta[N(C)を前記第1の分子の原料として用いることを特徴とする請求項2又は3記載の半導体装置の製造方法。 In the first adsorption step, pentadiethyl tantalum (Ta [N (C 2 H 5 ) 2 ] 5 ) is used as the raw material for the first molecule for the porous film having an opening size of 0.7 nm or less. 4. The method of manufacturing a semiconductor device according to claim 2, wherein the method is used as a semiconductor device. 前記開口サイズが、0.3nm以下の多孔質膜に対して、前記第1と第2の吸着工程において、塩化タンタル(TaCl)を前記第1と第2の分子の原料として用いることを特徴とする請求項3記載の半導体装置の製造方法。 Tantalum chloride (TaCl 5 ) is used as a raw material for the first and second molecules in the first and second adsorption steps for the porous film having an opening size of 0.3 nm or less. A method for manufacturing a semiconductor device according to claim 3. 基体上に、多孔質膜を形成する多孔質膜形成工程と、
基体温度を250℃より低い温度に制御し、前記多孔質膜が形成された基体上に、ペンタジメチルタンタル(Ta[N(CH)を供給するペンタジメチルタンタル供給工程と、
Ta[N(CH供給後に、Ta[N(CHと反応する反応種を供給する反応種供給工程と、
を備えたことを特徴とする半導体装置の製造方法。
A porous film forming step of forming a porous film on the substrate;
A pentadimethyl tantalum supply step of controlling the substrate temperature to a temperature lower than 250 ° C. and supplying pentadimethyl tantalum (Ta [N (CH 3 ) 2 ] 5 ) onto the substrate on which the porous film is formed;
A reactive species supplying step of supplying reactive species that react with Ta [N (CH 3 ) 2 ] 5 after supplying Ta [N (CH 3 ) 2 ] 5 ;
A method for manufacturing a semiconductor device, comprising:
基体上に、多孔質膜を形成する多孔質膜形成工程と、
基体温度を250℃より低い温度に制御し、前記多孔質膜が形成された基体上に、ペンタジエチルタンタル(Ta[N(C)を供給するペンタジエチルタンタル供給工程と、
Ta[N(C供給後に、Ta[N(Cと反応する反応種を供給する反応種供給工程と、
を備えたことを特徴とする半導体装置の製造方法。
A porous film forming step of forming a porous film on the substrate;
A pentadiethyl tantalum supply step of controlling the substrate temperature to a temperature lower than 250 ° C. and supplying pentadiethyl tantalum (Ta [N (C 2 H 5 ) 2 ] 5 ) onto the substrate on which the porous film is formed; ,
A reactive species supplying step of supplying reactive species that react with Ta [N (C 2 H 5 ) 2 ] 5 after supplying Ta [N (C 2 H 5 ) 2 ] 5 ;
A method for manufacturing a semiconductor device, comprising:
基体上に、多孔質膜を形成する多孔質膜形成工程と、
基体温度を300℃より低い温度に制御し、前記多孔質膜が形成された基体上に、塩化タンタル(TaCl)を供給する塩化タンタル供給工程と、
TaCl供給後に、TaClと反応する反応種を供給する反応種供給工程と、
を備えたことを特徴とする半導体装置の製造方法。
A porous film forming step of forming a porous film on the substrate;
Controls substrate temperature to a temperature lower than 300 ° C., the porous film is formed on the substrate, a tantalum chloride supply step of supplying a tantalum chloride (TaCl 5),
TaCl 5 after the supply to a reaction species supply step of supplying a reactive species that reacts with TaCl 5,
A method for manufacturing a semiconductor device, comprising:
JP2004200083A 2004-07-07 2004-07-07 Process for fabricating semiconductor device Withdrawn JP2006024667A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004200083A JP2006024667A (en) 2004-07-07 2004-07-07 Process for fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004200083A JP2006024667A (en) 2004-07-07 2004-07-07 Process for fabricating semiconductor device

Publications (1)

Publication Number Publication Date
JP2006024667A true JP2006024667A (en) 2006-01-26

Family

ID=35797743

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004200083A Withdrawn JP2006024667A (en) 2004-07-07 2004-07-07 Process for fabricating semiconductor device

Country Status (1)

Country Link
JP (1) JP2006024667A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009260151A (en) * 2008-04-18 2009-11-05 Tokyo Electron Ltd Method of forming metal doped layer, film forming apparatus, and storage medium
JP2016186969A (en) * 2015-03-27 2016-10-27 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus and program

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009260151A (en) * 2008-04-18 2009-11-05 Tokyo Electron Ltd Method of forming metal doped layer, film forming apparatus, and storage medium
JP2016186969A (en) * 2015-03-27 2016-10-27 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus and program

Similar Documents

Publication Publication Date Title
TWI333234B (en) Integration of ald/cvd barriers with porous low k materials
TWI436428B (en) Method for forming ruthenium metal cap layers
KR101468241B1 (en) Interconnect structure and method of manufacturing a damascene structure
US7928006B2 (en) Structure for a semiconductor device and a method of manufacturing the same
US7799681B2 (en) Method for forming a ruthenium metal cap layer
JP2008532271A (en) Surface plasma pretreatment for atomic layer deposition
JP2008244298A (en) Film forming method of metal film, forming method of multilayer wiring structure, manufacturing method of semiconductor device, and film forming apparatus
US20140127902A1 (en) Method of providing stable and adhesive interface between fluorine based low k material and metal barrier layer
US9153481B2 (en) Manganese-containing film forming method, processing system, electronic device manufacturing method and electronic device
US5990007A (en) Method of manufacturing a semiconductor device
US20080290515A1 (en) Properties of metallic copper diffusion barriers through silicon surface treatments
JP5823359B2 (en) Manufacturing method of semiconductor device
KR100922905B1 (en) Film forming method, semiconductor device manufacturing method, semiconductor device, program and recording medium
JP2005203569A (en) Fabrication process of semiconductor device and semiconductor device
JP2006024668A (en) Process for fabricating semiconductor device
JP5025679B2 (en) Semiconductor device
TWI564422B (en) Chemical vapor deposition (cvd) of ruthenium films and applications for same
JP2006024667A (en) Process for fabricating semiconductor device
US20110081503A1 (en) Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
JP2010034517A (en) Semiconductor device and method of manufacturing the same
JP2007258390A (en) Semiconductor device and manufacturing method therefor
JP2006024666A (en) Process for fabricating semiconductor device
JP2006147895A (en) Manufacturing method of semiconductor device
JP2006054326A (en) Manufacturing method of semiconductor device and semiconductor device
JP4643975B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20071002