JP2004156143A - 遠隔の励起源を用いる堆積チャンバーのクリーニング技術 - Google Patents

遠隔の励起源を用いる堆積チャンバーのクリーニング技術 Download PDF

Info

Publication number
JP2004156143A
JP2004156143A JP2003418548A JP2003418548A JP2004156143A JP 2004156143 A JP2004156143 A JP 2004156143A JP 2003418548 A JP2003418548 A JP 2003418548A JP 2003418548 A JP2003418548 A JP 2003418548A JP 2004156143 A JP2004156143 A JP 2004156143A
Authority
JP
Japan
Prior art keywords
chamber
remote
deposition chamber
gas
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003418548A
Other languages
English (en)
Other versions
JP4520140B2 (ja
Inventor
Quanyuan Shang
シャン クーニャン
S Law Cam
エス. ロー カム
Dan Maydan
メイダン ダン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AKT America Inc
Original Assignee
AKT America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AKT America Inc filed Critical AKT America Inc
Publication of JP2004156143A publication Critical patent/JP2004156143A/ja
Application granted granted Critical
Publication of JP4520140B2 publication Critical patent/JP4520140B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515

Abstract

【課題】電子デバイス製作に用いられる堆積チャンバーのクリーニング方法を提供する。
【解決手段】電子デバイス製作に用いられる堆積チャンバーのクリーニング方法は、以下のステップを含む:堆積チャンバーの外部にある遠隔チャンバーに、前駆体ガスを供給する;遠隔チャンバー内で該前駆体ガスを活性化させて反応性の化学種を形成する;遠隔チャンバーから堆積チャンバーへ該反応性の化学種を流す;および、遠隔チャンバーから堆積チャンバーへ流れ込んだ反応性の化学種を用いて、堆積チャンバーの内部をクリーニングする。
【選択図】 図1

Description

本発明は、電子デバイスの加工に用いられる堆積チャンバーのクリーニング技
術に関する。
半導体およびフラットパネル・ディスプレイ産業においては、プラズマ支援型
(plasma-assisted )の化学反応が広く使われてきた。1つの例はプラズマ増強
型(plasma-enhanced )の化学気相成長(PECVD)であり、これはアクティ
ブマトリックス(active-matrix )液晶式ディスプレイ(AMLCDs)用の薄
膜トランジスター(TFT)の製造において使われるプロセスである。PECV
Dに従って、基板は、1対の平行プレート電極が装着された真空堆積チャンバー
中に置かれる。該電極の一方、例えば、より下位の電極(lower electrode ;一
般にサセプターと称される)が、該基板を保持する(hold)。他方の電極、すな
わち上位の電極(upper electrode )は、ガス入口マニホールドまたはシャワ−
・ヘッドとして機能する。堆積の間、反応ガス(reactant gas)が、該上位電極
を通してチャンバー内に流れ込み、高周波(radio frequency ;RF)が該電極
間に印加されて、反応ガス中にプラズマを生成させる。該プラズマは反応ガスを
分解させて、基板の表面の上へ材料の層を堆積させる。
このようなシステムは、該材料を基板の表面の上へ優先的に堆積させるように
設計されているが、該システムはチャンバーの範囲内で他の内部表面の上にも、
いくらかの材料を堆積させる。したがって、繰り返し使用の後には、これらのシ
ステムは、該チャンバー内で増加した(built up)材料の堆積層を除去するため
に、クリーニングする(clean )必要がある。チャンバーおよび該チャンバー内
の露出された構成要素(components)をクリーニングするために、インシチュウ
(in-situ )乾式(dry )クリーニング・プロセスが一般に用いられる。このイ
ンシチュウ技術によれば、前駆体(precursor )ガスが、該チャンバーに供給さ
れる。次いで、該チャンバー内でグロー放電プラズマを局部的に(locally )前
駆体ガスに印加することによって、反応性の化学種(reactive species)が生成
される。該反応性の化学種は、それら表面上のプロセス堆積物と揮発性の化合物
(volatile compounds)を形成することによって、チャンバー表面をクリーニン
グする。
このインシチュウ・クリーニング技術には、いくつかの不利な点もある。第1
に、反応性の化学種を生成するためにチャンバー内でプラズマを使うことは、能
率が悪い(inefficient )ことである。したがって、許容可能なクリーニング速
度を達成するために、比較的高い電力を使うことが必要となる。しかしながら、
この高いパワーレベルは、チャンバー内部のハードウェアに対してダメージを生
じさせ、これにより有意に(significantly )耐用年数を短くする傾向がある。
ダメージを受けたハードウェアの置き換えは非常にコストがかかるため、これは
、堆積システムを使用して処理される製品の基板当たりのコスト(per substrat
e cost)を有意に上昇させる。基板当たりのコストがコスト意識の高い購買者に
とって重大な(critical)な、現在の非常に競争的な半導体製作産業においては
、クリーニング・プロセス中にダメージを受けた部分を定期的に置き換えなけれ
ばならないことから生ずる増大した操業コストは、極めて好ましくない。
従来のインシチュウ乾式クリーニング・プロセスにまつわる他の問題は、許容
可能なクリーニング速度を達成するために要求される高いパワーレベルは、他の
システム構成要素に損害を与える可能性があるか、あるいは物理的に該チャンバ
ーの内部表面を拭き取る(wiping off)ことによる以外には除去できない残留物
(residue )、ないし副生成物(byproducts)を生成する傾向をも有することで
ある。例えば、NF3 をクリーニングに用いるSi3 4 堆積システムにおいて
は、Nx y z 化合物が生成される傾向がある。これらのアンモニウム化合物
は真空ポンプ内に堆積し、そこでそれらは該ポンプの信頼性にネガティブな影響
を及ぼす可能性がある。他の例としては、チャンバーまたはプロセス・キット構
成要素(例えば、ヒータ、シャワ−ヘッド、クランプ環、等)がアルミニウムか
ら作られている堆積システムの中は、NF3 プラズマが、しばしばその内部表面
をクリーニングするために使われる。該クリーニング・プロセス中で、ある量の
Alx y が形成される。この形成される量は、高いプラズマ・エネルギーレベ
ルから生じるイオン衝撃(ion bombardment )によって、著しく増大される。し
たがって、Alx y のかなりの量が、該システムの中で形成される可能性があ
る。不利なことに、どのような既知の化学プロセスによっても、この材料はエッ
チングにより除去することができず、したがってそれは物理的に表面を拭くこと
により除去されなければならない。
本発明によれば、遠隔(remote)励起源は、プロセス・チャンバー外部で、反
応性の化学種を生成するために用いられ、次いでこれはプロセス・チャンバー内
に供給されて特定のプロセス、例えば該チャンバーの乾式クリーニング、の実行
を支援する。乾式クリーニング・プロセスの場合、遠隔励起源は、フィード・ガ
ス(例えば、塩素か弗素の化合物)を分解(break down)し、長寿命のハロゲン
化学種を形成する。そして、第2のローカルな励起源は、チャンバーの内で長寿
命の化学種(long lived species)を維持するか、および/又は該ガスを更に分
解して反応性の化学種を形成するために、必要に応じて用いられてもよい。遠隔
励起源は反応性の化学種を生成するために依存される(relied upon )ため、ロ
ーカルな励起源は、従来のシステムの中で必要とされたものにくらべ、遥かに低
いパワーレベルで動作されてもよい。このようにして、チャンバー外部の励起源
を移動させることによって、許容可能なクリーニング速度を達成するための高い
プラズマ・パワーレベルは、該チャンバーの内側では、もはや必要とされない。
むしろ、該チャンバー内での如何なるローカルな励起源(例えばプラズマ)の使
用さえ、必須でなくなる場合があり得る。
1つの側面(aspect)において、本発明は一般に、電子デバイスを作製する際
に用いられる堆積チャンバーをクリーニングする方法である。該方法は、次のス
テップを含む:堆積チャンバーと離れている遠隔チャンバー内に前駆体ガスを供
給(deliver )する;該遠隔チャンバー内で前駆体ガスを活性化して反応性化学
種を形成する;遠隔チャンバーから堆積チャンバー内に該反応性の化学種を流し
込む;そして、遠隔チャンバーから堆積チャンバー内に流し込まれた反応性の化
学種を用いて、堆積チャンバー内部をクリーニングする。
他の側面において本発明は、一般に、プロセス・チャンバー内で電子デバイス
を作製するためのプロセスを実行する方法である。該方法は、次のステップを含
む:プロセスチャンバーと離れている遠隔チャンバー内に前駆体ガスを供給する
;該遠隔チャンバー内で前駆体ガスを活性化して反応性化学種を形成する;遠隔
チャンバーから堆積チャンバー内に該反応性の化学種を流し込む;遠隔励起源を
用いて、遠隔チャンバーからプロセスチャンバー内に流し込まれた反応性の化学
種を更に活性化させる;そして、遠隔励起源により更に活性化された反応性の化
学種を、プロセス・チャンバー内での製作プロセス実行に用いる。
更に他の側面において、本発明は一般に、クリーニングのための前駆体ガスの
源に接続可能な堆積装置である。該装置は、堆積チャンバーと;該堆積チャンバ
ーにエネルギーを供給するために適合された第1の活性化源と;堆積チャンバー
と離れている遠隔チャンバーと;前記第1の活性化源と分離し、且つ遠隔チャン
バーにエネルギーを供給するために適合された第2の活性化源と;遠隔ガス源
(remote gas supply )から遠隔チャンバーへ前駆体ガスを流し、そこで該ガス
が第2の活性化源によって活性化されて反応性の化学種を形成するための第1の
導管(conduit )と;遠隔チャンバーから堆積チャンバー内に反応性の化学種を
流すための第2の導管とを含む。
技術的には、遠隔プラズマは、反応性の化学種を生成するために用いられる。
反応性の化学種がチャンバーへ流れる間に失活ないし消滅する(quenching )と
いう問題の解決を助けるために、プロセス・チャンバー内に温和な(mild)プラ
ズマを印加して該クリーニングを支援してもよい。組み合わされたプラズマ源の
使用は、ローカルなまたは遠隔プラズマの単独での使用に伴って得られるであろ
うものに比べ、より良好なクリーニング速度を達成する。加えて、本発明は、堆
積チャンバー内での低エネルギー・プラズマの使用を(ないしは、プラズマ無し
をも)許容するため、クリーニング・プロセスの結果としてのチャンバー内部の
構成要素へのダメージを遥かに小さくし、しかも、前述した不利益を有するAl
x y 等の粒状物(particulates)ないしは好ましくない副生成物の形成を遥か
に少なくする。加えて、遠隔およびローカルな励起源を共に使用する態様におい
ては、各源は、最適な結果を達成するために独立に調節可能である。更に、シス
テムにおいてローカルなプラズマが利用可能でない場合には、他の活性化技術
(熱励起、等)が適用されてもよい。
本発明の更なる長所は、反応性の化学種を活性化させた際に形成される望まし
くない副生成物が、堆積チャンバーに入る前に、容易にろ過により除去(filter
ed out)可能なことである。加えて、活性化学種を維持するためのローカルな源
の使用が、遠隔活性化チャンバーの配置(placement )について存在することが
ある制約を低減させる。すなわち、遠隔活性化チャンバーは、堆積チャンバーか
ら更なる距離にあっても、遠隔チャンバーから堆積チャンバーへ移動する際の活
性化学種の失活について懸念をより小さくしつつ、都合がよいように(convenie
ntly)置かれることができる。このことは、このような特性により、既存のシス
テムを改造(retrofit)することが、比較的容易であることを意味する。
遠隔およびローカルな励起源の組合せを使用することは、それが同様の利点を
もって、多種多用の応用ないしシステムに適用可能であるという更なる利点を有
する。例えば、それは、PVDないしCVDシステム、プラズマエッチングシス
テム、基板クリーニング、イオン・ドーピングないしフォトレジストの剥がし
(stripping )のためのシステムにおいても使用可能である。
記述された態様においては、アプライド・コマツ・テクノロジー社により製造
されたAKT−1600型PECVDシステムを、本明細書中で記述したように
改造して用いた。AKT−1600PECVDは、アクティブマトリックス液晶
式ディスプレイ(AMLCDs)の生産用に設計されている。それは、多重(mu
ltiple)プロセス・チャンバーを有するモジュラーシステムであって、アモルフ
ァスシリコン、窒化珪素、酸化珪素、および酸窒化物(oxynitride)膜を堆積す
るために使用可能である。しかしながら、本発明は商業的に入手し得る(commer
cially available)どのような堆積システムで使われてもよい。
図1を参照して、発明に従って改造されたPECVDシステムは、その内部が
、堆積ガス(deposition gases)を導入するためのガス入口マニホールド(ある
いは、シャワ−ヘッド)12である堆積チャンバー10と、その上に材料を堆積
するための基板16を保持するためのサセプター14とを含む。ガス入口マニホ
ールド12およびサセプター入口14は、両者とも平行のプレートの形状であり
、それぞれ上位および下位電極としても機能する。下位電極およびチャンバー本
体は、接地(ground)に接続されている。RF発生器38は、整合(matching)
ネットワーク40を通して、RF電力を上位電極に供給する。RF発生器38は
、該上位および下位電極の間にプラズマを生成させるために用いられる。
サセプター14は、堆積の間、該基板を加熱するための抵抗ヒータ18を含む
。外部ヒータ制御モジュール20は、該ヒータにパワーを供給して、該システム
内において実行されるプロセスが必要とする(dictated by )ような適切な温度
レベルに、サセプターを到達させ(achieved)且つ維持する。
上記サセプターは、該チャンバーの底を通して垂直に延びる移動可能なシャフ
ト22の頂部(top )に取り付けられている。モーター式リフト機構24は、上
記シャフトを垂直方向に動かして、堆積操作のため入口マニホールドの近くの位
置までサセプターを上げ、且つ、該堆積操作の終了後には該サセプターを下げる
。上位および下位電極の間の分離は、反応動力学(reaction kinetics )および
実行されるべき特定の堆積プロセスのための膜特性を最大化するために、調整可
能である。該サセプターの下には(below )、一組の垂直ピン28を有するリフ
トオフ・プレート26がある。ピン28は、サセプター14内の対応する穴30
と整合(aligned with)している。処理操作の後サセプターが下げられるとき、
該ピンは、該穴を通過して、基板の背面と接触し、そして該基板をサセプターか
ら持ち上げて(lift off)、機械的移送メカニズム(図示せず)によって、より
容易にチャンバーから取り除く。
チャンバー10の外側には、堆積の間に使用されるガスを含むガス源32があ
る。材料に依存して使用される特定のガスは、基板上へ堆積される。プロセス・
ガスは、入口ポートを通ってガス・マニホールドに、そして、次いでシャワ−ヘ
ッドを通ってチャンバー内へと流れる。電気的に作動されるバルブ・流れ制御機
構34は、ガス源からチャンバー内へのガスの流れを制御する。チャンバーを排
気するために使用される真空ポンプ36も、出口ポートを通って該チャンバーに
接続されている。
本発明によれば、第2のガス供給システムも、入口ポート33を通って該チャ
ンバーに接続されている。第2のガス供給システムは、堆積操作のシーケンスの
後に該チャンバーの内部をクリーニングするために用いられるガスを供給する。
クリーニングによって、堆積された材料が、チャンバーの内部の表面から除去さ
れる。
第2ガス供給システムは、前駆体ガス44の源と;堆積チャンバーからある間
隔をおいて該チャンバーの外側に配置された遠隔活性化チャンバー46と;遠隔
活性化チャンバー内で前駆体ガスを活性化するための電源48と;電気的に作動
するバルブ・流れ制御機構50と;前記遠隔チャンバーを堆積チャンバーに接続
するステンレス・スティール導管ないしパイプ57とを含む。バルブ・流れ制御
機構50は、前駆体ガス源44から遠隔活性化チャンバー46内へ、使用者が選
択する流量でガスを供給する。電源48は、前駆体ガスを活性化して反応性の化
学種を形成し、これは次いで、導管57を通って堆積チャンバーに入口ポート3
3経由で流される。換言すれば、上位電極ないしシャワ−ヘッド12は、堆積チ
ャンバー内に反応性のガスを供給するために用いられる。上記態様において、遠
隔チャンバーは石英チューブであり、電源は該石英チューブでのその出力で、2
. 54GHzのマイクロ波発振器である。
必要に応じて、他のバルブ・流れ制御機構53を通って、遠隔活性化チャンバ
ーに接続されているマイナーなキャリヤーガス52の源があってもよい。このマ
イナーなキャリヤーガス52は、活性化学種の堆積チャンバーへの移送(transp
ort )を支援する。それは、それが使われている特定のクリーニング・プロセス
と両立できる(compatible)非反応性のガスであることができる。例えば、該マ
イナーなキャリヤーガスは、アルゴン、窒素、ヘリウム、水素または酸素、その
他であってもよい。活性化された化学種の堆積チャンバーへの移送を支援するこ
とに加えて、また、該キャリヤーガスは、クリーニング・プロセスを支援しても
よく、また、堆積チャンバー内のプラズマを開始させ、および/又は安定させる
のを支援してもよい。
上記態様において、堆積チャンバーに入る前に、活性化された化学種が通過す
る導管ないしパイプ中に、フィルター56がある。該フィルターは、反応性の化
学種の活性化の間に形成される可能性のある粒状物質(particulate matter)を
除去する。上記態様において、該フィルターは、約0. 01〜0. 03ミクロン
のポアサイズ(pore size )を有するセラミック材料から形成されている。もち
ろん、他の材料、例えばテフロン、を用いることも可能である。
また、該フィルターは、反応の副生成物として遠隔チャンバー内で生成された
可能性がある望ましくない材料を除去するために、用いられることも可能である
ことに留意すべきである。例えば、反応性のガスがCF4 、SF6 ないし他の、
炭素かイオウのいずれかを含むハロゲン化合物である場合、活性化された炭素ま
たは硫黄の化学種は、活性化プロセスの副生成物として存在するだろう。しかし
ながら、堆積チャンバー内においては、一般に、炭素または硫黄は存在しないこ
とが望ましい。この理由は、活性化が全体的に(entirely)堆積チャンバー内で
起こるような従来の乾式クリーニング・プロセスにおいては、これらの化合物は
一般的に使われないからである。しかしながら、本明細書で記述されるように、
該活性化が遠隔的に実行されるときは、適当なフィルター材料を使用することに
よって、これらの材料を容易に除去することができる。このようなフィルター材
料は、商業的な市場において容易に入手可能であり、且つ当該技術分野における
当業者にとって周知である。
記述された態様において、前駆体はNF3 である。活性化された化学種の流量
は、約2リッター/分で、チャンバー圧力は0. 5Torrである。前駆体ガス
を活性化するために、マイクロ波源は、活性化チャンバーに約500〜1500
ワットを供給する。堆積チャンバー内で、RF源はプラズマへ約100〜200
ワットを供給する。AKT−1600PECVDシステムにとって、これは上位
および下位電極の間の電圧で約15〜20ボルトを意味する。もちろん、精密な
電圧および電流は、圧力に依存する。すなわち、電流は、与えられた一定の電圧
において、圧力に比例する。いずれにしても、チャンバー内で温和な(gentle)
プラズマを誘導することのみが必要とされ、これは、遠隔源からチャンバー内へ
流される活性化された化学種を維持するために充分な程度に強ければよい。
フィード・ガスとしてNF3 を使用することによって、シリコン(Si)、ド
ープされたシリコン、窒化珪素(Si3 4 )、および酸化珪素(SiO2 )が
堆積されたチャンバーをクリーニングすることができた。堆積されたままの(as
-deposited)膜に対するクリーニング速度は、窒化珪素について2ミクロン/分
、および、シリコン、ドープされたシリコン、および酸化珪素について1ミクロ
ン/分に達した。これらのクリーニング速度は、約2キロワットのパワーレベル
で13. 56MHzRFでローカルなプラズマのみを用いる従来のクリーニング
・プロセスより2〜4倍速い速度である。
上記態様においては前駆体ガスを活性化するためにマイクロ波発振器(microw
ave generator )が使われたが、前駆体ガスを活性化することができるパワー源
であれば、どのようなものでも使用可能である。例えば、遠隔ないしローカルな
プラズマは、共に、直流(DC)、高周波(RF)、マイクロ波(MW)ベース
の放電技術を用いることができる。加えて、RF電源が用いられるならば、それ
は容量的に(capacitively)あるいは誘導的に(inductively )チャンバーの内
部に結合される(coupled )ことができる。また、活性化は、ほんの2、3を例
示するならば(to name just a few)、熱的ベースのガス破壊(gas break-down
)技術;高強度の光源;または、X線源によっても、行うことができる。
一般に、反応性のガスは、通常用いられるハロゲンおよびハロゲン化合物を含
む、広範囲のオプションから選択することげできる。例えば、該反応性のガスは
、塩素、弗素、それらの化合物、例えば、NF3 、CF4 、SF6 、C2 6
CCl4 、C2 Cl6 、であってもよい。もちろん、用いられる特定のガスは、
除去されるべき堆積された材料に依存する。例えば、タングステン堆積システム
においては、堆積されたタングステンをエッチングするか、および/又は除去・
クリーニングするために、典型的には、弗素化合物ガスが用いられる。
遠隔プラズマとの組合せで(in conjunction with )ローカルなプラズマを使
用するため、遠隔活性化チャンバーは、チャンバーと更に遠く離れて配置するこ
とが可能となる。したがって、2つの遠隔源をローカルな源に接続するためには
、管材料(tubing)が必要とされるのみである。活性化された化学種のいくらか
の失活(すなわち、活性化された化学種の不活性化(deactivation))は、該移
送の間に起こるかもしれない。しかしながら、ローカルな源が、起こる可能性の
あるこのような失活のいずれをも補償する(compensates )。実際は、いくらか
の長寿命の活性化された化学種(例えばF* )は、失活した際には、典型的には
その基底状態へ戻らず、むしろ中間的な状態(intermediate state)に遷移する
(transition)。したがって、失活した化学種を再活性化するために必要とさ
れるエネルギー量は、遠隔活性化チャンバー内で該ガスを活性化するために必要
とされるそれに比べて、遥かに小さい。したがって、ローカルな活性化源(例え
ば、プラズマ)は、高いエネルギー源である必要はない。
また、堆積チャンバーからある距離をおいて遠隔源を配置することによって、
活性化プロセス中で生成される短寿命のラジカルが、長寿命のラジカルと比べて
、この両者が堆積チャンバーに移送される際に、より完全に失活されるであろう
ことにも、注意すべきである。したがって、堆積チャンバーに流れ込む反応性の
ガスは、移送を生き抜いた(survived)、主に長寿命のラジカルを含むこととな
る。例えば、もしNF3 が反応性のガスであるならば、2つのラジカル、すなわ
ちN* およびF* 、が遠隔活性化チャンバー内で生成される。該窒素ラジカルは
短寿命であり、弗素ラジカルは、長寿命である。窒素ラジカルは、典型的には、
遠隔チャンバーから堆積チャンバーに至る長い移送を生き抜くことはできないだ
ろう。一方、弗素ラジカルは、その大きい割合が生き抜くだろう。これは、シス
テム内で起こる自然のフィルタリングの形であり、これは非常に望ましい。例え
ば、窒素ラジカルの場合、それらの存在がNx y z 化合物の形成をもたらす
可能性があるため、それらは堆積チャンバー内に存在しないことが、時には好ま
しい。該化合物の形成は、前述したようにポンプを害する可能性がある。しかし
ながら、従来のクリーニング技術におけるように、活性化が堆積チャンバー内で
実行される場合には、生成される窒素ラジカルを除去する簡単な方法はない。
乾式クリーニング・プロセスにおいては、性能(performance )に重要な影響
を与えることなく、チャンバー圧力は、かなり幅広い範囲内のどこに位置しても
よいように、選択することが可能である。この好ましい圧力範囲は、この範囲外
の圧力を用いることも可能であるが、約0. 1〜約2Torrである。加えて、
上記態様について選ばれた周波数は単なる実例に過ぎず、本発明で使用可能な周
波数は、上記態様において用いられたそれらに制限されない。例えば、RF電源
に関しては、広い周波数範囲(例えば、400KHz〜13. 56MHz)のど
れもが典型的にプラズマを生成するために使用され、そしてそれらの周波数も本
発明において使用できる。しかしながら、一般的には、選ばれたパワーレベル、
流量、および圧力は、システムに特有(system specific )であり、したがって
、該プロセスが実行されるべき特定のシステムについて最適化される必要がある
だろう。特定のシステムについて性能の最適化を達成するために、当該技術にお
ける当業者の能力の範囲内で、プロセス条件において適切な調整を行うことが好
ましい。
上記態様はPECVDシステムを包含しているが、本発明は、より広い適用可
能性を有している。例えば、ローカルな活性化源(すなわち、メインの真空チャ
ンバーの内側の)との組合せで使用される可能性のある、遠隔活性化源の概念
(すなわち、メインの真空チャンバーの外側の)は、PVD、CVD、イオン・
ドーピング、フォトレジスト剥離(stripping )、基板クリーニング、ないしプ
ラズマエッチングのいずれの目的で設計されたシステムにおいても使用可能であ
る。
他の態様も、本願のクレームの範囲内となる。
本発明を具体化するPECVDシステムのブロック図である。

Claims (13)

  1. 電子デバイスの作製において用いられる堆積チャンバーをクリーニングする方法であって、
    前記堆積チャンバー外部の遠隔チャンバーに弗素ガスを供給(delivering)し;
    遠隔エネルギー源を用いて前記遠隔チャンバー内で前記弗素ガスを活性化して反応性化学種を形成し;
    前記遠隔チャンバーから前記堆積チャンバー内に前記反応性化学種を流し;そして、
    前記遠隔チャンバーから供給された反応性化学種を用いて、前記堆積チャンバーの内側表面をクリーニングする;
    ことを含む前記方法。
  2. 前記遠隔チャンバーから前記堆積チャンバーに流された前記反応性化学種を更に励起するために、ローカルなエネルギー源を用いるステップを更に含む請求項1記載の方法。
  3. 前記遠隔エネルギー源が、マイクロ波エネルギー源である請求項1記載の方法。
  4. 前記ローカルなエネルギー源が、前記堆積チャンバー内でプラズマを発生させるためのRFエネルギー源である請求項3記載の方法。
  5. 前記遠隔チャンバー内にキャリヤーガスを流すステップを更に含む請求項1記載の方法。
  6. 前記キャリヤーガスが、窒素、アルゴン、ヘリウム、水素、および酸素からなるガスの群から選ばれる請求項5記載の方法。
  7. 電子デバイスの作製において用いられる堆積チャンバーをクリーニングする方法であって、
    遠隔プラズマチャンバーに弗素ガスを含む化合物を供給(delivering)し;
    前記遠隔プラズマチャンバー内で反応性弗素化学種を形成し;
    前記堆積チャンバー内に前記反応性弗素化学種を流し;そして、
    前記反応性弗素化学種を用いて、前記堆積チャンバーの内側表面をクリーニングする;
    ことを含む前記方法。
  8. 前記弗素ガスを含む化合物は弗素ガスである請求項7記載の方法。
  9. 前記弗素ガスを含む化合物はCF4である請求項7記載の方法。
  10. 前記弗素ガスを含む化合物はSF4である請求項7記載の方法。
  11. 前記弗素ガスを含む化合物はCCl4である請求項7記載の方法。
  12. 前記遠隔プラズマチャンバー内にキャリヤーガスを流すことをさらに含む請求項7記載の方法。
  13. 前記キャリヤーガスは、窒素、アルゴン、ヘリウム、水素、および酸素からなるガスの群から選ばれる請求項12記載の方法。
JP2003418548A 1994-07-21 2003-12-16 遠隔の励起源を用いる堆積チャンバーのクリーニング技術 Expired - Lifetime JP4520140B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US27860594A 1994-07-21 1994-07-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP7185924A Division JPH0969504A (ja) 1994-07-21 1995-07-21 遠隔の励起源を用いる堆積チャンバーのクリーニング技術

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010000226A Division JP2010147483A (ja) 1994-07-21 2010-01-04 遠隔の励起源を用いる堆積チャンバーのクリーニング技術

Publications (2)

Publication Number Publication Date
JP2004156143A true JP2004156143A (ja) 2004-06-03
JP4520140B2 JP4520140B2 (ja) 2010-08-04

Family

ID=23065631

Family Applications (3)

Application Number Title Priority Date Filing Date
JP7185924A Pending JPH0969504A (ja) 1994-07-21 1995-07-21 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
JP2003418548A Expired - Lifetime JP4520140B2 (ja) 1994-07-21 2003-12-16 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
JP2010000226A Pending JP2010147483A (ja) 1994-07-21 2010-01-04 遠隔の励起源を用いる堆積チャンバーのクリーニング技術

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP7185924A Pending JPH0969504A (ja) 1994-07-21 1995-07-21 遠隔の励起源を用いる堆積チャンバーのクリーニング技術

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010000226A Pending JP2010147483A (ja) 1994-07-21 2010-01-04 遠隔の励起源を用いる堆積チャンバーのクリーニング技術

Country Status (3)

Country Link
EP (1) EP0697467A1 (ja)
JP (3) JPH0969504A (ja)
KR (1) KR960005775A (ja)

Cited By (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008525999A (ja) * 2004-12-21 2008-07-17 アプライド マテリアルズ インコーポレイテッド 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
JP2010147483A (ja) * 1994-07-21 2010-07-01 Akt America Inc 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JP2882339B2 (ja) * 1996-02-21 1999-04-12 日本電気株式会社 タングステンcvd反応室内のエッチング方法
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
SG70035A1 (en) * 1996-11-13 2000-01-25 Applied Materials Inc Systems and methods for high temperature processing of semiconductor wafers
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
KR19980076204A (ko) * 1997-04-08 1998-11-16 윤종용 저압 기상 증착 장치의 폴리 실리콘 안정화 방법
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6077357A (en) * 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US8075789B1 (en) * 1997-07-11 2011-12-13 Applied Materials, Inc. Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US6274058B1 (en) 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6082375A (en) * 1998-05-21 2000-07-04 Micron Technology, Inc. Method of processing internal surfaces of a chemical vapor deposition reactor
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
WO2001003858A1 (en) 1999-07-12 2001-01-18 Asml Us, Inc. Method and system for in situ cleaning of semiconductor manufacturing equipment using combination chemistries
US6783627B1 (en) 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
KR100704591B1 (ko) * 2000-03-21 2007-04-09 주성엔지니어링(주) Cvd 장치 및 그 내부 세정방법
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
DE10029523A1 (de) * 2000-06-21 2002-01-10 Messer Griesheim Gmbh Verfahren und Vorrichtung zum Reinigen eines PVD- oder CVD-Reaktors sowie von Abgasleitungen desselben
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
JP2002057106A (ja) * 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置のクリーニング方法及び処理装置
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6843258B2 (en) 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
DE10102745C2 (de) * 2001-01-22 2003-06-12 Infineon Technologies Ag Verfahren zur Reinigung eines CVD-Reaktors
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US7159597B2 (en) 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
KR101352995B1 (ko) 2003-02-14 2014-01-21 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
JP4385086B2 (ja) 2003-03-14 2009-12-16 パナソニック株式会社 Cvd装置のクリーニング装置およびcvd装置のクリーニング方法
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
DE10344612A1 (de) * 2003-09-25 2005-05-04 Infineon Technologies Ag Verfahren zur Reinigung von ALD-Kammern
WO2005059942A2 (en) 2003-12-12 2005-06-30 Semequip, Inc. Method and apparatus for extending equipment uptime in ion implantation
US7431772B2 (en) 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7534469B2 (en) 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US7651587B2 (en) 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
WO2009039382A1 (en) 2007-09-21 2009-03-26 Semequip. Inc. Method for extending equipment uptime in ion implantation
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP2010047818A (ja) * 2008-08-25 2010-03-04 Toshiba Corp 半導体製造装置および半導体製造方法
JP2009021624A (ja) * 2008-09-08 2009-01-29 Tokyo Electron Ltd 処理装置及び処理装置のクリーニング方法
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
WO2011141986A1 (ja) * 2010-05-10 2011-11-17 株式会社アルバック プラズマ成膜装置及び成膜方法
JP5548028B2 (ja) * 2010-05-14 2014-07-16 株式会社ランドマークテクノロジー 堆積チャンバのリモートクリーニング方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
DE102011056538A1 (de) * 2011-12-16 2013-06-20 Aixtron Se Verfahren zum Entfernen unerwünschter Rückstände aus einem MOCVD-Reaktor sowie zugehörige Vorrichtung
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
WO2016111832A1 (en) * 2015-01-09 2016-07-14 Applied Materials, Inc. Laminate and core shell formation of silicide nanowire

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55145338A (en) * 1979-05-01 1980-11-12 Toshiba Corp Pressure reduction chemical vapour deposition device
JPH05214531A (ja) * 1991-09-30 1993-08-24 Siemens Ag プラズマエッチングによる析出チャンバの浄化方法
JPH05275353A (ja) * 1992-03-27 1993-10-22 Sumitomo Metal Ind Ltd プラズマ処理装置及び該装置のクリーニング方法
JPH05275392A (ja) * 1992-03-25 1993-10-22 Tokyo Electron Ltd SiO2 膜のエッチング方法
JPH0677196A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 割り型バッチ式熱処理装置
JPH0684865A (ja) * 1992-07-17 1994-03-25 Toshiba Corp 半導体装置の乾式清浄化方法
JPH0684812A (ja) * 1992-06-24 1994-03-25 Texas Instr Inc <Ti> 多電極プラズマ処理装置
JPH0688257A (ja) * 1992-09-03 1994-03-29 Tokyo Electron Ltd プラズマ処理装置
JPH06151394A (ja) * 1992-01-13 1994-05-31 Fujitsu Ltd 半導体基板表面もしくは薄膜表面のドライ洗浄法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4867841A (en) * 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP2007531288A (ja) * 2004-03-24 2007-11-01 マサチューセッツ インスティテュート オブ テクノロジー 表面堆積物を除去するための遠隔チャンバ方法
KR20110074912A (ko) * 2008-10-21 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 챔버 세정을 위한 플라즈마 소오스 및 챔버 세정 방법

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55145338A (en) * 1979-05-01 1980-11-12 Toshiba Corp Pressure reduction chemical vapour deposition device
JPH05214531A (ja) * 1991-09-30 1993-08-24 Siemens Ag プラズマエッチングによる析出チャンバの浄化方法
JPH06151394A (ja) * 1992-01-13 1994-05-31 Fujitsu Ltd 半導体基板表面もしくは薄膜表面のドライ洗浄法
JPH05275392A (ja) * 1992-03-25 1993-10-22 Tokyo Electron Ltd SiO2 膜のエッチング方法
JPH05275353A (ja) * 1992-03-27 1993-10-22 Sumitomo Metal Ind Ltd プラズマ処理装置及び該装置のクリーニング方法
JPH0684812A (ja) * 1992-06-24 1994-03-25 Texas Instr Inc <Ti> 多電極プラズマ処理装置
JPH0684865A (ja) * 1992-07-17 1994-03-25 Toshiba Corp 半導体装置の乾式清浄化方法
JPH0677196A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 割り型バッチ式熱処理装置
JPH0688257A (ja) * 1992-09-03 1994-03-29 Tokyo Electron Ltd プラズマ処理装置

Cited By (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010147483A (ja) * 1994-07-21 2010-07-01 Akt America Inc 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
US8846163B2 (en) 2004-02-26 2014-09-30 Applied Materials, Inc. Method for removing oxides
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
JP2008525999A (ja) * 2004-12-21 2008-07-17 アプライド マテリアルズ インコーポレイテッド 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch

Also Published As

Publication number Publication date
JPH0969504A (ja) 1997-03-11
KR960005775A (ko) 1996-02-23
EP0697467A1 (en) 1996-02-21
JP2010147483A (ja) 2010-07-01
JP4520140B2 (ja) 2010-08-04

Similar Documents

Publication Publication Date Title
JP4520140B2 (ja) 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
US5788778A (en) Deposition chamber cleaning technique using a high power remote excitation source
KR100553481B1 (ko) 챔버 세정을 강화시키는 방법 및 장치
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US6182603B1 (en) Surface-treated shower head for use in a substrate processing chamber
JP7079686B2 (ja) 成膜方法及び成膜装置
KR100348575B1 (ko) 성막장치의세정처리방법
TWI713683B (zh) 電漿處理方法
US20030192569A1 (en) Fluorine process for cleaning semiconductor process chamber
JP2006128485A (ja) 半導体処理装置
JP2003163208A (ja) セルフクリーニングを実行するプラズマcvd装置及び方法
JPH05214531A (ja) プラズマエッチングによる析出チャンバの浄化方法
US6708700B2 (en) Cleaning of semiconductor processing chambers
US10066293B2 (en) Method of cleaning the filament and reactor&#39;s interior in FACVD
JPH05315297A (ja) 気相成長装置のクリーニング方法と気相成長装置
KR20060115160A (ko) 원격 플라즈마를 이용한 챔버 세정방법
JPH09289179A (ja) CVD−Ti成膜チャンバーのクリーニング方法
JP2003197605A (ja) ドライエッチング装置およびそのドライクリーニング方法
JP2000003907A (ja) クリーニング方法及びクリーニングガス生成装置
JP2007173747A (ja) Cvd装置およびクリーニング方法
JP4373685B2 (ja) プラズマ処理方法
CN113964010A (zh) 等离子体处理方法以及等离子体处理装置
JPH11111699A (ja) ガスクリーニング装置およびガスクリーニング方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070807

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071105

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20071105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080311

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080611

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080616

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081125

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090302

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090901

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100104

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100223

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100511

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100520

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130528

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130528

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130528

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term