JP2004115885A - Electroless plating method - Google Patents

Electroless plating method Download PDF

Info

Publication number
JP2004115885A
JP2004115885A JP2002283297A JP2002283297A JP2004115885A JP 2004115885 A JP2004115885 A JP 2004115885A JP 2002283297 A JP2002283297 A JP 2002283297A JP 2002283297 A JP2002283297 A JP 2002283297A JP 2004115885 A JP2004115885 A JP 2004115885A
Authority
JP
Japan
Prior art keywords
electroless plating
wafer
catalytically active
reducing agent
predetermined
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002283297A
Other languages
Japanese (ja)
Inventor
Yoshinori Marumo
丸茂 吉典
Hiroshi Sato
佐藤 浩
Miho Jomen
定免 美保
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2002283297A priority Critical patent/JP2004115885A/en
Priority to AU2003241757A priority patent/AU2003241757A1/en
Priority to PCT/JP2003/006499 priority patent/WO2004029328A1/en
Priority to CNA03822688XA priority patent/CN1685081A/en
Priority to KR1020057004928A priority patent/KR20050059178A/en
Publication of JP2004115885A publication Critical patent/JP2004115885A/en
Priority to US11/082,807 priority patent/US20050164499A1/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/28Sensitising or activating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • C23C18/40Coating with copper using reducing agents
    • C23C18/405Formaldehyde
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition

Abstract

<P>PROBLEM TO BE SOLVED: To provide an electroless plating method for performing electroless plating on a barrier layer through various treatments. <P>SOLUTION: Electroless plating is performed by using electroless plating solution after catalytically active cores formed of a catalytically active material with catalytical activity to a reducing agent contained in an electroless plating film is formed on a diffusion restrictive layer (for example, a barrier layer). The reaction of the reducing agent contained in the electroless plating film is promoted by the catalytically active cores, and the electroless plating film is formed thereby. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、無電解メッキ膜を形成する無電解メッキ方法に関する。
【0002】
【従来の技術】
半導体デバイスの作成に際して半導体基板上への配線の形成が行われる。
半導体デバイスの集積度の向上に伴って配線の微細化が進められており、これに対応して配線の作成技術の開発が行われている。例えば、銅配線の形成方法として、銅のシード層をスパッタリングで形成し、電気メッキで溝等を埋め込むことで配線および層間接続を形成するデュアルダマシン法が実用化されている。この手法では、シード層が形成されていない被メッキ面への電気メッキの形成が困難である。
一方、シード層を必要としないメッキ法として無電解メッキ法がある。無電解メッキは化学還元によってメッキ膜を形成するものであり、形成されたメッキ膜が自己触媒として作用することで配線材料からなるメッキ膜を連続的に形成することができる。無電解メッキはシード層を事前に形成する必要がなく、シード層の不均一性(特に、凹部、凸部におけるステップカバレージ)に起因してメッキ膜が不均一になるおそれがない。
配線材料の拡散を防止するために、基板にバリア層を形成しておき、その上にメッキ膜を形成する場合がある。このバリア層にはTiN、TaN等の窒化金属等が用いられ、無電解メッキに対して不活性であることから、バリア層上に無電解メッキを行うことが困難である。
ここで、バリア層を用いる場合において、バリア層上にスパッタリング等で銅を先に形成しておくことで、バリア層上への銅の無電解メッキ膜の形成を可能とする技術が開示されている(特許文献1参照)。
【0003】
【特許文献1】
特開2001−85434号公報(第4頁、第1図)
【0004】
【発明が解決しようとする課題】
しかしながら、上記の特許文献1に示された技術では、メッキ膜と同一の材料をバリア層上に形成することとなり、処理内容が制限される。
以上に鑑み本発明は、バリア層上への無電解メッキを多様な処理で実現可能な無電解メッキ方法を提供することを目的とする。
【0005】
【課題を解決するための手段】
A.上記目的を達成するために、本発明に係る無電解メッキ方法は、所定の材料の拡散を制限する拡散制限層を基板上に形成する拡散制限層形成ステップと、前記拡散制限層形成ステップで基板上に形成された拡散制限層の少なくとも一部上に、無電解メッキ反応における還元剤の酸化反応に対して触媒活性を有し、かつ前記所定の材料と異なる触媒活性材料からなる触媒活性核を形成する触媒活性核形成ステップと、前記触媒活性核形成ステップで触媒活性核が形成された基板上に、前記還元剤を含有する無電解メッキ液を用いて前記所定の材料からなるメッキ膜を形成するメッキ膜形成ステップと、を具備することを特徴とする。
無電解メッキ膜に含有される還元剤に対して触媒活性を有する触媒活性材料からなる触媒活性核を拡散制限層(例えば、バリア層)上に形成した後に、無電解メッキ液を用いて無電解メッキを行う。触媒活性核によって無電解メッキ膜に含有される還元剤の反応が促進され、無電解メッキ膜の形成を行うことができる。
【0006】
ここで、前記触媒活性核が、前記拡散制限層上に不連続に形成されていてもよい。即ち、拡散制限層上に形成された触媒活性核が、連続的(例えば層状の連続膜)、不連続的(例えば島状に散在する不連続膜)いずれでも、無電解メッキ膜の形成を行える。
【0007】
B.本発明に係る無電解メッキ方法は、所定の還元剤の酸化反応に対して触媒活性を有し、かつ前記所定の材料と異なる触媒活性材料を含み、所定の材料の拡散を制限する拡散制限層を基板上に形成する拡散制限層形成ステップと、前記拡散制限層形成ステップで拡散制限層が形成された基板上に、前記所定の還元剤を含有する無電解メッキ液を用いて前記所定の材料からなるメッキ膜を形成するメッキ膜形成ステップと、を具備することを特徴とする。
触媒活性材料を含む拡散制限層(例えば、バリア層)を形成した後に、無電解メッキ液を用いて無電解メッキを行う。拡散制限層中の触媒活性材料によって無電解メッキ膜に含有される還元剤の反応が促進され、無電解メッキ膜の形成を行うことができる。
【0008】
C.本発明に係る無電解メッキ方法は、所定の還元剤の酸化反応に対する触媒活性を有し、前記所定の材料と異なる触媒活性材料からなり、かつ所定の材料の拡散を制限する拡散制限層を基板上に形成する拡散制限層形成ステップと、前記拡散制限層形成ステップで拡散制限層が形成された基板上に、前記所定の還元剤を含有する無電解メッキ液を用いて前記所定の材料からなるメッキ膜を形成するメッキ膜形成ステップと、を具備することを特徴とする。
触媒活性および拡散制限性の双方を有する材料によって拡散制限層(例えば、バリア層)を形成した後に、無電解メッキ液を用いて無電解メッキを行う。拡散制限層を構成する触媒活性材料によって無電解メッキ膜に含有される還元剤の反応が促進され、無電解メッキ膜の形成を行うことができる。
【0009】
【発明の実施の形態】
以下、本発明の実施形態に係る無電解メッキ方法を図面を参照して詳細に説明する。
(第1実施形態)
図1は、本発明の第1実施形態に係る無電解メッキ方法の手順を表すフロー図である。また、図2は図1の手順におけるウエハWの断面を表す断面図である。
図1に示すように、本発明の第1実施形態に係る無電解メッキ方法では、ステップS11〜S13の順にウエハWが処理される。以下、この処理手順の詳細を説明する。
【0010】
(1)ウエハWへのバリア層の形成(ステップS11、図2(A))
ウエハWにバリア層が形成される。バリア層は、拡散制限層として機能するものであり、配線材料(例えば、銅)等の拡散を防止するための障壁である。バリア層によって、配線材料等の拡散(例えば、エレクトロマイグレーション)によるウエハWの汚染が防止される。このバリア層の材料には、例えば、Ta、TaN、W、WN、Ti、TiNを用いることができる。
ウエハWには適宜にトレンチ、ビア等の配線材料を埋め込むための凹凸が形成され、この凹凸に対応してバリア層が形成される。図2(A)では凹部1に対応してバリア層2が形成された状態を表している。なお、バリア層2の形成は例えば、物理的成膜法(スパッタリング法、真空蒸着等)、あるいは化学的成膜法(CVD法等)によって行うことができる。
【0011】
(2)バリア層上への触媒活性核の形成(ステップS12、図2(B))
バリア層2上に触媒活性核3が形成される。触媒活性核3は、ステップS13で用いられる無電解メッキ液、特にその成分たる還元剤の酸化反応を促進する触媒としての活性を有する触媒活性材料で構成され、無電解メッキ膜を形成するための核(起点)として機能する。この触媒活性核3は、層状の連続膜でもよいし、島状(アイランド状)に点在する不連続膜でも差し支えない。
【0012】
ここで、触媒活性核3を構成する触媒活性材料の例を示す。この触媒活性材料は、後述する無電解メッキ液の成分として用いる還元剤に対応して選択することができる。
▲1▼還元剤がホルムアルデヒドの場合:Ir、Pd、Ag、Ru、Rh、Au、Pd、Pt
無電解メッキ時の反応:2HC(OH)O + 2OH
→ 2HCOO + 2HO + H↑ + 2e
▲2▼還元剤が次亜燐酸塩の場合:Au、Ni、Pd、Co、Pt(左ほど触媒活性が高くなるように配列(Au>Pt))
無電解メッキ時の反応:HPO  + 2OH
→ 2HPO  + H↑ + 2e
▲3▼還元剤がグルキオキシル酸の場合:Ir、Pd、Ag、Ru、Rh、Au、Pd、Pt
無電解メッキ時の反応:2HC(OH)O + 2OH
→ 2HCOO + 2HO + H↑ + 2e
▲4▼還元剤が金属塩(硝酸コバルト等)の場合:Ag、Pt、Rh、Ir、Pd、Au
▲5▼還元剤がジメチルアミンボランの場合:Ni、Pd、Ag、Au、Pt
無電解メッキ時の反応:(CHHN・BH + 3H
→ HBO + (CH)2H + 5H +6e
【0013】
(3)ウエハWの無電解メッキ(ステップS13、図2(C)、(D))
ウエハWに対して無電解メッキを行い、無電解メッキ膜を形成する。なお、この無電解メッキは、後述するように、図3に示す装置を用いて、図5の手順で行うことができる。
無電解メッキの初期段階において、無電解メッキ膜は触媒活性核3上に形成される(図2(C))。即ち、この段階では触媒活性核3が不連続膜の場合には無電解メッキ膜も不連続膜になる。
その後、無電解メッキ膜4が成長して、触媒活性核3上の無電解メッキ膜4がウエハWの面上に拡がる。即ち、触媒活性核3が不連続膜の場合であっても触媒活性核3上の無電解メッキ膜4が互いに接続して連続膜が形成される。
なお、触媒活性核3が連続膜の場合には、図2(C)、(D)のような非連続膜の無電解メッキ膜4が形成される工程を必ずしも経ることなく、連続的な無電解メッキ膜4が形成される。
【0014】
(無電解メッキに用いる無電解メッキ装置の詳細)
図3はステップS13での無電解メッキに用いられる無電解メッキ装置10の構成を示す一部断面図である。
無電解メッキ装置10は、処理液を用いて基板たるウエハWへの無電解メッキ処理、その前処理、メッキ後の洗浄処理および乾燥処理を行うことができる。
即ち、処理液としては、無電解メッキ用の薬液の他に、メッキの前処理、後処理用の薬液、純水等種々の液体を含めることができる。
【0015】
無電解メッキに用いる薬液(無電解メッキ液)として以下の材料を混合し純水に溶解したものを用いることができる。
▲1▼金属塩:メッキ膜を構成する金属イオンを供給する材料であり、メッキ膜が銅の場合には、例えば、硫酸銅、硝酸銅、塩化銅である。
▲2▼錯化剤:強アルカリ性下において、金属イオンが水酸化物として沈殿しないように、金属を錯体化して液中での安定性を向上させるための材料であり、例えば、アミン系材料としてHEDTA、EDTA、ED、有機系材料としてクエン酸、酒石酸、グルコン酸を用いることができる。
▲3▼還元剤:金属イオンを触媒的に還元析出させるための材料であり、例えば、ホルムアルデヒド、次亜燐酸塩、グリオキシル酸、金属塩(硝酸第二コバルト等)、ジメチルアミンボラン、塩化第二スズ、水素化ホウ素化合物を用いることができる。
▲4▼安定剤:酸化物(メッキ膜が銅の場合には酸化第二銅)の不均一性に起因するメッキ液の自然分解を防止する材料であり、窒素系の材料として、例えば、1価の銅と優先的に錯体を形成するビビルジル、シアン化合物、チオ尿素、0−フェナントロリン、ネオブロインを用いることができる。
▲5▼pH緩衝剤:メッキ液の反応が進んだときのpHの変化を抑制するための材料であり、例えば、ホウ酸、炭酸、オキシカルボン酸を用いることができる。
▲6▼添加剤:添加剤にはメッキ膜の析出の促進、抑制を行う材料や、表面またはメッキ膜の改質を行う材料がある。
・メッキ膜の析出速度を抑制し、メッキ液の安定化およびメッキ膜の特性を改善するための材料としては、硫黄系の材料として、例えば、チオ硫酸、2−MBTを用いることができる。
・メッキ液の表面張力を低下させ、ウエハWの面上にメッキ液が均一に配置されるようにするための材料としては、界面活性剤のノニオン系材料として例えばポリアルキレングリコール、ポリエチレングリコールを用いることができる。
【0016】
図3に示すように無電解メッキ装置10は、ベース11、中空モータ12,基板保持部たるウエハチャック20,上部プレート30,下部プレート40、カップ50,ノズルアーム61,62,傾斜調節部たる基板傾斜機構70,液供給機構80を有する。ここで、中空モータ12、ウエハチャック20,上部プレート30,下部プレート40、カップ50,ノズルアーム61,62は、直接的あるいは間接的にベース11に接続され、ベース11と共に移動、基板傾斜機構70による傾斜等が行われる。
【0017】
ウエハチャック20は、ウエハWを保持・固定するものであり、ウエハ保持爪21,ウエハチャック底板23、ウエハチャック支持部24から構成される。
ウエハ保持爪21は、ウエハチャック底板23の外周上に複数個配置され、ウエハWを保持、固定する。
ウエハチャック底板23は、ウエハチャック支持部24の上面に接続された略円形の平板であり、カップ50の底面上に配置されている。
ウエハチャック支持部24は、略円筒形状であり、ウエハチャック底板23に設けられた円形状の開口部に接続され、かつ中空モータ12の回転軸を構成する。この結果、中空モータ12を駆動することで、ウエハWを保持したままで、ウエハチャック20を回転させることができる。また、後述するようにカップ50が上下に移動可能であることから、カップ50の底に配置されているウエハチャック20もカップ50に伴って上下動を行う。
【0018】
上部プレート30は、略円形の平板形状であり、ヒータH(図示せず)、処理液吐出口31、処理液流入部32,温度測定機構33を有し、かつ昇降機構34に接続されている。
ヒータHは上部プレート30を加熱するための電熱線等の加熱手段である。ヒータHは温度測定機構33での温度測定結果に対応して、上部プレート30、ひいてはウエハWが所望の温度に保持されるように(例えば、室温から60℃程度の範囲)、図示しない制御手段により発熱量が制御される。
処理液吐出口31は、上部プレート30の下面に単数または複数形成され、処理液流入部32から流入した処理液を吐出する。
処理液流入部32は上部プレート30の上面側にあって、処理液が流入し、流入した処理液は処理液吐出口31へと分配される。処理液流入部32に流入する処理液は、純水(RT:室温)、加熱された薬液1,2(例えば、室温から60℃程度の範囲)を切り替えて用いることができる。また、後述するミキシングボックス85で混合された薬液1,2(場合により、他の薬液を含む複数の薬液を混合して)を処理液流入部32に流入させることもできる。
温度測定機構33は、上部プレート30に埋め込まれた熱電対等の温度測定手段であり、上部プレート30の温度を測定する。
昇降機構34は、上部プレート30に接続され、上部プレート30をウエハWに対向した状態で上下に昇降し、例えば、ウエハWとの間隔を0.1〜500mmの間で制御することができる。無電解メッキ中においてはウエハWと上部プレート30を近接させ(例えば、ウエハWと上部プレート30との間隔が2mm以下)、これらのギャップの空間の大きさを制限し、ウエハWの面上に供給される処理液の均一化、および使用量の低減を図ることができる。
【0019】
下部プレート40は、ウエハWの下面に対向して配置された略円形の平板形状であり、ウエハWに近接した状態でその下面へ加熱された純水の供給を行うことで、ウエハWを適宜に加熱することができる。
ウエハWの加熱を効率よく行うためには、下部プレート40の大きさがウエハWの大きさに近似することが好ましい。具体的には、下部プレート40の大きさがウエハWの面積の80%以上、あるいは90%以上とすることが好ましい。
下部プレート40は、その上面の中央に処理液吐出口41が形成され、支持部42で支持されている。
処理液吐出口41は、支持部42内を通過した処理液が吐出する。処理液は純水(RT:室温)、加熱された純水(例えば、室温から60℃程度の範囲)を切り替えて用いることができる。
支持部42は、中空モータ12を貫通し、間隔調節部たる昇降機構(図示せず)に接続されている。昇降機構を動作することで、支持部42、ひいては下部プレート40を上下に昇降することができる。
【0020】
カップ50は、ウエハチャック20をその中に保持し、かつウエハWの処理に用いられた処理液を受け止め排出するものであり、カップ側部51,カップ底板52,廃液管53を有する。
カップ側部51は、その内周がウエハチャック20の外周に沿う略円筒形であり、その上端がウエハチャック20の保持面の上方近傍に位置している。
カップ底板52は,カップ側部51の下端に接続され、中空モータ12に対応する位置に開口部を有し、その開口部に対応する位置にウエハチャック20が配置されている。
廃液管53は、カップ底板52に接続され、カップ50から廃液(ウエハWを処理した処理液)を無電解メッキ装置10が設置された工場の廃液ライン等へと排出するための配管である。
カップ50は、図示しない昇降機構に接続され、ベース11とウエハWに対して上下に移動することができる。
【0021】
ノズルアーム61,62は、ウエハWの上面近傍に配置され、その先端の開口部から処理液、エアー等の流体を吐出する。吐出する流体は純水、薬液、窒素ガスを適宜に選択することができる。ノズルアーム61,62にはそれぞれ、ウエハWの中央に向かう方向にノズルアーム61,62を移動させる移動機構(図示せず)が接続されている。ウエハWに流体を吐出する場合にはノズルアーム61,62がウエハWの上方に移動され、吐出が完了するとウエハWの外周の外に移動される。なお、ノズルアームの数は吐出する薬液の量、種類により単数もしくは3本以上にすることも可能である。
【0022】
基板傾斜機構70は,ベース11に接続され、ベース11の一端を上下させることで、ベース11、およびこれに接続されたウエハチャック20,ウエハW、上部プレート30,下部プレート40、カップ50を例えば、0〜10°、あるいは0〜5°の範囲で傾斜させる。
図4は基板傾斜機構70によって、ウエハW等が傾斜された状態を表す一部断面図である。基板傾斜機構70によってベース11が傾き、ベース11に直接的あるいは間接的に接続されたウエハW等が角度θ傾斜していることが判る。
【0023】
液供給機構80は、上部プレート30,下部プレート40に加熱された処理液を供給するものであり、温度調節機構81,処理液タンク82,83,84、ポンプP1〜P5、バルブV1〜V5、ミキシングボックス85から構成される。なお、図3は薬液1,2と2種類の薬液を用いた場合を表しているが、処理タンク、ポンプ、バルブの数はミキシングボックス85で混合する薬液に数に応じて適宜に設定できる。
温度調節機構81はその内部に温水、および処理液タンク82〜84を有し、処理液タンク82〜84中の処理液(純水、薬液1,2)を温水によって加熱する装置であり、処理液を例えば、室温から60℃程度の範囲で適宜に加熱する。この温度調節には、例えば、ウォータバス、投げ込みヒータ、外部ヒータを適宜に用いることができる。
処理液タンク82,83,84は、それぞれ、純水、薬液1,2を保持するタンクである。
ポンプP1〜P3は、処理液タンク82〜84から処理液を吸い出す。なお、処理液タンク82〜84をそれぞれ加圧することで、処理液タンク82〜84からの送液を行ってもよい。
バルブV1〜V3は配管の開閉を行い、処理液の供給および供給停止を行う。また、バルブV4,V5は、それぞれ上部プレート30、下部プレート40に室温の(加熱されない)純水を供給するためのものである。
ミキシングボックス85は、処理液タンク83,84から送られた薬液1,2を混合するための容器である。
上部プレート30には、薬液1,2を適宜にミキシングボックス85で混合、温度調節して送ることができる。また、下部プレート40には、温度調節された純水を適宜に送ることができる。
【0024】
(無電解メッキ工程の詳細)
図5は、無電解メッキ装置10を用いて前述のステップS11,S12の工程を経たウエハWに対して無電解メッキを行う手順の一例を表すフロー図である。また、図6から12は、図5に表した手順で無電解メッキを行った場合において、各工程における無電解メッキ装置10の状態を表した一部断面図である。以下、図5〜12を用いてこの手順を詳細に説明する。
【0025】
(1)ウエハWの保持(ステップS1および図6)
前述のステップS11,S12の工程を経たウエハWがウエハチャック20上に保持される。例えば、ウエハWをその上面で吸引した図示しない吸引アーム(基板搬送機構)がウエハチャック20上にウエハWを載置する。そして、ウエハチャック20のウエハ保持爪21によってウエハWを保持・固定する。なお、カップ50を降下させることで、ウエハWの上面より下で吸引アームを水平方向に動かすことができる。
【0026】
(2)ウエハWの前処理(ステップS2および図7)
ウエハWを回転させ、ウエハWの上面にノズルアーム61またはノズルアーム62から処理液を供給することで、ウエハWの前処理が行われる。
ウエハWの回転は中空モータ12によりウエハチャック20を回転することで行われ、このときの回転速度は一例として100〜200rpmとすることができる。
ノズルアーム61,62いずれかまたは双方がウエハWの上方に移動し、処理液を吐出する。ノズルアーム61,62から供給される処理液は、前処理の目的に応じて、例えば、ウエハW洗浄用の純水あるいはウエハWの触媒活性化処理用の薬液が順次に供給される。このときの吐出量は、ウエハW上に処理液のパドル(層)を形成するに必要な量、例えば、100ml程度で足りる。但し、必要に応じて、吐出量を多くしても差し支えない。また、吐出される処理液は適宜に加熱(例えば、室温から60℃程度の範囲)してもよい。
【0027】
(3)ウエハWの加熱(ステップS3および図8)
ウエハWをメッキ液の反応に適した温度に保つためにウエハWの加熱が行われる。
下部プレート40を加熱してウエハWの下面に近接させ(一例として、ウエハW下面と下部プレート40上面との間隔:0.1〜2mm程度)、処理液吐出口41から液供給機構80で加熱された純水を供給する。この加熱された純水は、ウエハW下面と下部プレート40上面との間に充満し、ウエハWを加熱する。
なお、このウエハWの加熱中にウエハWを回転することで、ウエハWの加熱の均一性を向上することができる。
ウエハWを純水等の液体で加熱することで、ウエハWと下部プレート40とを別個に回転または非回転とすることが容易となり、かつウエハW下面の汚染が防止される。
以上のウエハWの加熱は他の手段で行っても差し支えない。例えば、ヒータやランプの輻射熱によってウエハWを加熱しても差し支えない。また、場合により、加熱した下部プレート40をウエハWに接触することでウエハWを加熱してもよい。
【0028】
(4)メッキ液の供給(ステップS4および図9)。
上部プレート30を加熱してウエハWの上面に近接させ(一例として、ウエハW上面と上部プレート30下面との間隔:0.1〜2mm程度)、処理液吐出口31からメッキ用の薬液(メッキ液)を供給する(一例として、30〜100ml/min)。供給されたメッキ液は、ウエハW上面と上部プレート30下面との間に充満し、カップ50へと流出する。このとき、メッキ液は上部プレート30によって温度調節される(一例として、室温から60℃程度の範囲)。なお、供給されるメッキ液は液供給機構80によって温度調節されていることが好ましい。
ここで、ウエハチャック20によってウエハWを回転することで、ウエハWに形成されるメッキ膜の均一性を向上できる。一例として、ウエハWを10〜50rpmで回転する。
また、上部プレート30の加熱は先のステップS1〜S3のどこかで先行して行うことができる。上部プレート30の加熱を他の工程と並行して行うことでウエハWの処理時間を低減できる。
以上のように、ウエハWの上面に所望の温度に加熱されたメッキ液を供給することでウエハWにメッキ膜が形成される。このメッキ液の供給中にウエハWを回転することで、ウエハWへのメッキ膜の形成の均一性を向上することができる。
【0029】
以上のメッキ液の供給に際して、以下のようなことを行うことも可能である。
▲1▼メッキ液の供給前に、基板傾斜機構70によってウエハチャック20および上部プレート30を傾斜させることができる。
ウエハWが傾斜されることで、ウエハWと上部プレート30間の気体を速やかに除去し、メッキ液に置換することができる。仮に、ウエハWと上部プレート30間の気体の除去が不完全だと、ウエハWと上部プレート30間に気泡が残存し形成されるメッキ膜の均一性が阻害される原因になる。
また、メッキ液によるメッキ膜の形成に伴って気体(例えば、水素)が発生し、発生した気体により気泡が形成されて、メッキ膜の均一性が阻害される可能性もある。
基板傾斜機構70によってウエハWを傾斜させることで、気泡の発生の低減および発生した気泡の脱出の促進を図り、メッキ膜の均一性を向上することが可能となる。
▲2▼メッキ液の温度を時間的に変化させることができる。
このようにすることで、形成されるメッキ膜の層方向でその構造や組成を変化させることができる。
▲3▼メッキ膜の形成中におけるメッキ液の供給を、連続的ではなく、間欠的に行うこともできる。ウエハW上に供給されたメッキ液を効率良く消費して、その使用量を削減できる。
【0030】
(5)ウエハWの洗浄(ステップS5および図10)。
ウエハWを純水で洗浄する。この洗浄は、上部プレート30の処理液吐出口31から吐出される処理液をメッキ液から純水に切り替えることで行える。このとき、下部プレート40の処理液吐出口41から純水を供給することができる。
ウエハWの洗浄に、ノズルアーム61,62を用いることもできる。このときには、上部プレート30の処理液吐出口31からのメッキ液の供給を停止し、上部プレート30をウエハWから離す。しかる後に、ノズルアーム61,62をウエハWの上方に移動させて、純水を供給する。このときにも下部プレート40の処理液吐出口41から純水を供給することが好ましい。
以上のウエハWの洗浄中にウエハWを回転することで、ウエハWの洗浄の均一性を向上することができる。
【0031】
(6)ウエハWの乾燥(ステップS6および図11)。
ウエハWへの純水の供給を停止し、ウエハWを高速で回転することで、ウエハW上の純水を除去する。場合により、ノズルアーム61,62から窒素ガスを噴出してウエハWの乾燥を促進してもよい。
(7)ウエハWの除去(ステップS7および図12)。
ウエハWの乾燥が終了した後、ウエハチャック20によるウエハWの保持が停止される。その後、図示しない吸引アーム(基板搬送機構)によりウエハWがウエハチャック20上から取り去られる。
【0032】
(第2実施形態)
図13は、本発明の第2実施形態に係る無電解メッキ方法の工程を表すフロー図である。また、図14は図13の工程におけるウエハWの断面を表す断面図である。
図13に示すように、本発明の第2実施形態に係る無電解メッキ方法では、ステップS21〜S22の順にウエハWが処理される。以下、この処理手順の詳細を説明する。
【0033】
(1)ウエハWへのバリア層の形成(ステップS21、図14(A))
ウエハWにバリア層2aが形成される。このバリア層2aには、無電解メッキ液の還元剤に対して触媒活性を有しない非触媒活性材料に無電解メッキ液の還元剤に対して触媒活性を有する触媒活性材料が混合(ドープ)して用いられる。
非触媒活性材料として、例えば、Ta、TaN、W、WN、Ti、TiNのいずれかが用いられる。非触媒活性材料に触媒活性材料をドープすることで、バリア層2aに触媒活性を付与することができる。
触媒活性材料としては、第1の実施形態で示した触媒活性材料を無電解メッキ液の還元剤に対応して選択することができる。
バリア層2aの形成は例えば、物理的成膜法によって行うことができる。具体的には、非触媒活性材料と触媒活性材料を混合したターゲットを用いた(あるいは、非触媒活性材料、触媒活性材料それぞれのターゲットとを同時に用いた)スパッタリング法によって、バリア層2aを形成できる。これは非触媒活性材料と触媒活性材料を同時に蒸発させた真空蒸着(共蒸着)によって行うこともできる。
(2)ウエハWの無電解メッキ(ステップS22、図14(B))
ウエハWに対して無電解メッキを行い、無電解メッキ膜4aを形成する。この場合、バリア層2aにはドープされた触媒活性材料に基づいて、触媒活性が付与されていることから、バリア層2a上に無電解メッキ膜4aが形成される。
【0034】
(第3実施形態)
図15は、本発明の第3実施形態に係る無電解メッキ方法の工程を表すフロー図である。また、図16は図15の工程におけるウエハWの断面を表す断面図である。
図15に示すように、本発明の第3実施形態に係る無電解メッキ方法では、ステップS31〜S32の順にウエハWが処理される。以下、この処理手順の詳細を説明する。
(1)ウエハWへのバリア層の形成(ステップS31、図16(A))
ウエハWにバリア層2bが形成される。このバリア層2bは、無電解メッキ液の還元剤に対して触媒活性を有する触媒活性材料によって構成される。
触媒活性材料としては、第1の実施形態で示した触媒活性材料を無電解メッキ液の還元剤に対応して選択することができる。
バリア層2bの形成は例えば、物理的成膜法(例えば、スパッタリング法、真空蒸着法)や化学的成膜法(例えば、CVD法)によって行うことができる。
(2)ウエハWの無電解メッキ(ステップS32、図16(B))
ウエハWに対して無電解メッキを行い、無電解メッキ膜を形成する。この場合、バリア層2bを構成する触媒活性材料が触媒活性を有することから、バリア層2b上に無電解メッキ膜4bが形成される。
【0035】
(実施例1)
無電解メッキ液を構成する金属塩、還元剤それぞれに、銅塩、グリオキシル酸を用いて、第3の実施形態に対応する手順(バリア層を触媒活性材料で構成)で銅の無電解メッキ膜を形成した。
具体的には、下地(バリア層)がRu、Ag、Pt、V、In、Ir,Co、Rhそれぞれについて銅の無電解メッキを行った。また、比較例として、下地がCu、TaN、TiN、W、WN、Taの場合についても銅の無電解メッキを行った。
下地がRu、Ag、Pt、Irの場合はいずれも、下地がCuの場合と比べても良好な密着性、析出速度を示した。特に下地がRu、Agの場合は下地がCuの場合よりも良好な密着性を示した。
これに対して、WN、Taは、Cuの析出自体が行われなかった。また、下地がTaN、TiN、Wの場合は、Cuの形成は行われるものの、形成されたCuの下地への密着性が良好とは言い難かった。
【0036】
(実施例2)
無電解メッキ液を構成する金属塩、還元剤それぞれに、銅塩、金属塩(硝酸コバルト)を用いて、第3の実施形態に対応する手順(バリア層を触媒活性材料で構成)で銅の無電解メッキ膜を形成した。
具体的には、下地(バリア層)がAg、Ir、Rhそれぞれについて銅の無電解メッキを行った。また、比較例として、下地がCu、TaN、TiN、W、WN、V、Co、In、Ru、Ptの場合についても銅の無電解メッキを行った。下地がAg、Ir、Rhの場合はいずれも、下地がCuの場合と比べても良好な密着性、析出速度を示した。特に、下地がAgの場合は下地がCuの場合よりも良好な密着性を示した。
これに対して、下地がTa、TaN、TiN、W、WN、V、In、Ruの場合はいずれも、Cuの析出自体が行われなかった。下地がPtの場合は、Cuの形成が行われるものの十分ではなかった。また、下地がCo、Rhの場合は、Cuの形成は行われるものの、形成されたCuの下地への密着性が良好とは言い難かった。
【0037】
(その他の実施形態)
本発明の実施形態は既述の実施形態には限られず、拡張、変更できる。拡張、変更した実施形態も本発明の技術的範囲に含まれる。
例えば、基板としてウエハW以外の例えばガラス板等を利用することができる。
【0038】
【発明の効果】
以上説明したように本発明によれば、バリア層上への無電解メッキを多様な処理で実現可能な無電解メッキ方法を提供することが可能となる。
【図面の簡単な説明】
【図1】第1実施形態に係る無電解メッキ方法の手順を表したフロー図である。
【図2】図1の手順におけるウエハWの断面を表す断面図図である。
【図3】図1での無電解メッキに用いられる無電解メッキ装置を表した一部断面図である。
【図4】図3に示した無電解メッキ装置に設置されたウエハW等が傾斜された状態を表す一部断面図である。
【図5】第1の実施形態に係る無電解メッキ装置を用いて無電解メッキを行う場合の手順の一例を表すフロー図である。
【図6】図5に表した手順で無電解メッキを行った場合における無電解メッキ装置の状態を表した一部断面図である。
【図7】図5に表した手順で無電解メッキを行った場合における無電解メッキ装置の状態を表した一部断面図である。
【図8】図5に表した手順で無電解メッキを行った場合における無電解メッキ装置の状態を表した一部断面図である。
【図9】図5に表した手順で無電解メッキを行った場合における無電解メッキ装置の状態を表した一部断面図である。
【図10】図5に表した手順で無電解メッキを行った場合における無電解メッキ装置の状態を表した一部断面図である。
【図11】図5に表した手順で無電解メッキを行った場合における無電解メッキ装置の状態を表した一部断面図である。
【図12】図5に表した手順で無電解メッキを行った場合における無電解メッキ装置の状態を表した一部断面図である。
【図13】第2実施形態に係る無電解メッキ方法の手順を表したフロー図である。
【図14】図13の手順におけるウエハWの断面を表す断面図図である。
【図15】第3実施形態に係る無電解メッキ方法の手順を表したフロー図である。
【図16】図15の手順におけるウエハWの断面を表す断面図図である。
【符号の説明】
W……ウエハ
2、2a,2b……バリア層
3……触媒活性核
4、4a,4b……無電解メッキ膜
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to an electroless plating method for forming an electroless plating film.
[0002]
[Prior art]
When manufacturing a semiconductor device, wiring is formed on a semiconductor substrate.
With the increase in the degree of integration of semiconductor devices, the miniaturization of wiring has been promoted, and in response to this, the technology for creating wiring has been developed. For example, as a method of forming a copper wiring, a dual damascene method of forming a wiring and interlayer connection by forming a copper seed layer by sputtering and filling a groove or the like by electroplating has been put to practical use. With this method, it is difficult to form electroplating on the surface to be plated on which the seed layer is not formed.
On the other hand, there is an electroless plating method as a plating method that does not require a seed layer. In electroless plating, a plating film is formed by chemical reduction, and a plating film formed of a wiring material can be continuously formed by the formed plating film acting as an autocatalyst. In electroless plating, it is not necessary to form a seed layer in advance, and there is no possibility that the plating film becomes non-uniform due to non-uniformity of the seed layer (particularly, step coverage in concave portions and convex portions).
In order to prevent the diffusion of the wiring material, a barrier layer may be formed on a substrate, and a plating film may be formed thereon. The barrier layer is made of a metal nitride such as TiN or TaN or the like, and is inert to electroless plating. Therefore, it is difficult to perform electroless plating on the barrier layer.
Here, in the case of using a barrier layer, a technique has been disclosed that enables formation of an electroless plating film of copper on the barrier layer by previously forming copper on the barrier layer by sputtering or the like. (See Patent Document 1).
[0003]
[Patent Document 1]
JP 2001-85434 A (page 4, FIG. 1)
[0004]
[Problems to be solved by the invention]
However, in the technique disclosed in Patent Document 1, the same material as the plating film is formed on the barrier layer, and the processing content is limited.
In view of the above, an object of the present invention is to provide an electroless plating method that can realize electroless plating on a barrier layer by various processes.
[0005]
[Means for Solving the Problems]
A. In order to achieve the above object, an electroless plating method according to the present invention comprises: a diffusion limiting layer forming step of forming a diffusion limiting layer for limiting diffusion of a predetermined material on a substrate; On at least a part of the diffusion limiting layer formed above, a catalytically active nucleus having a catalytic activity for an oxidation reaction of a reducing agent in the electroless plating reaction, and comprising a catalytically active material different from the predetermined material. Forming a catalytically active nucleus forming step, and forming a plating film made of the predetermined material on the substrate on which the catalytically active nucleus is formed in the catalytically active nucleus forming step, using an electroless plating solution containing the reducing agent. And forming a plating film.
After forming a catalytically active nucleus made of a catalytically active material having a catalytic activity on a reducing agent contained in an electroless plating film on a diffusion limiting layer (for example, a barrier layer), electroless plating is performed using an electroless plating solution. Perform plating. The reaction of the reducing agent contained in the electroless plating film is promoted by the catalytically active nuclei, whereby the electroless plating film can be formed.
[0006]
Here, the catalytically active nuclei may be discontinuously formed on the diffusion limiting layer. That is, the electroless plating film can be formed irrespective of whether the catalytically active nuclei formed on the diffusion limiting layer are continuous (for example, a layered continuous film) or discontinuous (for example, a discontinuous film scattered like islands). .
[0007]
B. The electroless plating method according to the present invention has a diffusion limiting layer that has catalytic activity for an oxidation reaction of a predetermined reducing agent, and includes a catalytically active material different from the predetermined material, and restricts diffusion of the predetermined material. Forming a diffusion limiting layer on a substrate, and forming the predetermined material on the substrate on which the diffusion limiting layer has been formed in the diffusion limiting layer forming step, using an electroless plating solution containing the predetermined reducing agent. And a plating film forming step of forming a plating film comprising:
After forming a diffusion limiting layer (for example, a barrier layer) containing a catalytically active material, electroless plating is performed using an electroless plating solution. The reaction of the reducing agent contained in the electroless plating film is promoted by the catalytically active material in the diffusion limiting layer, and the electroless plating film can be formed.
[0008]
C. The electroless plating method according to the present invention is characterized in that the substrate has a catalytic activity for an oxidation reaction of a predetermined reducing agent, is made of a catalytically active material different from the predetermined material, and includes a diffusion limiting layer for restricting diffusion of the predetermined material. A diffusion limiting layer forming step to be formed thereon; and a substrate formed with the diffusion limiting layer in the diffusion limiting layer forming step, comprising the predetermined material using an electroless plating solution containing the predetermined reducing agent. A plating film forming step of forming a plating film.
After forming a diffusion limiting layer (for example, a barrier layer) using a material having both catalytic activity and diffusion limiting property, electroless plating is performed using an electroless plating solution. The reaction of the reducing agent contained in the electroless plating film is promoted by the catalytically active material constituting the diffusion limiting layer, and the electroless plating film can be formed.
[0009]
BEST MODE FOR CARRYING OUT THE INVENTION
Hereinafter, an electroless plating method according to an embodiment of the present invention will be described in detail with reference to the drawings.
(1st Embodiment)
FIG. 1 is a flowchart showing a procedure of the electroless plating method according to the first embodiment of the present invention. FIG. 2 is a sectional view showing a section of the wafer W in the procedure of FIG.
As shown in FIG. 1, in the electroless plating method according to the first embodiment of the present invention, a wafer W is processed in the order of steps S11 to S13. Hereinafter, the details of this processing procedure will be described.
[0010]
(1) Formation of barrier layer on wafer W (step S11, FIG. 2A)
A barrier layer is formed on the wafer W. The barrier layer functions as a diffusion limiting layer, and is a barrier for preventing diffusion of a wiring material (for example, copper) or the like. The barrier layer prevents contamination of the wafer W due to diffusion (for example, electromigration) of a wiring material or the like. For example, Ta, TaN, W, WN, Ti, and TiN can be used as the material of the barrier layer.
Irregularities for burying wiring materials such as trenches and vias are appropriately formed on the wafer W, and a barrier layer is formed corresponding to the irregularities. FIG. 2A shows a state in which the barrier layer 2 is formed corresponding to the concave portion 1. The barrier layer 2 can be formed by, for example, a physical film forming method (such as a sputtering method or a vacuum deposition) or a chemical film forming method (such as a CVD method).
[0011]
(2) Formation of catalytically active nuclei on barrier layer (step S12, FIG. 2 (B))
A catalytically active nucleus 3 is formed on the barrier layer 2. The catalytically active nucleus 3 is composed of the electroless plating solution used in step S13, particularly a catalytically active material having an activity as a catalyst for accelerating an oxidation reaction of a reducing agent as a component thereof, and is used for forming an electroless plating film. Functions as a nucleus (origin). This catalytically active nucleus 3 may be a layered continuous film or a discontinuous film scattered in an island shape (island shape).
[0012]
Here, examples of the catalytically active material constituting the catalytically active core 3 will be described. This catalytically active material can be selected according to the reducing agent used as a component of the electroless plating solution described later.
{Circle around (1)} When the reducing agent is formaldehyde: Ir, Pd, Ag, Ru, Rh, Au, Pd, Pt
Reaction during electroless plating: 2HC (OH) O + 2OH
→ 2HCOO + + 2H 2 O + H 2 ↑ + 2e -
{Circle around (2)} When the reducing agent is hypophosphite: Au, Ni, Pd, Co, Pt (arranged so that the catalytic activity becomes higher toward the left (Au> Pt))
Reaction during electroless plating: H 2 PO 2 + 2OH
→ 2H 2 PO 3 + H 2 ↑ + 2e
{Circle around (3)} When the reducing agent is gluquioxylic acid: Ir, Pd, Ag, Ru, Rh, Au, Pd, Pt
Reaction during electroless plating: 2HC (OH) O + 2OH
→ 2HCOO + + 2H 2 O + H 2 ↑ + 2e -
{Circle around (4)} When the reducing agent is a metal salt (such as cobalt nitrate): Ag, Pt, Rh, Ir, Pd, Au
(5) When the reducing agent is dimethylamine borane: Ni, Pd, Ag, Au, Pt
Reaction during electroless plating: (CH 3 ) 2 HN · BH 3 + 3H 2 O
→ H 3 BO 3 + (CH 3) 2H 2 N + + 5H + + 6e -
[0013]
(3) Electroless plating of wafer W (Step S13, FIGS. 2C and 2D)
Electroless plating is performed on the wafer W to form an electroless plating film. In addition, this electroless plating can be performed by the procedure shown in FIG. 5 using the apparatus shown in FIG. 3 as described later.
In an initial stage of the electroless plating, an electroless plating film is formed on the catalytically active nuclei 3 (FIG. 2C). That is, at this stage, if the catalytically active core 3 is a discontinuous film, the electroless plating film also becomes a discontinuous film.
Thereafter, the electroless plating film 4 grows, and the electroless plating film 4 on the catalytically active nuclei 3 spreads on the surface of the wafer W. That is, even when the catalytically active nuclei 3 are discontinuous films, the electroless plating films 4 on the catalytically active nuclei 3 are connected to each other to form a continuous film.
In the case where the catalytically active nucleus 3 is a continuous film, a continuous non-continuous electroless plating film 4 as shown in FIGS. 2C and 2D is not necessarily required. An electrolytic plating film 4 is formed.
[0014]
(Details of electroless plating equipment used for electroless plating)
FIG. 3 is a partial cross-sectional view illustrating the configuration of the electroless plating apparatus 10 used for the electroless plating in step S13.
The electroless plating apparatus 10 can perform an electroless plating process on a wafer W as a substrate using a processing solution, a pretreatment thereof, a cleaning process after plating, and a drying process.
That is, as the treatment liquid, various liquids such as a plating pre-treatment liquid, a post-treatment liquid liquid, and pure water can be included in addition to the electroless plating liquid chemical.
[0015]
As a chemical solution (electroless plating solution) used for electroless plating, a solution obtained by mixing the following materials and dissolving the same in pure water can be used.
{Circle around (1)} Metal salt: A material for supplying metal ions constituting the plating film. When the plating film is copper, for example, copper sulfate, copper nitrate, copper chloride.
{Circle around (2)} Complexing agent: a material for complexing a metal to improve stability in a liquid so that a metal ion does not precipitate as a hydroxide under strong alkalinity. HEDTA, EDTA, ED, and citric acid, tartaric acid, and gluconic acid can be used as organic materials.
{Circle around (3)} Reducing agent: a material for catalytically reducing and depositing metal ions, for example, formaldehyde, hypophosphite, glyoxylic acid, metal salts (such as cobalt nitrate), dimethylamine borane, and secondary chloride Tin and borohydride compounds can be used.
{Circle around (4)} Stabilizer: a material for preventing spontaneous decomposition of a plating solution due to non-uniformity of an oxide (copper oxide when a plating film is copper). Bivirdyl, a cyanide, a thiourea, 0-phenanthroline, and neobroin, which form a complex preferentially with copper having a valency, can be used.
(5) pH buffer: a material for suppressing a change in pH when the reaction of the plating solution proceeds, for example, boric acid, carbonic acid, and oxycarboxylic acid can be used.
{Circle around (6)} Additives: Additives include materials for accelerating and suppressing the deposition of the plating film, and materials for modifying the surface or the plating film.
As a material for suppressing the deposition rate of the plating film, stabilizing the plating solution and improving the characteristics of the plating film, for example, thiosulfuric acid or 2-MBT can be used as a sulfur-based material.
As a material for lowering the surface tension of the plating solution so that the plating solution is uniformly disposed on the surface of the wafer W, for example, polyalkylene glycol or polyethylene glycol is used as a nonionic surfactant material. be able to.
[0016]
As shown in FIG. 3, the electroless plating apparatus 10 includes a base 11, a hollow motor 12, a wafer chuck 20 serving as a substrate holding unit, an upper plate 30, a lower plate 40, a cup 50, nozzle arms 61 and 62, and a substrate serving as a tilt adjusting unit. It has a tilt mechanism 70 and a liquid supply mechanism 80. Here, the hollow motor 12, the wafer chuck 20, the upper plate 30, the lower plate 40, the cup 50, and the nozzle arms 61 and 62 are directly or indirectly connected to the base 11, move together with the base 11, and move the substrate tilt mechanism 70. Is performed.
[0017]
The wafer chuck 20 holds and fixes the wafer W, and includes a wafer holding claw 21, a wafer chuck bottom plate 23, and a wafer chuck support 24.
A plurality of wafer holding claws 21 are arranged on the outer periphery of the wafer chuck bottom plate 23 to hold and fix the wafer W.
The wafer chuck bottom plate 23 is a substantially circular flat plate connected to the upper surface of the wafer chuck support 24, and is disposed on the bottom surface of the cup 50.
The wafer chuck support 24 has a substantially cylindrical shape, is connected to a circular opening provided in the wafer chuck bottom plate 23, and forms a rotation shaft of the hollow motor 12. As a result, by driving the hollow motor 12, the wafer chuck 20 can be rotated while holding the wafer W. Further, since the cup 50 can be moved up and down as described later, the wafer chuck 20 disposed at the bottom of the cup 50 also moves up and down with the cup 50.
[0018]
The upper plate 30 has a substantially circular flat plate shape, includes a heater H (not shown), a processing liquid discharge port 31, a processing liquid inflow section 32, a temperature measuring mechanism 33, and is connected to an elevating mechanism 34. .
The heater H is a heating unit such as a heating wire for heating the upper plate 30. The heater H controls the upper plate 30 and thus the wafer W at a desired temperature (for example, in the range from room temperature to about 60 ° C.) according to the temperature measurement result by the temperature measurement mechanism 33. Controls the calorific value.
One or more processing liquid discharge ports 31 are formed on the lower surface of the upper plate 30, and discharge the processing liquid flowing from the processing liquid inflow portion 32.
The processing liquid inflow section 32 is on the upper surface side of the upper plate 30, into which the processing liquid flows, and the flowing processing liquid is distributed to the processing liquid discharge port 31. The processing liquid flowing into the processing liquid inflow section 32 can be switched between pure water (RT: room temperature) and heated chemicals 1 and 2 (for example, a range from room temperature to about 60 ° C.). Further, the chemicals 1 and 2 (in some cases, a plurality of chemicals including other chemicals are mixed) mixed in a mixing box 85 described later can flow into the processing liquid inflow section 32.
The temperature measuring mechanism 33 is a temperature measuring means such as a thermocouple embedded in the upper plate 30, and measures the temperature of the upper plate 30.
The elevating mechanism 34 is connected to the upper plate 30 and moves up and down in a state where the upper plate 30 faces the wafer W. For example, the distance between the elevating mechanism 34 and the wafer W can be controlled between 0.1 and 500 mm. During the electroless plating, the wafer W and the upper plate 30 are brought close to each other (for example, the distance between the wafer W and the upper plate 30 is 2 mm or less), and the size of the space of these gaps is limited. It is possible to make the supplied processing liquid uniform and to reduce the amount used.
[0019]
The lower plate 40 has a substantially circular flat plate shape disposed to face the lower surface of the wafer W, and supplies heated pure water to the lower surface in a state close to the wafer W, thereby appropriately changing the wafer W. Can be heated.
In order to efficiently heat the wafer W, it is preferable that the size of the lower plate 40 be close to the size of the wafer W. Specifically, it is preferable that the size of the lower plate 40 be 80% or more of the area of the wafer W, or 90% or more.
The lower plate 40 has a processing liquid discharge port 41 formed at the center of the upper surface thereof, and is supported by a support portion 42.
The processing liquid discharge port 41 discharges the processing liquid that has passed through the support portion 42. As the treatment liquid, pure water (RT: room temperature) or heated pure water (for example, a range from room temperature to about 60 ° C.) can be used by switching.
The support portion 42 penetrates through the hollow motor 12 and is connected to an elevating mechanism (not shown) serving as an interval adjusting portion. By operating the elevating mechanism, the support part 42 and thus the lower plate 40 can be moved up and down.
[0020]
The cup 50 holds the wafer chuck 20 therein, receives and discharges a processing liquid used for processing the wafer W, and has a cup side portion 51, a cup bottom plate 52, and a waste liquid pipe 53.
The cup side portion 51 has a substantially cylindrical shape with its inner periphery along the outer periphery of the wafer chuck 20, and its upper end is located near the upper side of the holding surface of the wafer chuck 20.
The cup bottom plate 52 is connected to the lower end of the cup side portion 51, has an opening at a position corresponding to the hollow motor 12, and the wafer chuck 20 is arranged at a position corresponding to the opening.
The waste liquid pipe 53 is connected to the cup bottom plate 52 and is a pipe for discharging a waste liquid (a processing liquid obtained by processing the wafer W) from the cup 50 to a waste liquid line of a factory where the electroless plating apparatus 10 is installed.
The cup 50 is connected to a lifting mechanism (not shown), and can move up and down with respect to the base 11 and the wafer W.
[0021]
The nozzle arms 61 and 62 are disposed near the upper surface of the wafer W, and discharge a processing liquid, a fluid such as air, etc. from an opening at the tip thereof. As the fluid to be discharged, pure water, a chemical solution, and nitrogen gas can be appropriately selected. A moving mechanism (not shown) for moving the nozzle arms 61 and 62 in a direction toward the center of the wafer W is connected to the nozzle arms 61 and 62, respectively. When discharging the fluid onto the wafer W, the nozzle arms 61 and 62 are moved above the wafer W, and when the discharge is completed, the nozzle arms 61 and 62 are moved out of the outer periphery of the wafer W. The number of nozzle arms may be singular or three or more depending on the amount and type of the chemical solution to be discharged.
[0022]
The substrate inclining mechanism 70 is connected to the base 11 and raises and lowers one end of the base 11 to move the base 11 and the wafer chuck 20, the wafer W, the upper plate 30, the lower plate 40, and the cup 50 connected thereto, for example. , 0 to 10 °, or 0 to 5 °.
FIG. 4 is a partial cross-sectional view illustrating a state where the wafer W and the like are tilted by the substrate tilting mechanism 70. It can be seen that the base 11 is tilted by the substrate tilting mechanism 70, and the wafer W or the like directly or indirectly connected to the base 11 is tilted by the angle θ.
[0023]
The liquid supply mechanism 80 supplies the heated processing liquid to the upper plate 30 and the lower plate 40, and includes a temperature control mechanism 81, processing liquid tanks 82, 83, 84, pumps P1 to P5, valves V1 to V5, It is composed of a mixing box 85. Although FIG. 3 shows a case where two types of chemicals are used as the chemicals 1 and 2, the number of processing tanks, pumps, and valves can be appropriately set according to the number of chemicals mixed in the mixing box 85.
The temperature control mechanism 81 has warm water and processing liquid tanks 82 to 84 therein, and is a device for heating the processing liquids (pure water, chemical liquids 1 and 2) in the processing liquid tanks 82 to 84 with hot water. The liquid is appropriately heated, for example, in a range from room temperature to about 60 ° C. For this temperature adjustment, for example, a water bath, a throw-in heater, and an external heater can be appropriately used.
The processing liquid tanks 82, 83 and 84 are tanks for holding pure water and chemicals 1 and 2, respectively.
The pumps P1 to P3 suck out the processing liquid from the processing liquid tanks 82 to 84. The liquid may be sent from the processing liquid tanks 82 to 84 by pressurizing the processing liquid tanks 82 to 84, respectively.
The valves V1 to V3 open and close the pipes, and supply and stop the supply of the processing liquid. The valves V4 and V5 are for supplying pure water at room temperature (not heated) to the upper plate 30 and the lower plate 40, respectively.
The mixing box 85 is a container for mixing the chemicals 1 and 2 sent from the processing liquid tanks 83 and 84.
The chemicals 1 and 2 can be appropriately mixed and temperature-controlled in the mixing box 85 and sent to the upper plate 30. Further, temperature-controlled pure water can be appropriately sent to the lower plate 40.
[0024]
(Details of electroless plating process)
FIG. 5 is a flowchart illustrating an example of a procedure for performing electroless plating on the wafer W that has undergone the above-described steps S11 and S12 using the electroless plating apparatus 10. 6 to 12 are partial cross-sectional views showing the state of the electroless plating apparatus 10 in each step when electroless plating is performed according to the procedure shown in FIG. Hereinafter, this procedure will be described in detail with reference to FIGS.
[0025]
(1) Holding of wafer W (step S1 and FIG. 6)
The wafer W that has undergone the steps S11 and S12 is held on the wafer chuck 20. For example, a suction arm (substrate transfer mechanism) (not shown) that sucks the wafer W on its upper surface places the wafer W on the wafer chuck 20. Then, the wafer W is held and fixed by the wafer holding claws 21 of the wafer chuck 20. By lowering the cup 50, the suction arm can be moved horizontally below the upper surface of the wafer W.
[0026]
(2) Pre-processing of wafer W (Step S2 and FIG. 7)
By rotating the wafer W and supplying the processing liquid to the upper surface of the wafer W from the nozzle arm 61 or the nozzle arm 62, the pre-processing of the wafer W is performed.
The rotation of the wafer W is performed by rotating the wafer chuck 20 by the hollow motor 12, and the rotation speed at this time can be, for example, 100 to 200 rpm.
Either or both of the nozzle arms 61 and 62 move above the wafer W to discharge the processing liquid. As the processing liquid supplied from the nozzle arms 61 and 62, for example, pure water for cleaning the wafer W or a chemical liquid for catalyst activation processing of the wafer W is sequentially supplied according to the purpose of the preprocessing. The discharge amount at this time is sufficient to form a paddle (layer) of the processing liquid on the wafer W, for example, about 100 ml. However, if necessary, the discharge amount may be increased. The discharged processing liquid may be appropriately heated (for example, in a range from room temperature to about 60 ° C.).
[0027]
(3) Heating of wafer W (step S3 and FIG. 8)
The wafer W is heated to maintain the temperature of the wafer W at a temperature suitable for the reaction of the plating solution.
The lower plate 40 is heated so as to approach the lower surface of the wafer W (for example, the distance between the lower surface of the wafer W and the upper surface of the lower plate 40: about 0.1 to 2 mm), and is heated by the liquid supply mechanism 80 from the processing liquid discharge port 41. Supply purified water. The heated pure water is filled between the lower surface of the wafer W and the upper surface of the lower plate 40, and heats the wafer W.
By rotating the wafer W during the heating of the wafer W, the uniformity of the heating of the wafer W can be improved.
By heating the wafer W with a liquid such as pure water, the wafer W and the lower plate 40 can be easily rotated or non-rotated separately, and contamination of the lower surface of the wafer W is prevented.
The heating of the wafer W described above may be performed by other means. For example, the wafer W may be heated by radiation heat of a heater or a lamp. In some cases, the wafer W may be heated by bringing the heated lower plate 40 into contact with the wafer W.
[0028]
(4) Supply of plating solution (step S4 and FIG. 9).
The upper plate 30 is heated so as to approach the upper surface of the wafer W (for example, the distance between the upper surface of the wafer W and the lower surface of the upper plate 30: about 0.1 to 2 mm). (For example, 30 to 100 ml / min). The supplied plating solution is filled between the upper surface of the wafer W and the lower surface of the upper plate 30 and flows out to the cup 50. At this time, the temperature of the plating solution is adjusted by the upper plate 30 (for example, in a range from room temperature to about 60 ° C.). It is preferable that the temperature of the supplied plating liquid is adjusted by the liquid supply mechanism 80.
Here, the uniformity of the plating film formed on the wafer W can be improved by rotating the wafer W by the wafer chuck 20. As an example, the wafer W is rotated at 10 to 50 rpm.
In addition, the heating of the upper plate 30 can be performed in advance in any of the steps S1 to S3. By heating the upper plate 30 in parallel with other steps, the processing time of the wafer W can be reduced.
As described above, the plating film is formed on the wafer W by supplying the plating solution heated to the desired temperature to the upper surface of the wafer W. By rotating the wafer W during the supply of the plating solution, the uniformity of the formation of the plating film on the wafer W can be improved.
[0029]
In supplying the above plating solution, the following can be performed.
(1) The wafer chuck 20 and the upper plate 30 can be tilted by the substrate tilting mechanism 70 before the supply of the plating solution.
By tilting the wafer W, gas between the wafer W and the upper plate 30 can be quickly removed and replaced with a plating solution. If the gas between the wafer W and the upper plate 30 is not completely removed, air bubbles remain between the wafer W and the upper plate 30 and the uniformity of the formed plating film is hindered.
Further, gas (for example, hydrogen) is generated with the formation of the plating film by the plating solution, and bubbles are formed by the generated gas, which may hinder the uniformity of the plating film.
By inclining the wafer W by the substrate inclining mechanism 70, it is possible to reduce the generation of bubbles and to promote the escape of the generated bubbles, thereby improving the uniformity of the plating film.
(2) The temperature of the plating solution can be changed over time.
By doing so, the structure and composition of the formed plating film can be changed in the layer direction.
{Circle around (3)} The plating solution can be supplied intermittently instead of continuously during the formation of the plating film. The plating solution supplied on the wafer W can be efficiently consumed, and the amount of the plating solution used can be reduced.
[0030]
(5) Cleaning of the wafer W (Step S5 and FIG. 10).
The wafer W is washed with pure water. This cleaning can be performed by switching the processing liquid discharged from the processing liquid discharge port 31 of the upper plate 30 from the plating liquid to pure water. At this time, pure water can be supplied from the processing liquid discharge port 41 of the lower plate 40.
The nozzle arms 61 and 62 may be used for cleaning the wafer W. At this time, the supply of the plating solution from the processing solution discharge port 31 of the upper plate 30 is stopped, and the upper plate 30 is separated from the wafer W. Thereafter, the nozzle arms 61 and 62 are moved above the wafer W to supply pure water. Also at this time, it is preferable to supply pure water from the processing liquid discharge port 41 of the lower plate 40.
By rotating the wafer W during the cleaning of the wafer W, the uniformity of the cleaning of the wafer W can be improved.
[0031]
(6) Dry the wafer W (Step S6 and FIG. 11).
The supply of pure water to the wafer W is stopped, and the pure water on the wafer W is removed by rotating the wafer W at a high speed. In some cases, drying of the wafer W may be promoted by ejecting nitrogen gas from the nozzle arms 61 and 62.
(7) Removal of wafer W (step S7 and FIG. 12).
After the drying of the wafer W is completed, the holding of the wafer W by the wafer chuck 20 is stopped. Thereafter, the wafer W is removed from above the wafer chuck 20 by a suction arm (substrate transfer mechanism) not shown.
[0032]
(2nd Embodiment)
FIG. 13 is a flowchart showing the steps of the electroless plating method according to the second embodiment of the present invention. FIG. 14 is a sectional view showing a section of the wafer W in the step of FIG.
As shown in FIG. 13, in the electroless plating method according to the second embodiment of the present invention, the wafer W is processed in the order of steps S21 to S22. Hereinafter, the details of this processing procedure will be described.
[0033]
(1) Formation of barrier layer on wafer W (step S21, FIG. 14A)
The barrier layer 2a is formed on the wafer W. In the barrier layer 2a, a non-catalytic active material having no catalytic activity with respect to the reducing agent of the electroless plating solution is mixed (doped) with a catalytically active material having catalytic activity with respect to the reducing agent of the electroless plating solution. Used.
For example, any of Ta, TaN, W, WN, Ti, and TiN is used as the non-catalytic active material. By doping the non-catalytically active material with the catalytically active material, catalytic activity can be imparted to the barrier layer 2a.
As the catalytically active material, the catalytically active material described in the first embodiment can be selected according to the reducing agent of the electroless plating solution.
The formation of the barrier layer 2a can be performed by, for example, a physical film formation method. Specifically, the barrier layer 2a can be formed by a sputtering method using a target in which a non-catalytically active material and a catalytically active material are mixed (or simultaneously using a target of each of the non-catalytically active material and the catalytically active material). . This can also be performed by vacuum evaporation (co-evaporation) in which the non-catalytically active material and the catalytically active material are simultaneously evaporated.
(2) Electroless plating of wafer W (step S22, FIG. 14B)
Electroless plating is performed on the wafer W to form an electroless plating film 4a. In this case, since the barrier layer 2a is provided with catalytic activity based on the doped catalytically active material, the electroless plating film 4a is formed on the barrier layer 2a.
[0034]
(Third embodiment)
FIG. 15 is a flowchart illustrating steps of an electroless plating method according to the third embodiment of the present invention. FIG. 16 is a sectional view showing a section of the wafer W in the step of FIG.
As shown in FIG. 15, in the electroless plating method according to the third embodiment of the present invention, the wafer W is processed in the order of steps S31 to S32. Hereinafter, the details of this processing procedure will be described.
(1) Formation of barrier layer on wafer W (step S31, FIG. 16A)
The barrier layer 2b is formed on the wafer W. The barrier layer 2b is made of a catalytically active material having catalytic activity for a reducing agent of the electroless plating solution.
As the catalytically active material, the catalytically active material described in the first embodiment can be selected according to the reducing agent of the electroless plating solution.
The formation of the barrier layer 2b can be performed by, for example, a physical film forming method (for example, a sputtering method or a vacuum deposition method) or a chemical film forming method (for example, a CVD method).
(2) Electroless plating of wafer W (step S32, FIG. 16B)
Electroless plating is performed on the wafer W to form an electroless plating film. In this case, since the catalytically active material constituting the barrier layer 2b has catalytic activity, the electroless plating film 4b is formed on the barrier layer 2b.
[0035]
(Example 1)
Using a copper salt and a glyoxylic acid as a metal salt and a reducing agent, respectively, of the electroless plating solution, a copper electroless plating film according to a procedure corresponding to the third embodiment (a barrier layer is formed of a catalytically active material). Was formed.
Specifically, electroless plating of copper was performed for each of Ru (Ag), Pt, V, In, Ir, Co, and Rh as the base (barrier layer). In addition, as a comparative example, electroless plating of copper was also performed when the base was Cu, TaN, TiN, W, WN, and Ta.
When the underlayer was made of Ru, Ag, Pt, and Ir, all showed better adhesion and deposition rate than the case where the underlayer was made of Cu. In particular, when the underlayer was Ru or Ag, better adhesion was exhibited than when the underlayer was Cu.
On the other hand, in WN and Ta, Cu deposition itself was not performed. When the underlayer was TaN, TiN, or W, although Cu was formed, it was difficult to say that the formed Cu had good adhesion to the underlayer.
[0036]
(Example 2)
The copper salt and the metal salt (cobalt nitrate) are used as the metal salt and the reducing agent constituting the electroless plating solution, respectively, and the copper salt and the metal salt (cobalt nitrate) are used. An electroless plating film was formed.
Specifically, electroless plating of copper was performed for each of the underlying layers (barrier layers) of Ag, Ir, and Rh. Further, as a comparative example, electroless plating of copper was performed even when the underlayer was made of Cu, TaN, TiN, W, WN, V, Co, In, Ru, and Pt. When the underlayer was made of Ag, Ir, and Rh, all exhibited better adhesion and deposition rate than the case where the underlayer was made of Cu. In particular, when the underlayer was Ag, better adhesion was exhibited than when the underlayer was Cu.
On the other hand, in the case where the underlayer was Ta, TaN, TiN, W, WN, V, In, or Ru, Cu deposition itself was not performed. When the base was Pt, Cu was formed, but not enough. Further, when the base was Co or Rh, Cu was formed, but it was hard to say that the formed Cu had good adhesion to the base.
[0037]
(Other embodiments)
The embodiment of the present invention is not limited to the above-described embodiment, and can be extended and changed. Extended and modified embodiments are also included in the technical scope of the present invention.
For example, a glass plate other than the wafer W, for example, can be used as the substrate.
[0038]
【The invention's effect】
As described above, according to the present invention, it is possible to provide an electroless plating method that can realize electroless plating on a barrier layer by various processes.
[Brief description of the drawings]
FIG. 1 is a flowchart showing a procedure of an electroless plating method according to a first embodiment.
FIG. 2 is a cross-sectional view illustrating a cross section of a wafer W in the procedure of FIG.
FIG. 3 is a partial cross-sectional view illustrating an electroless plating apparatus used for the electroless plating in FIG.
4 is a partial cross-sectional view showing a state where a wafer W and the like installed in the electroless plating apparatus shown in FIG. 3 are inclined.
FIG. 5 is a flowchart illustrating an example of a procedure when performing electroless plating using the electroless plating apparatus according to the first embodiment.
FIG. 6 is a partial cross-sectional view illustrating a state of an electroless plating apparatus when electroless plating is performed according to the procedure illustrated in FIG.
FIG. 7 is a partial cross-sectional view illustrating a state of an electroless plating apparatus when electroless plating is performed according to the procedure illustrated in FIG.
8 is a partial cross-sectional view illustrating a state of an electroless plating apparatus when electroless plating is performed according to the procedure illustrated in FIG.
9 is a partial cross-sectional view illustrating a state of an electroless plating apparatus when electroless plating is performed according to the procedure illustrated in FIG.
FIG. 10 is a partial cross-sectional view illustrating a state of an electroless plating apparatus when electroless plating is performed according to the procedure illustrated in FIG.
FIG. 11 is a partial cross-sectional view illustrating a state of an electroless plating apparatus when electroless plating is performed according to the procedure illustrated in FIG.
12 is a partial cross-sectional view illustrating a state of an electroless plating apparatus when electroless plating is performed according to the procedure illustrated in FIG.
FIG. 13 is a flowchart illustrating a procedure of an electroless plating method according to a second embodiment.
FIG. 14 is a sectional view illustrating a section of the wafer W in the procedure of FIG.
FIG. 15 is a flowchart showing a procedure of an electroless plating method according to a third embodiment.
16 is a cross-sectional view illustrating a cross section of the wafer W in the procedure of FIG.
[Explanation of symbols]
W wafer 2, 2 a, 2 b barrier layer 3 catalytically active nucleus 4, 4 a, 4 b electroless plating film

Claims (8)

所定の材料の拡散を制限する拡散制限層を基板上に形成する拡散制限層形成ステップと、
前記拡散制限層形成ステップで基板上に形成された拡散制限層の少なくとも一部上に、無電解メッキ反応における還元剤の酸化反応に対して触媒活性を有し、かつ前記所定の材料と異なる触媒活性材料からなる触媒活性核を形成する触媒活性核形成ステップと、
前記触媒活性核形成ステップで触媒活性核が形成された基板上に、前記還元剤を含有する無電解メッキ液を用いて前記所定の材料からなるメッキ膜を形成するメッキ膜形成ステップと、
を具備することを特徴とする無電解メッキ方法。
A diffusion limiting layer forming step of forming a diffusion limiting layer for limiting diffusion of a predetermined material on the substrate,
At least a portion of the diffusion limiting layer formed on the substrate in the diffusion limiting layer forming step has a catalytic activity on an oxidation reaction of a reducing agent in an electroless plating reaction, and a catalyst different from the predetermined material. Forming a catalytically active nucleus comprising an active material;
A plating film forming step of forming a plating film made of the predetermined material using an electroless plating solution containing the reducing agent on the substrate on which the catalytically active nucleus is formed in the catalytically active nucleus forming step;
An electroless plating method characterized by comprising:
前記触媒活性核が、前記拡散制限層上に不連続に形成されている、
ことを特徴とする請求項1記載の無電解メッキ方法。
The catalytically active nuclei are formed discontinuously on the diffusion limiting layer,
The electroless plating method according to claim 1, wherein:
所定の還元剤の酸化反応に対して触媒活性を有し、かつ前記所定の材料と異なる触媒活性材料を含み、所定の材料の拡散を制限する拡散制限層を基板上に形成する拡散制限層形成ステップと、
前記拡散制限層形成ステップで拡散制限層が形成された基板上に、前記所定の還元剤を含有する無電解メッキ液を用いて前記所定の材料からなるメッキ膜を形成するメッキ膜形成ステップと、
を具備することを特徴とする無電解メッキ方法。
Forming a diffusion limiting layer having catalytic activity on an oxidation reaction of a predetermined reducing agent and containing a catalytically active material different from the predetermined material, and forming a diffusion limiting layer on the substrate to limit diffusion of the predetermined material. Steps and
On the substrate on which the diffusion limiting layer is formed in the diffusion limiting layer forming step, a plating film forming step of forming a plating film made of the predetermined material using an electroless plating solution containing the predetermined reducing agent,
An electroless plating method characterized by comprising:
所定の還元剤の酸化反応に対する触媒活性を有し、前記所定の材料と異なる触媒活性材料からなり、かつ所定の材料の拡散を制限する拡散制限層を基板上に形成する拡散制限層形成ステップと、
前記拡散制限層形成ステップで拡散制限層が形成された基板上に、前記所定の還元剤を含有する無電解メッキ液を用いて前記所定の材料からなるメッキ膜を形成するメッキ膜形成ステップと、
を具備することを特徴とする無電解メッキ方法。
A diffusion-restricting layer forming step of forming a diffusion-restricting layer having a catalytic activity on an oxidation reaction of a predetermined reducing agent, comprising a catalytically active material different from the predetermined material, and restricting diffusion of the predetermined material on the substrate ,
On the substrate on which the diffusion limiting layer is formed in the diffusion limiting layer forming step, a plating film forming step of forming a plating film made of the predetermined material using an electroless plating solution containing the predetermined reducing agent,
An electroless plating method characterized by comprising:
前記所定の還元剤がホルムアルデヒド、グリオキシル酸のいずれかであり、前記触媒活性材料がIr、Pd、Ag、Ru、Rh、Au、Pd、Pt、Tiの少なくともいずれかを含む
ことを特徴とする請求項1乃至4のいずれか1項に記載の無電解メッキ方法。
The predetermined reducing agent is one of formaldehyde and glyoxylic acid, and the catalytically active material includes at least one of Ir, Pd, Ag, Ru, Rh, Au, Pd, Pt, and Ti. Item 5. The electroless plating method according to any one of Items 1 to 4.
前記所定の還元剤が次亜燐酸塩であり、前記触媒活性材料がAu、Ni、Pd、Ag、Co,Ptの少なくともいずれかを含む
ことを特徴とする請求項1乃至4のいずれか1項に記載の無電解メッキ方法。
The method according to claim 1, wherein the predetermined reducing agent is hypophosphite, and the catalytically active material includes at least one of Au, Ni, Pd, Ag, Co, and Pt. 2. The electroless plating method according to 1.
前記所定の還元剤が金属塩であり、前記触媒活性材料がAg、Rh、Ir、Pd、Au,Ptの少なくともいずれかを含む
ことを特徴とする請求項1乃至4のいずれか1項に記載の無電解メッキ方法。
The said predetermined reducing agent is a metal salt, The said catalytically active material contains at least any one of Ag, Rh, Ir, Pd, Au, Pt, The Claims 1 to 4 characterized by the above-mentioned. Electroless plating method.
前記所定の還元剤がジメチルアミンボランであり、前記触媒活性材料がNi、Pd、Ag、Au、Ptの少なくともいずれかを含む
ことを特徴とする請求項1乃至4のいずれか1項に記載の無電解メッキ方法。
5. The method according to claim 1, wherein the predetermined reducing agent is dimethylamine borane, and the catalytically active material includes at least one of Ni, Pd, Ag, Au, and Pt. 6. Electroless plating method.
JP2002283297A 2002-09-27 2002-09-27 Electroless plating method Withdrawn JP2004115885A (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2002283297A JP2004115885A (en) 2002-09-27 2002-09-27 Electroless plating method
AU2003241757A AU2003241757A1 (en) 2002-09-27 2003-05-23 Method of electroless plating
PCT/JP2003/006499 WO2004029328A1 (en) 2002-09-27 2003-05-23 Method of electroless plating
CNA03822688XA CN1685081A (en) 2002-09-27 2003-05-23 Electroless plating method
KR1020057004928A KR20050059178A (en) 2002-09-27 2003-05-23 Method of electroless plating
US11/082,807 US20050164499A1 (en) 2002-09-27 2005-03-18 Electroless plating method and apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002283297A JP2004115885A (en) 2002-09-27 2002-09-27 Electroless plating method

Publications (1)

Publication Number Publication Date
JP2004115885A true JP2004115885A (en) 2004-04-15

Family

ID=32040558

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002283297A Withdrawn JP2004115885A (en) 2002-09-27 2002-09-27 Electroless plating method

Country Status (5)

Country Link
JP (1) JP2004115885A (en)
KR (1) KR20050059178A (en)
CN (1) CN1685081A (en)
AU (1) AU2003241757A1 (en)
WO (1) WO2004029328A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008138256A (en) * 2006-12-01 2008-06-19 Zhiliang Liao Horizontal electroplating/electrodeposition method on substrate and horizontal electroless plating method
JP2009507135A (en) * 2005-08-31 2009-02-19 ラム リサーチ コーポレーション System and method for forming patterned copper wire by electroless copper plating
EP2067878A1 (en) * 2007-07-31 2009-06-10 Nippon Mining & Metals Co., Ltd. Plated material having metal thin film formed by electroless plating, and method for production thereof
WO2010087392A1 (en) * 2009-01-30 2010-08-05 日鉱金属株式会社 Substrate comprising alloy film of metal element having barrier function and metal element having catalytic power
JP2013213263A (en) * 2012-04-03 2013-10-17 Tokyo Electron Ltd Plating apparatus, plating method, and storage medium
JP2013253282A (en) * 2012-06-06 2013-12-19 Kanto Gakuin Electroless plating bath and electroless plated film
JP6201029B1 (en) * 2016-12-26 2017-09-20 日本エレクトロプレイテイング・エンジニヤース株式会社 Electroless platinum plating solution and electroless platinum plating method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9469902B2 (en) * 2014-02-18 2016-10-18 Lam Research Corporation Electroless deposition of continuous platinum layer
JP6404174B2 (en) * 2015-04-16 2018-10-10 東京エレクトロン株式会社 Plating processing method, storage medium, and plating processing system

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000212754A (en) * 1999-01-22 2000-08-02 Sony Corp Plating method, its device and plated structure
JP2001181851A (en) * 1999-10-12 2001-07-03 Sony Corp Plating method and plated structure
US6451689B1 (en) * 1999-10-20 2002-09-17 Rohm Co., Ltd. Method for manufacturing semiconductor device
JP2001355074A (en) * 2000-04-10 2001-12-25 Sony Corp Electroless plating method, and apparatus thereof
JP2001316834A (en) * 2000-04-28 2001-11-16 Sony Corp Apparatus for electroless plating and method for forming conductive film
JP2002053971A (en) * 2000-08-03 2002-02-19 Sony Corp Plating method, plating structure, method for producing semiconductor device, and semiconductor device
JP4083968B2 (en) * 2000-11-02 2008-04-30 株式会社東芝 Manufacturing method of semiconductor device
JP3850226B2 (en) * 2001-04-02 2006-11-29 株式会社荏原製作所 Substrate processing equipment

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009507135A (en) * 2005-08-31 2009-02-19 ラム リサーチ コーポレーション System and method for forming patterned copper wire by electroless copper plating
JP2008138256A (en) * 2006-12-01 2008-06-19 Zhiliang Liao Horizontal electroplating/electrodeposition method on substrate and horizontal electroless plating method
US8394508B2 (en) 2007-07-31 2013-03-12 Nippon Mining & Metals Co., Ltd. Plated article having metal thin film formed by electroless plating
EP2067878A1 (en) * 2007-07-31 2009-06-10 Nippon Mining & Metals Co., Ltd. Plated material having metal thin film formed by electroless plating, and method for production thereof
EP2067878A4 (en) * 2007-07-31 2011-08-03 Nippon Mining Co Plated material having metal thin film formed by electroless plating, and method for production thereof
US8395264B2 (en) 2009-01-30 2013-03-12 Jx Nippon Mining & Metals Corporation Substrate comprising alloy film of metal element having barrier function and metal element having catalytic power
WO2010087392A1 (en) * 2009-01-30 2010-08-05 日鉱金属株式会社 Substrate comprising alloy film of metal element having barrier function and metal element having catalytic power
TWI415962B (en) * 2009-01-30 2013-11-21 Nippon Mining Co Substrate with alloy film of metal element having barrier function and metal element having catalytic energy
JP5399421B2 (en) * 2009-01-30 2014-01-29 Jx日鉱日石金属株式会社 A substrate having an alloy film of a metal element having a barrier function and a metal element having a catalytic function
JP2013213263A (en) * 2012-04-03 2013-10-17 Tokyo Electron Ltd Plating apparatus, plating method, and storage medium
JP2013253282A (en) * 2012-06-06 2013-12-19 Kanto Gakuin Electroless plating bath and electroless plated film
JP6201029B1 (en) * 2016-12-26 2017-09-20 日本エレクトロプレイテイング・エンジニヤース株式会社 Electroless platinum plating solution and electroless platinum plating method
JP2018104755A (en) * 2016-12-26 2018-07-05 日本エレクトロプレイテイング・エンジニヤース株式会社 Electroless platinum plating solution and electroless platinum plating method

Also Published As

Publication number Publication date
WO2004029328A1 (en) 2004-04-08
KR20050059178A (en) 2005-06-17
AU2003241757A1 (en) 2004-04-19
CN1685081A (en) 2005-10-19

Similar Documents

Publication Publication Date Title
JP3495033B1 (en) Electroless plating apparatus and electroless plating method
JP4547016B2 (en) Semiconductor manufacturing apparatus and semiconductor manufacturing method
KR100751102B1 (en) Electroless plating apparatus and method
US9255331B2 (en) Apparatus for plating process
US20040234696A1 (en) Plating device and method
US7690324B1 (en) Small-volume electroless plating cell
JP2005539369A (en) Electroless deposition equipment
US20050196523A1 (en) Electroless plating method and apparatus, and computer storage medium storing program for controlling same
KR20020074175A (en) Device and method for electroless plating
JP3985858B2 (en) Plating equipment
JP2004115885A (en) Electroless plating method
WO2013180064A1 (en) Plating method, plating device, and storage medium
JP4339045B2 (en) Electroless plating apparatus and electroless plating method
JP5631815B2 (en) Plating treatment method, plating treatment apparatus, and storage medium
US20050164499A1 (en) Electroless plating method and apparatus
JP7467264B2 (en) Substrate processing apparatus, substrate processing method and nozzle
WO2013180063A1 (en) Plating device, plating method, and storage medium
JP3886383B2 (en) Plating apparatus and plating method
JP2010070832A (en) Cap metal forming method
JP2005054257A (en) Electroless plating method
JP2006057171A (en) Electroless plating apparatus
TW202121520A (en) Substrate liquid-treatment method, substrate liquid-treatment device, and computer-readable recording medium
JP5331096B2 (en) Plating equipment

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060110